Raising retirement age a proactive response to population aging Xinhua) 08:01, September 14, 2024 BEIJING, Sept. 13 (Xinhua) -- China's move to raise its statutory retirement age is a proactive and effective measure tackling the challenge of population aging, according to guest speakers at the China Economic Roundtable, an all-media talk platform hosted by Xinhua News Agency. According to a decision adopted by Chinese lawmakers on Friday, the statutory retirement age will be gradually raised from 60 to 63 for men over the course of 15 years from 2025, from 55 to 58 for women working as cadres, and from 50 to 55 for women who are blue-collar workers. China's current statutory retirement age was set in the 1950s and has remained unchanged for more than seven decades. Over the years, profound changes have been seen in the country's average life expectancy, health conditions, demographic structure, education level, and relationship between labor supply and demand, Qi Tao, an official of the Ministry of Human Resources and Social Security, said at the roundtable. Raising the statutory retirement age can mitigate China's declining working-age population and sustain the momentum and vitality of social and economic development, said Zhao Zhong, dean of the School of Labor and Human Resources at Renmin University of China. Following the decision, people will be allowed to voluntarily retire by no more than three years in advance after reaching the minimum year of pension contributions. The basic principle of delaying retirement is voluntary participation with flexibility, which aims mainly to meet the diverse needs of workers, according to Qi. He said that retirement age will be expanded into a flexible range following the reform, demonstrating the country's full respect for people's individual plans. The document also includes provisions for specialized professions, allowing those in labor-intensive jobs or working in difficult environments such as high temperatures or high altitudes to apply for earlier retirement. While using the statutory retirement age as a benchmark, policymakers have also taken into account the labor conditions and working environments of different industries and positions to make targeted arrangements for special groups, said Yuan Xin, vice president of the China Population Association and a professor at Nankai University. Friday's decision also stipulates that the minimum number of years of basic pension contributions required to receive monthly retirement benefits will be raised gradually from 15 years to 20 years, starting from 2030. The adjustment has factored in multiple elements, including the labor market, more years of schooling, the older age at which people are now joining the workforce, and policy consistency, Zhao said. Guest speakers also shared their insights on how delaying retirement would affect employment among different age groups. The reform will be carried out gradually and modestly, and its impact on youth employment will be moderate, said Zheng Bingwen, a researcher at the Chinese Academy of Social Sciences. As young people now have higher levels of education and a competitive edge in the digital economy, the green economy and future industries, measures should be devised to create more suitable jobs and expand new employment space, Zhao said. On the protection of elderly individuals, Zhao noted that the decision stipulates that the basic interests and rights of workers should be ensured if employers hire people who have passed their statutory retirement age. The current policies on employment and labor relations have yet to be specified further, Zheng said, noting that the improvement of supporting policies is a key task at present and will remain so for some time to come. "The reform to delay retirement has a relatively long cycle and can't be accomplished overnight. There is still a lot of work to be done," Qi said. (Web editor: Zhang Kaiwei, Liang Jun) China's top political advisor meets Kyrgyz parliament speaker Xinhua) 09:09, September 14, 2024 Wang Huning, chairman of the National Committee of the Chinese People's Political Consultative Conference (CPPCC), meets with Kyrgyz Parliament Speaker Nurlanbek Shakiev in Beijing, capital of China, Sept. 13, 2024. (Xinhua/Ding Haitao) BEIJING, Sept. 13 (Xinhua) -- China's top political advisor Wang Huning met with Kyrgyz Parliament Speaker Nurlanbek Shakiev on Friday in Beijing. Wang, chairman of the National Committee of the Chinese People's Political Consultative Conference (CPPCC), said that under the strategic guidance of the leaders of the two countries, China and Kyrgyzstan have elevated bilateral relations to a comprehensive strategic partnership for a new era, and worked together to build a China-Kyrgyzstan community of a shared future featuring good neighborliness and shared prosperity. China is ready to work with Kyrgyzstan to implement the consensus reached by the heads of state of the two countries, and promote the sustained and high-level development of bilateral ties, Wang said, adding the CPPCC is willing to enhance exchanges with the Kyrgyz side to contribute to building a China-Kyrgyzstan community of a shared future. While congratulating China on its development achievements in various fields, Shakiev said there are no political differences or obstacles to cooperation between the two countries. The Kyrgyz Parliament is ready to work closely with the CPPCC National Committee and contribute to the development of bilateral relations, he added. (Web editor: Zhang Kaiwei, Liang Jun) Senior Russian security official and former president Dmitry Medvedev said on Saturday Russia could destroy Ukraine's capital Kyiv with non-nuclear weapons in response to the use of Western long-range missiles by Ukraine. Medvedev said Moscow already had formal grounds to use nuclear weapons since Ukraine's incursion into Russia's Kursk region, but could instead use some of its new weapon technologies to reduce Kyiv to "a giant melted spot" when its patience runs out. Advertisement "Holy shit! It's impossible, but it happened," he wrote in English on the Telegram messaging app. Meanwhile, Sir Keir Starmer has said the UK and US have come to a strong position in their quest for a resolution to the conflict in Ukraine following his meeting with US president Joe Biden. The UK prime minister described his discussions with Mr Biden as long and productive, but would not be drawn on what the pair had decided regarding Ukraines potential use of Western weaponry against Russian targets. At the beginning of their meeting in the Blue Room at the White House in Washington DC on Friday, Mr Biden said I dont think much about Vladimir Putin when asked about the Russian presidents threat of war with Nato. Sir Keir Starmer has said the UK and US have come to a strong position in their quest for a resolution to the conflict in Ukraine following his meeting with US president Joe Biden. The UK prime minister described his discussions with Mr Biden as long and productive, but would not be drawn on what the pair had decided regarding Ukraines potential use of Western weaponry against Russian targets. Advertisement At the beginning of their meeting in the Blue Room at the White House in Washington DC on Friday, Mr Biden said I dont think much about Vladimir Putin when asked about the Russian presidents threat of war with Nato. Advertisement Questioned on what they had decided in relation to Ukraines potential use of long-range missiles, Mr Starmer told reporters: We had a wide-ranging discussion about strategy in Ukraine, of course, in the Middle East and other parts of the world. This wasnt a meeting about a particular capability. That wasnt why we got our heads down today. It was to allow ourselves the space, which we took, the time, which we took, to have a strategic discussion so that tactical decisions could be seen within the wider strategy. It was a really good invitation from the President, weve had a very productive (meeting) and weve come to a strong position. Im very pleased that weve had these discussions. Advertisement At the beginning of their talks, Mr Starmer said the next weeks and months will be crucial in Ukraine and said it was important the two countries continued to support the eastern European nation in its fight against Russia. Advertisement The meeting comes as Ukrainian president Volodymyr Zelenskiy expressed his frustration at the continued restrictions on the use of Western weaponry against Russian targets. In a lengthy statement posted on X, Mr Zelenskiy said after meeting with UK foreign secretary David Lammy and his US counterpart Antony Blinken earlier this week, there should be no unanswered questions about why Ukraine needs sufficient long-range capabilities. At the White House on Friday, Mr Starmer told the US president: Historically, weve shown the strength of our relationship. We are strategically aligned and we have a common cause on these global issues. Advertisement The prime minister denied that the need to weaken Mr Putin within months was because of the possibility of a victory for Donald Trump in Novembers presidential election. Questioned on whether Mr Trumps potential victory was a factor, he told reporters: No, I think if you look at both the Ukrainian situation and the Middle East, it is obvious that in the coming weeks and months there are really important potential developments, whatever timetables are going on in other countries. And thats why I wanted to have this opportunity to talk directly to the President about those decisions in the next few months, but to make sure that those decisions were, as it were, pitched in the wider strategic thinking that we share in relation to Ukraine, the Middle East and the Indo-Pacific. Mr Starmer said the quickest way to resolve the conflict in Ukraine lies through what Putin actually does. He said: Theres only one reason were having these discussions, and that is Putin has illegally invaded Ukraine, and the quickest way to resolve this obviously lies through what Putin actually does, because its for him to end this. Mr Lammy and Mr Blinken were pressed on the use of Western weaponry during their visit to Ukraine earlier this week, but said they had to report back to their bosses, the prime minister and US president. Mr Putin said allowing long-range strikes would mean that Nato countries, the United States, and European countries are at war with Russia if this is so, then, bearing in mind the change in the very essence of this conflict, we will make appropriate decisions based on the threats that will be created for us. Asked what he thought of Mr Putins threat of war, Mr Biden said: I dont think much about Vladimir Putin. Mr Biden thanked Mr Starmer for his leadership during the Ukraine conflict, adding: Putin will not prevail in this war. He said: The United States is committed to standing with you to help Ukraine as it defends against Russias onslaught of aggression. Its clear that Putin will not prevail in this war. The people of Ukraine will prevail. The US president said the two leaders would talk about Ukraine, the need for a hostage and ceasefire deal in the Middle East, and about the Indo-Pacific region. He told the prime minister: Ive often said theres no issue of global consequence where the United States and UK cant work together and havent worked yet. Before the meeting began, a British reporter was told to be quiet while I speak by the US president as Mr Biden was asked about Mr Putins threat of war. Concern about escalation has been one of the reasons why permission has not yet been given to Kyiv for the unrestricted use of Western weapons. Iran has been hit with sanctions by the UK and US after the two countries formally accused Tehran of supplying ballistic missiles to Russia. Mr Lammy and Mr Blinken announced further financial support for Ukraine, including a 600 million (710 million) package from the UK and $717 million (646 million) from the US to meet immediate humanitarian, energy and stabilisation needs. The UK package includes a reaffirmation of Rishi Sunaks pledge of 242 million, as well as $484 million worth of loan guarantees for World Bank lending before the end of the year, while the US package includes $325 million to support Ukraines energy needs. The prime minister and Mr Bidens first White House bilateral took place as Mr Starmer attended the Nato summit just days after Labour won the election. A Turkish-American activist who was killed by Israeli fire in the West Bank was laid to rest on Saturday in her hometown in Turkey with thousands lining the streets and anti-Israeli feelings in the country rising from a conflict that threatens to spread across the region. Aysenur Ezgi Eygi, a 26-year-old woman from Seattle, was shot dead on September 6 by an Israeli soldier during a demonstration against Israeli West Bank settlements, according to an Israeli protester who witnessed the shooting. Advertisement Thousands of people lined the streets in the Turkish coastal town of Didim on the Aegean Sea, as Ms Eygi was buried in a coffin draped in a Turkish flag, which was taken from her family home. A portrait of her wearing her graduation gown was propped against the coffin as people paid their respects. Turkish authorities carry the coffin of Aysenur Ezgi Eygi during a ceremony at Istanbul airport (IHA via AP) Advertisement Her body was earlier brought from a hospital to her family home and Didims Central Mosque. Turkey has condemned the killing and announced it will conduct its own investigation into her death. We are not going to leave our daughters blood on the ground and we demand responsibility and accountability for this murder, Numan Kurtulmus, the speaker of Turkeys parliament told mourners at the funeral. On Friday, an autopsy had been carried out at Izmir Forensic Medicine Institute. Kurtulmus said the examination showed Ms Eygi was hit by a round that struck her in the back of the head below her left ear. Advertisement The Israeli military said on Tuesday that Ms Eygi was likely shot indirectly and unintentionally by Israeli forces. Her death was condemned by US secretary of state Antony Blinken as the United States, Egypt and Qatar push for a cease-fire in the 11-month-long Israel-Hamas war and the release of the remaining hostages held by Hamas. Donald Trump has continued to disparage Haitian migrants in an Ohio community, even as the city saw bomb threats and school evacuations with local officials calling for a cooling of the anti-immigrant rhetoric. We will do large deportations from Springfield, Ohio, Mr Trump said on Friday during a news conference in California. Advertisement He said he could possibly hold a campaign event or town hall in the city and claiming the migrants are destroying the way of life. Ohio authorities have said there are no credible or detailed reports to support the debunked allegations circulated this week by both Mr Trump and his running mate, Ohio senator JD Vance, that Haitian immigrants are eating domestic pets and birds in the citys public parks. Mr Trump mentioned the claims during a debate on Tuesday with his Democratic rival, vice president Kamala Harris, prompting her to laugh and call the Republican presidential nominee extreme. Advertisement Q: There are bomb threats at schools in Springfield, Ohio and kids are being evacuated. Why do you still spread misinformation? Trump: No pic.twitter.com/TIQ2LUgUns Kamala HQ (@KamalaHQ) September 13, 2024 Advertisement Springfield Mayor Rob Rue on Thursday called on politicians to calm down the rhetoric. All these federal politicians that have negatively spun our city, they need to know theyre hurting our city, and it was their words that did it, Mr Rue said in an interview with WSYX. A city spokesperson said an emailed threat claimed bombs had been planted in the homes of Springfields mayor and other city officials. A second email claimed bombs had been placed at locations including Springfield City Hall, a high school, a middle school, two elementary schools and the local office of the state motor vehicles bureau. The buildings were evacuated and authorities with explosive-detection dogs swept and cleared them, officials said. Advertisement On Friday, president Joe Biden said the Haitian community is under attack and called for an end to Republicans comments. Its simply wrong. Theres no place in America, Mr Biden said at a White House luncheon. This has to stop, what hes doing. It has to stop. Mr Trump suggested local officials are not being truthful about the problem because of its severity. Advertisement In Springfield, Ohio, there has been a massive rise in communicable diseases, rent prices, car insurance rates, and crime. This is what happens when you drop 20,000 people into a small community. Kamala Harris's immigration policy aims to do this to every town in our country. JD Vance (@JDVance) September 13, 2024 While he stated the real threat of immigration is happening at the Southern border, he said: The people of Ohio are scared. In a post on X on Friday, Mr Vance stated, without evidence, that Springfield has experienced a massive rise in communicable diseases, rent prices, car insurance rates, and crime. Dont let biased media shame you into not discussing this slow-moving humanitarian crisis in a small Ohio town, he said. Mr Vance repeated those claims in another X post later on Friday, although he added: Nothing justifies violence or the threat of violence levied against Springfield or its residents. We condemn both. Mr Trump and his supporters have used the furore over migrants in the Ohio community to draw further attention to Mr Trumps signature campaign issue of immigration reform and blame Harris for an influx of migrants into the US. It is a theme Mr Trump has used throughout his bids for public office. The situation surrounding Springfield, a city of roughly 60,000 located west of Columbus, started with an online post that was fueled by right-wing actors on social media. Springfield has seen its Haitian population grow in recent years. It is impossible to give an exact number, according to the city, but it estimates that Springfields entire county has an overall immigrant population of 15,000. Republican presidential nominee former president Donald Trump speaks during a campaign event. (AP Photo/Alex Brandon) The city also says that Haitian immigrants are in the country legally under a federal programme that allows them to remain in the country temporarily. Last month, the Biden administration granted eligibility for temporary legal status to about 300,000 Haitians already in the United States because conditions in Haiti are considered unsafe for them to return. Haitis government has extended a state of emergency to the entire country due to endemic gang violence. Following Mr Vances initial post, the internet was filled with AI-generated imagery of Mr Trump appearing to rescue dogs, cats and birds from harm, with Mr Trump posting several of the memes to his own Truth Social account. Mr Trump repeated the claim during Tuesdays debate. In Springfield, theyre eating the dogs. The people that came in, theyre eating the cats, he said. Theyre eating the pets of the people that live there. And this is whats happening in our country. And its a shame. Debate moderators pointed out that city officials have said the claims are not true. Sir Keir Starmer is alleged to have broken parliamentary rules by failing to declare donations of clothing for his wife Victoria Starmer. The gifts, from prominent Labour donor Lord Alli, were not initially declared in the register of MPs interests. Advertisement The Sunday Times reported that the UK prime minister approached the parliamentary authorities on Tuesday to make a late declaration after being given updated advice on what needed to be registered. The Tories demanded a full investigation into the Starmers links with prominent Labour donor Lord Alli. The Sunday Times reported the donations covered the cost of a personal shopper, clothes and alterations for Lady Starmer both before and after Labours election win in July. MPs are required to register gifts and donations within 28 days. Advertisement Victoria Starmer was at the St Leger meeting at Doncaster Racecourse on Saturday. Photo: Mike Egerton/PA. A No 10 spokeswoman said: We sought advice from the authorities on coming to office. We believed we had been compliant, however, following further interrogation this month, we have declared further items. Advertisement The guide to the Commons rules states MPs should register any benefit given to any third party, whether or not this accompanied a benefit for him or her, if the Member is aware, or could reasonably be expected to be aware, of the benefit and that it was given because of his or her membership of the House or parliamentary or political activities. Lord Allis involvement with the Labour leader has already proved controversial after it emerged he had been given a Downing Street security pass without apparently having a government role. The row was dubbed the passes for glasses affair because Lord Alli has previously donated tens of thousands of pounds worth of clothing, accommodation and multiple pairs of spectacles to the Labour leader. A Conservative Party spokesman said: Its taken just 10 weeks for Keir Starmer to face an investigation for his conduct. Advertisement After facing allegations of cronyism and now apparent serious breaches of parliamentary rules, there must be a full investigation into the passes for glasses scandal. No doubt the millions of vulnerable pensioners across the country who face choosing between heating and eating would jump at the chance for free clothes just to keep warm in the face of Labours cruel cut. Britain has joined the US in accusing Russian state media outlet RT of carrying out covert activity across the world on behalf of the Kremlin in a bid to undermine our collective security. The news channel, which no longer appears on broadcast platforms in the UK, was a mouthpiece for Russian disinformation which has mutated into an altogether more harmful organisation, the UK Foreign Office said on Friday. Advertisement It comes as US secretary of state Antony Blinken announced that Washington was imposing further sanctions on RT on Friday, warning that it was a key part of Moscows war machine with cyber operational capabilities. The US has previously accused the outlet of interfering in its democratic process ahead of the countrys November election, but on Friday said officials had similar activity in other countries. These include Argentina, France and Moldova, which goes to the polls next month. Advertisement US secretary of state, Antony Blinken (Leon Neal/PA) In a statement on Friday evening, the UK Foreign Office said: US information shows that RT is engaged in information operations and covert influence across the world. These activities strike at the democratic foundations of other countries, using intelligence operations and shadowy networks to incite political violence, and spread dissent across the world. Working in direct cooperation with the Kremlin, RT has sought to influence democratic processes in Moldova and to spread damaging lies designed to inflame tensions. Advertisement RTs senior leadership has full knowledge that an entity within the outlet with ties to Russian intelligence has been embedded by the Russian government, the statement said. The Foreign Office said Britain would continue to bear down on those who would threaten our collective security and democratic values following Fridays announcement. The UK has previously sanctioned RTs parent company TV Novosti in a bid to restrict its influence. It added: In its control of RT, we see the extent of the Russian states duplicity: weaponising the media in order to spread lies, threaten global security and further its illegal invasion of Ukraine. Advertisement We have seen these shoddy attempts to obscure the truth and they have failed. It comes amid heightened global tensions surrounding the war in Ukraine, with renewed discussion of the potential use of Western missiles by Kyiv to strike into Russian territory. Vladimir Putin warned that such a move would amount to Nato being at war with Russia. On Friday, Moscow revoked the accreditation of six British diplomats on allegations of espionage, which the Foreign Office denounced as completely baseless, while Sir Keir Starmer travelled to Washington to discuss Ukraines defence with US president Joe Biden. An alternate version of Lord Of The Flies by Sir William Golding is set to go on display to celebrate the 70th anniversary of the famous literary work being published. Sir Williams manuscripts, notebooks and letters will also be shown in the Bill Douglas Cinema Museum, Old Library, University of Exeter later this month. Advertisement These includes an copy of the original manuscript, which was written in a school exercise book with the cover torn off, of the dark societal tale and a typescript. This version does not begin with the schoolboys crash landing on the island, like the published work, and instead describes how they had been evacuated out, in the midst of a nuclear war, and their plane shot down in an aerial battle. The original manuscript will not be on public view, due to its fragility, the university said. His daughter Judy Carver said: The Golding family are grateful to the University of Exeter for their care of the manuscripts and typescripts on loan to the university. Advertisement They also welcome this opportunity for these materials to be viewed by a wider audience. They appreciate the careful work that has brought the exhibition contents to public view. British writer William Golding with his wife. (PA) Nobel Prize-winning author Sir William, who died aged 81 at his home in Cornwall in 1993, had difficulties getting the work about boys competing for survival on an island taken up by publishers. Advertisement It was spotted by a junior editor at publishers Faber and Faber following a string of rejections, and after some changes became an overnight sensation in 1954. The exhibit will also contain letters to the editor who helped him make Lord Of The Flies a success, along with correspondence from Sir William on his other novels and works. Caroline Walter, interim head of Heritage Collections at the University of Exeter, said: This is an exciting opportunity to unite archival material from two distinct collections in Exeter, allowing visitors to delve into the rich literary heritage of the Southwest and illuminating Goldings creative journey. Sir William went on to write The Inheritors, Pincher Martin and Free Fall along with Rites Of Passage, which won him the Booker Prize. Advertisement There were previous film adaptions of his first novel in 1963 and 1990, and it is set to be put on TV for the first time by the BBC. Written by His Dark Materials Jack Thorne, the drama is currently filming in Malaysia with a young cast and will remain faithful to the original story of savagery and dark human nature. The exhibition will be on display from September 24th to December 15th. Display panels featuring information about Sir Williams papers will be on show across Exeter from September 2nd to October 31st and there will be free public events in the city this autumn. Save Log in , register or subscribe to save articles for later. Save articles for later Add articles to your saved list and come back to them any time. Got it Normal text size Larger text size Very large text size This story is part of the September 15 edition of Sunday Life. See all 13 stories . Virginia Trioli, a two-time Walkley Award winner and host of ABC TVs Creative Types, was inspired to write her latest book, A Bit on the Side, after a talkback radio caller got her thinking that food rather than feminism would be her pathway back into book publishing. Its been almost 30 years since Trioli published her bestseller Generation F: Why We Still Struggle with Sex and Power, a counter-take on Helen Garners 1995 non-fiction book The First Stone, about two alleged sexual assaults at Melbourne University in 1992. The book was reissued in 2019 with a new foreword in the light of the Me Too movement, and now, with more life experience behind her and some lessons learnt, Trioli is ready to publish a second book, one thats less pasta dura and more leavened sourdough. I didnt want to write another book just for the sake of writing a book, says Trioli as she makes me as espresso in her kitchen. (The piping Bialetti espresso machine is removed from the stove and gently rinsed under cool water to stop the coffee from overcooking, a hack shes happy to share.) I had to go through a lot of life to be comfortable writing about me, and its not something I was that interested in or could have done before now. Prince Harrys Spare helped change her mind. The memoir dilemma was solved after I read a piece in The New Yorker by ghostwriter J.R. Moehringer, who says he was conflicted by Harry, who didnt want a lot of things about him in the book, says Trioli. Thats when he [Moehringer] told him, The memoir isnt about you, Harry. Its more about what you leave out than what you leave in. Its a story constructed with the history of you, and what you select are the pieces that have the greater resonance for the greater number of people. That was a great piece of instruction for me going forward. Advertisement A Bit on the Side is more memoir than cookbook, even though the domestic angle appealed to Trioli more, whose interest in food goes back to childhood. Throughout the book, we get glimpses into her life, all revolving around a dish, a food memory, a culinary hack. She also shares some favourite recipes she makes at her home in North Melbourne. Trelise Cooper suit, Office shoes. Credit: GK Photography Where actor Stanley Tucci brings glorious cinema to the table with his writing in Taste, and chef Nigella Lawson eloquently leans into the personal and emotive when she shares recipes, Trioli serves up a taste of both, further spiced with a reporters sensibility. Whether the topic is the finesse of French cooking or farm-to-table simplicity, she moves from the theoretical to the humorous with ease, using food as a means of self-exploration. Theres a peppering of the intellectual know-it-all to her style it wouldnt be Trioli without it but her academic dissection of the relevance of the egg in cinema, for example, is very entertaining. Using Stanley Tuccis 1996 movie Big Night and the recent TV series The Bear, she cracks open a new way of looking at making an omelette. As a result, I watched Emily (Lily Collins) trying to make one in the new season of Emily in Paris in a whole new philosophical light. Along the way we also learn that Trioli likes her martinis with vodka, prefers a sour gimlet over a sweet one, and always orders small share plates rather than large ones. Theres intimacy and warmth to her stories. Trioli was one of seven children raised in the Melbourne suburbs of Donvale and Nunawading by her Italian father, who migrated to Australia in the 1930s, and Australian mother. Regular tastes of the Italian way of life were provided via visits to her paternal grandparents in Bendigo, where they ran a fruit and vegetable shop. Triolis love of Italian cuisine stems from them but was reinforced when she shared a house with her older sister Angela in Carlton, then a hub of Melbournes Italian community, and through trips to Italy over the years. Advertisement As Italians who came to Australia before World War II, the Trioli familys experience isnt as well known as that of the migrants who came in the 1950s and 60s. That wave of Italian migration has been somewhat erased from our history, and I feel it was a bit erased from our family as well, says Trioli. I did ask Dad once if he was afraid to make the voyage to Australia. He told me that you arent afraid when youre with your mother. Trioli says her father was loving but also domineering, which meant she couldnt wait to move out of home. I loved my dad enormously, but he had a big temper. He could really lose it, and it was very stressful. It created a tension in the household that was very difficult to deal with. I can be impatient and I know I have been at times and feel deeply ashamed. VIRGINIA TRIOLI I remember setting up house with my sister and relishing the peace. The fact that I was in charge of the mood in the house was a lovely realisation of independence. Trioli speaks frankly about marrying former boss Russell Skelton, 18 years her senior and with three children from his former relationship, in 2003. She was 36 and found step-parenting a challenge. I can be impatient and I know I have been at times and feel deeply ashamed, she says. I have become better because of age and stage, and learning through life. I guess you take every opportunity you can to keep building up your stores of compassion and understanding for others and nothing teaches you faster than being a stepmum. Victoria Beckham trench coat, Perri Cutten singlet, AG jeans, Oroton jewellery. Credit: GK Photography Advertisement A woman I once spoke to struggled with how important her partners son was to him. I remember saying to her, Sweetheart, deal with it. It was crucial to me that the kids were happy. They had come through a hard time, so I put in some deliberate hard work to make it work. Trioli and Skelton welcomed a child of their own, son Addison, in 2012. Our talk returns to Triolis first book, published in 1996. In the 1990s, a third wave of feminism swept over Western culture; it was the advent of the riot grrrl movement, Naomi Wolf had written The Beauty Myth and increasingly the personal became political. Trioli is the first to admit that writing a book that picked an argument with Helen Garner, already a celebrated novelist, was a brave move. I look back at the Virginia who wrote that book and am very proud of her because she had no friggin idea what she was walking into, says Trioli. Now I think to myself, why didnt anyone take me aside and say, Darling, youre never going to win taking on Helen Garner. Loading She is a glorious icon and I still think of her that way. I respect and revere Helen, which is why writing Generation F was so important to me. But it was also painful. I was attempting to call out someone who was a giant and say, I think you got this wrong. You need to listen to us and hear us out. Theres always been a point of tension between the two of us that weve never reconciled. A few weekends ago Trioli and her husband found themselves perched on bar stools at the Carlton Wine Room, relishing some time together while their son was rehearsing a school play. They ordered duck croquettes, oysters and a bottle of champagne. We looked at each other and said, We havent done this since forever. Its nice to open a little window sometimes and slip through it. Advertisement Australian actor and director Lex Marinos has died aged 75 surrounded by his family. Best known for his performance as Bruno in the 1980s sitcom Kingswood Country, Marinos had an impressive 50-year career and was renowned as an advocate for diversity in the Australian entertainment industry. The Greek Australian actor died on Friday leaving behind a legacy in television, film, and cultural advocacy. Credit: ABC In a social media post, Marinos family said the Wagga Wagga actor died at home at a moment of his choosing. It is with heavy hearts we let you know that Lex passed away peacefully on Friday morning, the post said. China will raise the retirement age for the first time since 1978, a move that could stem a decline in the labour force but risk angering workers. The government has endorsed a plan to delay retirement for employees by as long as five years, Xinhua News Agency reported. Men will now retire at 63 instead of 60. Women will retire at 55 instead of 50 for ordinary workers, and 58 instead of 55 for those in white-collar jobs. Chinas population shrank by 2 million people last year. With fewer babies comes the question: who will pay the taxes to look after the elderly? Credit: Bloomberg The change will be based on peoples birthdays, will be gradually introduced over 15 years starting in January, and make more people work longer. This is to address the challenges of an ageing population, by ensuring there are enough workers to pay the taxes to provide pensions, although it risks adding to public discontent. The timeline of raising the retirement age is pretty gradual. Policymakers probably have taken into account the potential negative impact and calibrated that carefully, said Michelle Lam, Greater China economist at Societe Generale SA. The agitating doctors on Saturday evening confirmed they will attend a meeting at West Bengal Chief Minister Mamata Banerjee's residence to resolve the RG Kar hospital impasse. Responding to the mail by West Bengal Chief Secretary Manoj Pant, the agitating doctors said they would attend the meeting and place their demands before the chief minister. We would attend the meeting with our representatives. We will place our five demands. We are going to the discussions with an open mind, but no one should be under the illusion that we will compromise on our demands, an agitating doctor said. As organisations globally struggle with post-Covid-19 pandemic recoveries, a new study has highlighted the need for empathy-driven leadership and equitable work policies for evolving landscape of workplace culture. The "2024 Global Culture Report" by the O C Tanner Institute shows that there is a growing demand for empathy, flexibility, and skill development in modern workplaces for all employees including the white-collars and those involved in non-desk functions. It also gives a stark warning to companies- invest in people-centric cultures or risk losing talent. Click here to connect with us on WhatsApp Empathy backed by actions: A necessity The report, based on the survey of over 42,000 employees worldwide along with HR leaders, and executives, says 'empathy' needs to be at the forefront of effective leadership strategies for companies. Highlighting the pivotal role of 'empathy' in boosting employee engagement and loyalty, the report says that employees are six times more likely to be engaged when their leaders demonstrate genuine empathy and not merely as 'understanding'. The empathy needs to be backed by actions taken for addressing employee needs, says the report.. The data from the survey, however, suggest a gap between perception and reality. It found that while 59 per cent of employees acknowledge that their leaders express empathy, other 41 per cent feel these gestures lack meaningful follow-through. According to the report, when empathy is backed by concrete actions, employees are seven times more likely to report above-average engagement and six times more likely to feel a strong sense of belonging. The 80 per cent workforce: Addressing the needs of non-desk-based employees According to the report, the 80 per cent workforce, referring to the large majority of the global employees who are not corporate or desk-based employees, including frontline, retail, and service staff, often feel sidelined in organisational culture. Only 45 per cent of the respondents said they feel valued by their organisations, compared to 68 per cent of their corporate peers. Only 35 per cent of them feel they have the autonomy to manage personal errands, and just 45 per cent feel supported in learning new skills. The report underscores this as a critical area of intervention for fostering an inclusive work culture. It has warned that failing to engage this essential segment of the workforce could lead to higher turnover rates. "Over the past two years, organisations across all industries have found it increasingly difficult to retain the 80 per cent. Forbes reports turnover rates of up to 500 per cent per year," the report said. Flexibility non-negotiable for employees According to the report, 'flexibility' in work arrangements has emerged as a non-negotiable for todays employees. As many as 73 per cent of the respondents, including white-collar roles, frontline and non-desk employees, said they would consider leaving their job if not offered sufficient flexibility in how, where, and when they work. The report reveals that implementing flexibility that is both equitable and practical is a challenge for organisations, particularly in countries like India where frontline workers constitute a large part of the workforce. The report suggests that flexibility to be customised to different roles ensuring all employees feel supported. Skill development: A pathway to retention Upskilling is a key strategy for companies to address the talent scarcity and retention challenges, according to the report. The report reveals that only 10 per cent of the 80 per cent workforce feel they have adequate access to the necessary tools, technology, and growth opportunities to advance in their roles. The report calls for organisations to close this gap and says that investing in continuous learning see 14 times increase in employee engagement and 10 times increase in fulfilment. Domestic soybean prices are around Rs 4,600 ($54.84) per 100 kg, lower than the state-fixed support price of Rs 4,892. India has raised the basic import tax on crude and refined edible oils by 20 percentage points, the government said on Friday, as the world's biggest edible oil importer tries to help protect farmers reeling from lower oilseed prices The move could lift edible oil prices and dampen demand and subsequently reduce overseas purchases of palm oil, soyoil and sunflower oil. After the duty hike announcement, Chicago Board of Trade soyoil extended losses and fell more than 2 per cent. New Delhi on Friday imposed a 20 per cent basic customs duty on crude palm oil, crude soyoil and crude sunflower oil from Sept. 14, the notification said. It will effectively increase the total import duty on the three oils to 27.5 per cent from 5.5 per cent as they are also subject to India's Agriculture Infrastructure and Development Cess and Social Welfare Surcharge. Imports of refined palm oil, refined soyoil and refined sunflower oil will attract 35.75 per cent import duty against the earlier duty of 13.75 per cent. Reuters reported in late August that India was considering an increase in import taxes on vegetable oils to help soybean growers ahead of regional elections due in Maharashtra later this year. "After a long time, the government has been attempting to balance the interests of both consumers and farmers," said Sandeep Bajoria, CEO of Sunvin Group, a vegetable oil brokerage. The move has increased the likelihood of farmers receiving the minimum support price set by the government for their soybean and rapeseed harvests, he said. Domestic soybean prices are around Rs 4,600 ($54.84) per 100 kg, lower than the state-fixed support price of Rs 4,892. India meets more than 70 per cent of its vegetable oil demand through imports. It buys palm oil mainly from Indonesia, Malaysia and Thailand, while it imports soyoil and sunflower oil from Argentina, Brazil, Russia and Ukraine. "India's edible oil imports consist of more than 50 per cent palm oil, so it's obvious that the Indian duty hike is going to have a negative impact on palm oil prices next week," said a New Delhi-based dealer with a global trade house. Congress leader Pavan Khera claimed that Buch traded securities worth nearly Rs 36.96 crore while she held positions. (Photo: PTI) The Congress on Saturday continued its attack against Madhabi Puri Buch, chairperson of the Securities and Exchange Board of India (Sebi), accusing her of trading in listed securities while being in a position which offered her access to unpublished price-sensitive information (UPSI). Congress leader Pavan Khera claimed that Buch traded securities worth nearly Rs 36.96 crore while she held positions in the market regulator between 2017 and 2023, which he said amounts to a violation of the code of conduct and a conflict of interest. Click here to connect with us on WhatsApp Khera further added that Buch held foreign assets between 2017 and 2021 and questioned if the government was informed about these holdings. The Congress leader said that Buch held investments in Vanguard Total Stock Market ETF, ARK Innovation ETF, Global X MSCI China Consumer Discretionary ETF, and Invesco China Technology ETF. We are always worried about why money is coming from China. But it is very disturbing that the Sebi chairperson was investing money in Chinese funds, said Khera. However, the opposition did not clarify in which listed securities the Sebi chief traded. Has Madhabi Puri Buch or anyone in her family dealt with companies whose names were leaked in Panama papers or Paradise papers, questioned Khera adding that the party would make more revelations. The Congress leader also questioned why Mahindra & Mahindra (M&M) paid Madhabis husband Dhaval Buch and their consultancy firm Agora Advisory separately. The fresh allegations come a day after Madhabi and her husband refuted the allegations by the opposition party in a six-page letter, calling the claims false, malicious, and motivated. It appears that the allegations are being made in instalments, only to keep the pot boiling. If the objective had been to arrive at the truth rather than to malign individuals and institutions with distortions of facts, we wonder why all allegations would not be brought out, in public, at one go. We would then have given all the facts at one go, the couple said in a response on earlier allegations on Friday. The Buchs added that Madhabi has never dealt with any files related to the ICICI Group, the Mahindra Group, Pidilite, Dr Reddys, Alvarez and Marsal, Sembcorp, and Visu Leasing at any stage after her joining Sebi. In the joint statement, the couple refuted claims of getting incomes from corporates in return for favour, by stating that such questions were defamatory to the companies. Shiv Sena (UBT) leader Aaditya Thackeray has welcomed Delhi Chief Minister Arvind Kejriwal's release on bail and used the occasion to take a swipe at those who split the Shiv Sena in 2022. In a post on X, Thackeray on Friday said truth prevails over politics. "Glad to see @ArvindKejriwal ji walk out and ready to fight for democracy and the Constitution again," he said. "There are coward gaddaars who betray their own and run away, and on the other side there are those like Arvind ji who choose to fight for the truth! Satyamev Jayate!" Thackeray said in the post in a reference to the rebellion led by Maharashtra Chief Minister Eknath Shinde. Shinde brought down the Maha Vikas Aghadi (MVA) government led by Uddhav Thackeray in June 2022 and went on to become the chief minister with the support of the Bharatiya Janata Party (BJP). Ajit Pawar-led Nationalist Congress Party (NCP) also joined his government in July last year. Kejriwal walked out of Tihar Jail on Friday, hours after the Supreme Court granted him bail in the CBI case linked to the alleged excise policy scam holding that prolonged incarceration amounts to "unjust deprivation of liberty". Kejriwal, who was arrested on March 21 by the Enforcement Directorate (ED) in the same case and later by the Central Bureau of Investigation (CBI) which was probing the corruption charges, stepped out after spending 155 days in jail. Jayarajan has been keeping away from public programmes and party functions for some time. Image: X@EP_Jayarajan Amidst reported differences with the party leadership, veteran CPI (M) leader E P Jayarajan on Saturday called on Chief Minister Pinarayi Vijayan and held discussions with him at the Kerala House in New Delhi. Both the leaders reached the national capital to pay their last respects to party general secretary Sitaram Yechury who died in a Delhi hospital on Thursday after battling a lung infection. Click here to connect with us on WhatsApp The meeting assumes significance in the wake of media reports that differences have cropped up between him and the party leadership following his removal as LDF convenor recently. Jayarajan has been keeping away from public programmes and party functions for some time. However, he told reporters that there was nothing new in his meeting with Chief Minister Vijayan. Jayarajan said he used to visit the CM whenever time permits, especially when he arrives in New Delhi. "We all are part of a party family. We all have love and respect towards each other," he said in New Delhi. He also accused the media of propagating wrong news based on misinterpretations and urged them think in a "right manner". The ruling CPI(M) in Kerala on August 31 announced that E P Jayarajan would no longer hold the post of LDF convener and would be replaced by party leader T P Ramakrishnan. The veteran Marxist leader has reportedly been under fire from the party ever since he had admitted, in April, to meeting BJP leader Prakash Javadekar. Meta Platforms Inc. entered into a 2018 deal with Google after concluding internally that it couldnt successfully compete against it. (Photo: Shutterstock) By Leah Nylen Meta Platforms Inc. entered into a 2018 deal with Google after concluding internally that it couldnt successfully compete against the search giant, because of its monopoly over the technology undergirding online display advertising, a former Facebook advertising executive testified as part of a US Justice Department antitrust trial. Brian Boland, who headed Facebooks advertising technology between 2009 and 2019, told a Virginia federal court that the social network initially aimed to directly challenge Google in the market for display ads sold on websites. The Facebook Audience Network sought to allow marketers to run ads on the companys social networks, Facebook and Instagram, as well as buy them on websites and in apps. Click here to connect with us on WhatsApp But by 2017, Facebook had concluded that it would struggle to effectively compete against Alphabet Inc.s Google because of its monopoly and the advantages the search giant gives itself within its advertising tools. Google sits between us and the impressions we want to buy, a July 2017 strategy memo about the Facebook Audience Network said. Googles tools give it the opportunity to cherry pick the best supply. Knowing there would be a layer between us and advertisers was a concern, Boland told Judge Leonie Brinkema, who will decide on the Justice Departments allegations that Google illegally monopolized advertising technology markets. Googles advertising exchange gave it a so-called last look in online auctions, allowing the company to decide after an ad was auctioned off that it wanted to buy it. Boland likened the technique to Google being able to select the 30 best apples from a crate before anyone else got the chance to buy. Youre left with the leftovers, Boland said. Boland oversaw six months of negotiations between Facebook whose corporate name was changed to Meta Platforms in 2021 and Google for a deal that was eventually signed in 2018. The agreement, internally nicknamed Jedi Blue, gave Facebook preferential treatment when bidding through Googles exchange for web or mobile app ads within its Facebook Audience Network. The pact between Google and Facebook, the No. 1 and No. 2 players in the online advertising market, was approved at the highest levels of both companies, with Facebook Chief Executive Officer Mark Zuckerberg and Google chief Sundar Pichai personally signing off. The details of the Facebook-Google deal, officially named the Network Bidding Agreement, werent revealed in Fridays court testimony. But documents shown in court said that Google was looking for Facebook to pay 15 per cent of working media cost in order to remove the last look advantage. A group of state attorneys general, who sued Google in 2020 over alleged monopolization of the ad tech market, initially said that the companies agreement violated the antitrust law. They alleged that Google offered the deal to Facebook in exchange for the social media company abandoning plans to adopt a new type of technology, known as header bidding, that would have undercut Googles monopoly. A judge in New York threw out those allegations, saying there is nothing inexplicable or suspicious about what led the companies to enter into the agreement. European antitrust enforcers, who also looked into the deal, closed their investigation into it in March 2022 without taking any action. When the Justice Department sued Google last year for monopolizing the advertising technology market, they didnt allege the agreement was anticompetitive, instead highlighting that even a tech giant of Metas size couldnt compete. Boland, who left Facebook in 2020, said he expressed concern internally about the Facebook networks lack of growth in online display advertising. The project eventually stopped buying display ads on the web, instead shifting its focus entirely to mobile ads. In the 25 years since the U.S. State Department has designated countries with the worst records of religious rights violations as Countries of Particular Concern (CPC), it has applied sanctions related only to those violations just three times, according to a new report. Sanctions are among the actions the International Religious Freedom Act of 1998 (IRFA) calls on administrations to impose on CPCs, but those specifically in response to religious freedom violations have been used in only 1.8 percent of the 164 CPC designations since 1999, all in reference to Eritrea, according to the report this week by the U.S. Commission on International Religious Freedom (USCIRF). CPC designations resulted in application of sanctions already in place for other reasons in 67.7 percent of cases, USCIRF reported. In 24.4 percent of the CPC designations, countries violating religious freedom were granted a presidential waiver from sanctions based on national interests. Failure to apply sanctions has diminished the effectiveness of the U.S. State Departments annual designation of countries as violators of religious freedom, stated the report by USCIRF, which interviewed former and present department figures and other stakeholders.Interviewees viewed the limited use of specific actions as the primary barrier to greater effectiveness of the Act. Of the 114 times CPC designations resulted in sanctions, 111 of those were instances of double-hatting, where sanctions were already in place for other reasons, such as geopolitical and economic factors. Presidential waivers were granted to CPCs 47 times, 40 for reasons of national interest and seven to further purposes of the Act, through other diplomatic means. Stay informed with The Christian Daily Newsletter Sign up Asked about the reports assertion that limited use of specific actions such as sanctions is the primary barrier to greater effectiveness of the 1998 IRFA, a State Department spokesperson said each country designated as a CPC presents unique challenges and a different potential for change. The measures the United States carries out or waives with respect to a CPC are part of a broader strategy that aims to improve religious freedom protections in that country, the spokesperson told Christian Daily International. Waivers justified on grounds of furthering the purposes of the IRFA were used five times for Saudi Arabia and twice for Uzbekistan, according to the report. For both countries, these waivers were granted in connection with ongoing and significant diplomatic engagement on religious freedom concerns, which saw meaningful, if incomplete, progress on some religious freedom violations, the report states. A former senior State Department official recalled that in both instances, these waivers came amid intense negotiations with those governments that made clear what violations required a CPC designation and what steps could be taken to address them in the particular context of each country. Saudi Arabia, where public expression of any non-Islamic faith is prohibited, has been designated a CPC every year since 2004, but due to its role as key strategic ally in the Persian Gulf and a major energy partner, U.S. administrations have not imposed IRFA sanctions, the report stated. In 2006, the State Department announced that bilateral discussions related to Saudi Arabias CPC designation had produced a list of policy changes the Saudi government would implement, accompanied by an indefinite sanctions waiver to further the purposes of the Act implicitly tied to the pursuit of those policies, the report stated. Primary among the requests were revisions to intolerant content in school textbooks, protections for non-Muslim private worship, and limitations to the notoriously overreaching state religious police, USCIRF stated. Despite marginal gains on these issues at the time, in redesignating Saudi Arabia as a CPC in July 2014, the State Department again granted a sanctions waiver, citing important national interests of the United States. Every year since, USCIRF country reports have recommended against the waiver, but the State Department has renewed it. The State Departments primary engagement on religious freedom issues in Saudi Arabia have included ambassador-level discussions on human rights, meetings between U.S. religious freedom officials and Saudi government officials, pressure applied to the Saudi Ministry of Culture and Information on the textbook issue and educational exchanges to build religious tolerance, according to the report. While the Saudi government did not meet the desired timelines on the policy outcomes it agreed to in 2006, progress on all three can be seen today, the report states. In the case of textbooks, reforms were made in fits and starts through the mid-2000s. In 2020, an independent Non-Governmental Organization observed several improvements, though some problems persisted. While legal protections for the private religious practice of non-Muslim faiths have not been enacted, human rights groups report a de facto loosening of restrictions and oversight, especially when compared to the environment of 20 years ago, the USCIRF report stated. In the case of the religious police, royal decrees gradually reduced their autonomy, and in 2016, an act by the royal Cabinet revoked their authority to arrest, interrogate, and detain independently. While these policy outcomes happened amid a decade of U.S. government engagement, domestic changes within Saudi Arabia had the most significant effect on improvements in religious freedom namely, Mohammed Bin Salman bin Abdulazizs ascension to Crown Prince in 2017, followed by a renewed focus on reform and a desire for religious moderation, according to the report. Bin Salmans reforms included further marginalizing of religious police, codifying laws previously at the discretion of religious courts and some limitations on the male guardianship system, the report stated. However, Bin Salman has also used many of these developments to consolidate his power domestically and appeal to foreign partners by nodding to liberalization without enacting policies that create systemic change, USCIRF stated. Egregious violations of religious freedom persist under the new Crown Prince, some at a scale previously unseen. In 2022, for example, Bin Salman presided over the largest mass execution in Saudi Arabias history of people convicted of holding deviant beliefs and terrorism, with over half of the prisoners from the Shia minority, the report stated. Laws that criminalize blasphemy, apostasy, and atheism remain in place and still result in executions, USCIRF reported. U.S. engagement has had ostensibly little effect on changes to these laws. While some aspects of the religious freedom situation in Saudi Arabia have improved through the period of IRFA engagement, grave violations remain. Saudi Arabias position as a special partner significantly complicates U.S. diplomatic attempts to improve the Saudi human rights framework and has been an impediment to the United States imposing meaningful sanctions based on the CPC designation, the report noted. Further, U.S. sanctions waivers predicated on bilateral discussions have not produced results in a timely manner, the report stated. While tangible gains have been made, many of the more recent changes are linked to considerations and developments within the country rather than the CPC designation, which has, over time, been rendered all but toothless given nearly two decades of uninterrupted waivers. The 40 times U.S. administrations have used national interest waivers pertained to six countries Nigeria once, Pakistan six times, Saudi Arabia 10 times, Tajikistan nine times, Turkmenistan 10 times and Uzbekistan four times, according to the report. Interviewees expressed concern about the perpetual use of the waiver, USCIRF stated. One former official noted that repeatedly waiving punitive measures can make the CPC designation be seen as worthless, especially by regimes who will not care unless there is some economic impact. At the same time, the former official noted, when there was a robust policy of engagement and genuine economic impacts, there were examples of both short-term (e.g., prisoner releases) or longer-term progress in some countries. Another former official recalled an IRF ambassadors questioning of a longstanding waiver becoming an incentive for change. When the possibility of removing the waiver was included in a letter to the foreign minister, the IRF ambassador understood this as a type of saber-rattling, the report stated. It became part of a carrot and stick approach that led to a ministerial-level change in that country and improvements resulting in it ultimately coming off the State Departments CPC list altogether. While recognizing the necessity of the waiver, legislative or policy fixes that would limit the amount of waivers before you take action would be a significant development, a congressional staffer told USCIRF researchers. Doing away with indefinite waivers that require no meaningful actions was one of the changes to U.S. international religious freedom policy most frequently suggested by interviewees. The perception of the waivers as perpetual and without conditions undercuts the value of the CPC designation as a tool for advancing international religious freedom, the report stated. A CARLOW man was served with a book of evidence in the local district court and was sent forward to appear at the next sitting of the circuit court to answer numerous charges, including the theft of goods worth 3,000 from a local Boots pharmacy. David Murphy, 67 Willow Park, Carlow was served with the book of evidence in connection with the theft of goods from Boots pharmacy, Fairgreen Shopping Centre, Carlow and causing criminal damage there on 26 February. He also faces charges of possessing an article, namely a hammer, at an address in Woodgrove, Carlow on the same date. Mr Murphy (45) was given an alibi warning by Judge Geraldine Carthy and was ordered to appear before Carlow Circuit Court in November. A separate charge of threatening to kill, which arose from an incident that occurred at Carlow Courthouse on 21 March, will be dealt with at district court level and was adjourned until 4 November. By Jonathan McCambridge, PA Detectives have launched a murder investigation after a womans body was discovered in the garage of a house in east Belfast. The victim has been named by police as 43-year-old Rachel Simpson. One man has been arrested on suspicion of murder. Detective Chief Inspector Neil McGuinness from the Police Service of Northern Irelands major investigation team (Liam McBurney/PA) Detective Chief Inspector Neil McGuinness said: While responding to a concern for safety at a house in the Castlereagh Road area shortly after 10.30pm on Friday 13th September, officers discovered Rachels body in a garage beside the property. A man, aged 21, was arrested at the scene on suspicion of murder, and he remains in police custody at this time. Officers remain at the scene as we continue with our investigation. A post-mortem will be carried out to establish the cause of death. I am appealing to anyone who noticed anything suspicious in the area between 5pm and 10.30pm, or who has CCTV or dashcam footage, to please contact Detectives on 101 and quote reference 1693 of 13/09/24. An officer in white forensic overalls was at the side of the property. SDLP councillor Seamas de Faoite said it was horrific news. In a social media post he said: Another woman murdered in NI. We have a gender-based violence crisis here it is utterly chilling. My thoughts are with Rachels family and friends. This is a very settled area of East Belfast a busy part of the Castlereagh Road. Alliance Party MLA Peter McReynolds said: I am deeply saddened to hear of the heartbreaking news of the death of Ms Simpson. My sympathies are with her family and loved ones. The whole community is completely shocked upon hearing the devastating news. This is yet another tragic loss of a female life in the east Belfast area. He added: I urge anyone with relevant information that could help the police in their investigations to get in touch with them immediately. In the first week of September, more than one hundred evangelical Christians from Israel, the Palestinian territory of the West Bank, Lebanon and Jordan came together for three days in Amman under the theme That They May Be One. Hosted by the Alliance of Evangelical Councils in Jordan and the Holy Land, the extraordinary meeting was overshadowed by the ongoing war in Gaza, the mourning for fellow Christians killed in the conflict and the struggle with the reality that many in the global Church seem ignorant or indifferent to the suffering of their brothers and sisters in Christ in the region. Palestinian journalist Daoud Kuttab, who reported for Word&Way about the rare gathering of Christians from the four countries, writes that the war in Gaza and the international response over the past year has alienated and angered many Palestinian Christians, who feel their co-religionists around the globe are oblivious to the suffering the war has brought. Hamas' attack on Israel on October 7 left some 1,200 people dead, and of the more than 250 hostages taken to Gaza, almost one hundred remain unaccounted for. Israel's response over the past eleven months has resulted in widespread devastation and reportedly cost more than 40,000 lives. Hamas has been accused of embedding itself in civilian infrastructure like schools and hospitals, hiding in underground tunnels, and using the Palestinian population as human shields, while Israel has been accused of violating international law by indiscriminate bombing and using Palestinian civilians as human shields to scout dangerous places. While Gaza is overwhelmingly Muslim, the small Christian community has been caught in the crossfire as well. A fact that some Christians in the Middle East feel is not understood or recognized by fellow believers in other parts of the world. Churches and a Christian-run hospital have been hit by Israeli shells with hardly a word from Christian leaders, they lament, amid reports that at least 23 Christians were among those who died in Gaza. Stay informed with The Christian Daily Newsletter Sign up Especially painful are news headlines of politicians who publicly flaunt their Christianity like last January when former U.S. Vice President Mike Pence approvingly signed his name on Israeli bombs destined for Palestinian and Lebanese communities. The worldwide polarization surrounding the war is also reflected in the global Church. While some Christians are calling for an immediate ceasefire and emphasize the need to prevent more loss of life and negotiate the release of the hostages, others support Israeli Prime Minister Netanyahus stance that there cannot be peace unless Hamas is defeated. After all, Hamas pledged to eradicate Israel at all costs and promised to repeat the October 7 attacks again and again. Adding to the complexity of the situation, Hezbollah's continuous rocket fire from Lebanon into northern Israel forced people in the region to flee their homes and has raised the specter of a wider war with the Iran-back group. (An op-ed published on Christian Daily International last February captured some of the dilemmas of the different views, their reasonings as well as their limitations.) Yet what Christians in the Middle East bemoan is that fellow believers in many parts of the world seem to forget that they even exist, let alone that they understand their daily reality. Simply for believers in the region to meet poses a challenge, Kuttab writes. While, technically, Arab Christians in Israel can visit Jordan and Palestine, the opposite is not true especially since Oct. 7. The Israeli embassy that would normally issue visas to Jordanians has been closed since Hamas attack on southern Israel. Palestinians from the West Bank need Israeli army-issued permits to travel to nearby Nazareth or even East Jerusalem, but no such permits have been issued since the war on Gaza. Kuttab goes on to write that during the gathering in Amman difficult stories were told about the war in Gaza and the dislocations caused by the Israeli occupation in the West Bank. He describes how participants shed tears as they looked at photos of Palestinian Christian families who died in Gaza and listened to stories of the difficult life in the West Bank. Then they prayed for a ceasefire in Gaza and for the conflict and suffering to come to an end. There was also a strong sense among participants that evangelicals in the region must become more united despite the tense situation that can strain relationships among Christians. The theme itself was inspired by Jesus last prayer as recorded in the gospel of John 17:20-23, where he prayed for unity among his disciples. Kuttab reports that Lebanese pastor and director of the Living Word Ministry in Beirut, Rev. Charlie Costa, encouraged participants to seek unity despite differences. Although there may be disagreements on matters of faith among Christians, [they] should look to biblical teaching in resolving disputes, while avoiding public criticism and defamation, Costa emphasized. We need to keep these differences in confidence, communicating calmly with the offender in the presence of brothers in adhering to the biblical mechanism of resolving disputes while maintaining unity, he said, as he referred to a recent argument between Palestinian Christians and an Arab Christian TV anchor, Kuttab writes. Another speaker, Matt Nance, who heads up the Indiana-based Christian Holy Land Foundation and attended the gathering in Amman, addressed the participants on the last day emphasizing how much Christians in the Middle East matter for the global body of Christ. Meetings like the one in Amman are not only relevant for the churches locally but are important for believers around the world, he said. We think that the issues the Church and the Holy Land are facing are critically important to the Holy Land, its critically important that Christs followers are at the center of peacebuilding and unity building in this place, Nance said. But we also think that the struggles that the Holy Land Church is facing are a microcosm of the struggles that the Church in the entire world is facing. Referring to politics, marginalization and justice, he said that Christians in his own country struggle with some of the same issues. And he added that he believes the voices of the Christian leaders who have been stewarding our faith for 2,000 years is a very important and necessary voice as we are trying to understand the world we are living in. Although the meeting ended on a high note, Kuttab describes how participants were promptly reminded of the current reality as Israel blocked all border crossings following a violent incident in which three Israeli soldiers were killed. After a three-hour delay, Palestinian citizens of Israel could cross the border, but Palestinians from the West Bank were not allowed passage for two days. Jordanian families took care of their Palestinian brothers and sisters until they were able to return home. Concluding his report, Kuttab writes that as Christians in the Middle East continue to plea for the global Church to understand and empathize with them, the conference participants agreed that Arab evangelicals must search for the common ground between themselves and the global Church for this dialogue to unify all Christians behind the calls of Jesus to all his followers to be peacemakers. Update Sept 16: more context was added regarding Hamas and Israel. On Friday morning, the Covenant College cross country teams competed in the Southern Showcase and had the unique opportunity to test itself against several NCAA Division I and Division II teams. Racing in the 8k for the men and 5k for the women, Covenant had several runners set new personal records. On the men's side Covenant finished 31st out of 47 teams while on the women's side the Scots placed 38th out of 49 teams. Alabama was the team winner in both races. Josiah Mellott led Covenant with a time of 26:42.47 that placed him in 205th. The next Scots runner to finish was Daniel Bowles, posting a time of 27:03.331 to secure 235th place. This was a new personal record time in the 8k for Bowles. After winning the 6k Mountainside Stride, Ethan Saiki ran the 8k in 27:10.67 to finish 243rd. This time was another personal record for the Scots. Troy Daniel was the fourth Covenant runner to finish as he posted a time of 28:56.70 to place 350th. Not far behind Daniel was Alex Burns with a time of 29:05.412 and a 354th place result. This time beats his personal record in the 8k by seven seconds. Samuel Harrelson with a time of 29:23.61 and John Purtill with a time of 29:23.62 were neck-and-neck to secure 361st and 362nd place. Harrelson's time is a new personal record. The final Covenant runners were Aidan DeVries (29:30.622, 366th), Jacob Howington (29:34.16, 370th), and Chandler Lines (30:24.91, 392nd). WOMEN'S RESULTS For the second meet in a row, Evangelia Berry led Covenant with a 5k time of 20:36.68 to place 292nd. This time shattered her previous 5k record from last season. Emerson Tillery posted a time of 20:58.0 which put her in 308th place. This is an improvement by over 2.5 minutes from her lone 5k race in 2023. Maggie McGinley was the next runner to finish for the Scots with a time of 21:25.64 and a 328th-place result. This beats her personal-record time by four seconds from 2022. Finishing with a time of 21:45.18 and in 341st place was Lydia Moore. Freshman Kenzi Stapleton (22:34.22, 370th), Greta McLean (22:47.45, 375th), and Sophia Velleco (23:13.67, 382nd) all competed in their first 5k event for the Scots. Claire Mellott set a personal record in the 5k with a time of 23:11.62 and a 381st-place result. The final Covenant runner was Kali Hina who placed 411th with a time of 24:48.99. The Scots have next weekend off before racing in the Maryville College XC Invitational Sep. 27. Criminal Court Judge Boyd Patterson has declined to rule out any evidence the state plans to bring in the murder case involving the grisly death of Jasmine Pace. The judge earlier upheld the use of items found at Jason Chen's apartment on Tremont Street, though Pace family members went into the unit several times and took some items. After a lengthy hearing on Friday, Judge Patterson overruled objections by attorney Josh Weiss to other evidence and searches. An out of town jury is to be selected the first week of January, then the trial will take place the following week. During the hearing, the state showed a photo of the location by Suck Creek Road where the victim's body was found stuffed into a large blue suitcase. It was testified earlier that she had some 60 stab wounds. The location was next to a 20-foot slope leading down to the Tennessee River, but the suitcase was in plain view. Investigator Zackary Crawford said it is believed that Ms. Pace was killed in Chen's apartment in the early morning hours of Nov. 23, and the body was discovered after an extensive search in the early afternoon of Dec. 1. He said cameras at the apartments where Chen lived showed his comings and goings. He said one video showed Chen leaving the evening of Nov. 23 with a suitcase and returning in less than an hour without it. He said Chen left the apartment at 6:06 p.m. and returned just before 7 p.m. The investigator said there was a smaller suitcase in Chen's closet and a bare spot next to it where a larger suitcase may have been kept. There were skid marks in the back of Chen's Toyota Camry that matched up with the wheels on the suitcase, he stated. He said there were widespread searches for the victim, including in North Chattanooga and Mountain Creek, and he himself spent eight hours one day combing the Moccasin Bend area. The witness said Suck Creek Road became a focal point, noting it would have been within reach from the time that Chen was gone with the suitcase. He also said it is a remote location where bodies have been found in the past. Investigator Crawford said on Dec. 1 he asked several detectives to meet at a place on Suck Creek Road where there had been a fire on the day it is believed Ms. Pace was murdered. He thought that could be a tie-in. He said the detectives decided to stop off at 1600 Suck Creek Road, which is the first available pulloff coming from Chattanooga. There one of the detectives discovered the suitcase. The witness said that location is close to where Ms. Pace's car was located at a Mountain Creek Road apartment complex and where her phone went silent after a visit to the Walmart on Signal Mountain Road. Investigator Crawford said he was alerted to the fact that Chen bought cleaning supplies at a nearby Walgreens by finding at his residence a Walgreens tag for the North Market Street store on a roll of paper towels. Property owners in the town of Lookout Mountain, Ga., will have a small property tax increase in 2024. Assessments of real estate are done each year by Walker County and are calculated by sales. The city taxes are based on 40 percent of the assessed value. This year, the proposed millage rate will be 6.4, down from last years rate of 6.5. But because of the increased value of assessments, including new construction, property taxes will increase by 2.24 percent. The tax increase will generate additional revenue of $30,000 for the city. That will mean the tax increase for a home with a fair market value of $225,000 will be approximately $21.12. At the September meeting the city council approved the new rate on the first reading. There will be two other public meetings where citizens can weigh in. The second will be held next Thursday at 8 a.m. and a third on Saturday, Sept. 21, at 6 p.m. A final vote to set the tax rate will come after the third public hearing. At the end of August, Lookout Mountain, Ga. held a special called meeting to discuss the town center development. The council voted to move forward with re-releasing the request for proposal for commercial development around city hall. The plans that the city would like for the property and requirements are the same as they were several years ago. The deadline to submit proposals is 1 p.m. on Oct. 4. The speed limits will be changing on Lula Lake Road. From the Tennessee state line to Wood Nymph Trail, which passes Fairyland Elementary, the speed limit will be reduced from 25 mph to 20 mph. And from Wood Nymph south to the city limits, the speed will be increased from 25 mph to 30 mph. Police officers have stepped up traffic enforcement, and during August, issued 18 citations along with multiple warnings, with a concentration around the school zone. Seven of the volunteer firefighters have now completed the Fire Fighter 1 certification. The certification takes a year to complete and will help keep insurance rates down in the city. New portable radios have been bought for the volunteer firefighters that will be logged in with Walker County 911. Mr. Lee gave an update to the sewer remediation project. An application has been submitted to the Georgia Environmental Finance Authority for financing the work. Final plans and specs are due to be submitted to the Environmental Protection Division of the Georgia Department of Natural Resources by Dec. 2. Kevin Leckenby, the council liaison with public works, said the gas line replacement on Wood Nymph Trail is finally complete. Erosion control measures will remain in place until the vegetation returns. Restriping the roads will be done this year. The fleet of public works vehicles are all in working condition now except the blue tractor mower. A used replacement mower is in service until the tractor is repaired. The garbage trucks transmission has been replaced at the cost of $14,000. And the leaf machine, a priority at this time, has been patched. The citys salt spreader is being prepped, he said, to be ready when needed. During August rock work was placed in the bluff curve of Wood Nymph and reflectors were placed on portions of that road and on Fort Stephenson. The next fundraiser for Fairyland Elementary will be the annual Pumpkin Chase. It is designed to teach the importance of fundraising to the students. Direct donations can also be made to the school, said Council Member Caroline Williams. She also said that the school needs and is actively looking for substitute teachers. Substituting would be a good opportunity for an individual who would like to work part-time. By Order of the Tennessee Supreme Court, the law license of former City Judge Walter Williams was transferred to disability inactive status pursuant to Section 27.4 of Tennessee Supreme Court Rule 9. The high court said, "Mr. Williams cannot practice law while on disability inactive status and shall comply with the requirements of Tennessee Supreme Court Rule 9, Section 28, regarding the obligations and responsibilities of attorneys transferred to disability inactive status. "Mr. Williams may return to the practice of law after reinstatement by the Tennessee Supreme Court upon showing that his disability has been removed in accordance with Tennessee Supreme Court Rule 9, Section 27.7." In a recent Profile of Valor on Texan Marcus Luttrell, I mentioned his fellow SEAL, Dan Crenshaw, now serving with other Republican combat Veterans in Congress. Dan grew up in a sixth-generation Texas family. His father was an executive in the oil and gas industry, so in his early years, were spent in several countries as necessitated by his fathers job. He was born in Aberdeen, Scotland, and lived in Ecuador and Colombia, but his home is Katy, Texas, west of Houston. Dan says he aspired to be a Navy SEAL since he was a teenager, when he first read about the heroic actions of those esteemed special operators. After graduating from high school in 2002, he degreed in international affairs at Tufts University.At Tufts, he joined the Naval Reserve Officers Training Corps, commissioning as a Navy Ensign after graduation. His orders were straight to Basic Underwater Demolition/SEAL training (BUD/S) at the Naval Amphibious Base Coronado, where, after six months of requisite training, he graduated with BUD/S Class 264. It was there that he met his future wife, Tara. He completed SEAL qualifications in 2008 and became a Naval Special Warfare Officer. Dans Naval service covered 10 years, including five tours of duty in Afghanistan and Iraq with Operation Enduring Freedom and Operation Iraqi Freedom. The first of his deployments was with SEAL Team Three to Fallujah, Iraq. It was in his third deployment near Afghanistans Helmand Province in 2012, that his life would change in an instant. As his bio notes: After six months of combat operations, he was hit by an IED blast during a mission in Helmand province, Afghanistan. He was evacuated and awoke from his medically induced coma learning that his right eye had been destroyed in the blast and his left eye was badly damaged. Dan was completely blind and the doctors did not believe he would ever see again. Tara stood by him every day and night, keeping faith and praying he would see again. After several difficult surgeries and months of fighting a tough diagnosis, Dan eventually regained sight in his left eye, a miracle according to the head surgeon. Despite his injuries, Dan refused to be medically retired and spent four more years in the Navy, including a tour in Bahrain in 2014 and a tour in South Korea in 2016. It was after his second tour that he medically retired as a Lieutenant Commander with the SEAL Teams. His awards included two Bronze Stars (one with Valor), the Purple Heart, and the Navy and Marine Corps Commendation Medal with Valor, among others. Of his military service, he says, It was a time in my life that I dont regret for a second. After leaving the Navy, he earned a graduate degree in public administration in 2017 from Harvard Universitys John F. Kennedy School of Government. He returned to Texas, where his hometown was hit by Hurricane Harvey. His bio notes: The storm brought devastation the region had never seen. He spent his time volunteering in areas of Katy, helping his neighbors recover from the damage. He saw first-hand the unthinkable losses that Texas suffered, but he also saw Texan grit and resilience. This inspired him to do more for his community. Dan and Tara decided that the best way to serve the people of Texas would be in elected office. That drive to serve was the inspiration for his successful 2018 U.S. House campaign to become the representative for Texass 2nd congressional district, during which he focused on border security and immigration reform. His high-profile endorsement by another Veteran, Sen. Tom Cotton, secured his victory and a much higher national profile. He summarizes that drive today: "I see my country ripping itself apart at the seams, driven by outrage and cancel-culture, and I believe there's a path to a more resilient America." Dan is widely recognized by his right eye patch. That was the subject of a disgraceful joke in 2018 by former Saturday Night Live comedian Pete Davidson. According to Davidson, Crenshaw looked like a hit man in a porno movie but added that he lost his eye in war or whatever. Crenshaw responded: Good rule in life: I try hard not to offend; I try harder not to be offended. That being said, I hope NBC recognizes that vets dont deserve to see their wounds used as punchlines for bad jokes. A week later, NBC invited Crenshaw onto SNL, where Davidson offered an apology. Crenshaw graciously accepted and used the moment to advocate for services to wounded Veterans. Davidson and his ilk fall into the Gen N age group, a subset between the Gen Y Millennials and Gen Z cohort, which I characterize as The Narcissist Generation a cross section of young people who are largely indifferent to the sacrifices of the generations before them who have fought and died for the American Liberty they enjoy. Crenshaw has been reelected twice since 2018 and is among the long list of Veterans who have endorsed Donald Trumps 2024 candidacy. Dan hosts a podcast, We Hold These Truths, and authored a great book, Fortitude: American Resilience in the Era of Outrage. A few excerpts from his book: "Fortitude means having the courage to stand up for what is right, even in the face of overwhelming adversity. Adversity does not build character, it reveals it. In the face of fear, true courage is not the absence of fear, but the ability to push through it and do what needs to be done. True strength lies in the ability to remain calm and composed in the face of chaos and uncertainty. A strong sense of purpose is the foundation of true resilience. Resilience is not just about surviving adversity, but thriving in spite of it. He lives out those lessons daily. Dan and Tara are raising their family in Katy, Texas, where she is also an effective conservative political activist. LCDR Dan Crenshaw: Your example of valor a humble American Patriot defending Liberty for all above and beyond the call of duty, and in disregard for the peril to your own life is eternal. "Greater love has no one than this, to lay down ones life for his friends." (John 15:13) Live your life worthy of his sacrifice. Semper Vigilans Fortis Paratus et Fidelis Pro Deo et Libertate -- 1776 Join us in daily prayer for our Patriots in uniform Soldiers, Sailors, Airmen, Marines and Coast Guardsmen -- standing in harms way in defense of American Liberty, and for Veterans, First Responders, and their families. In Colossians 3:10-11 (NLT) the apostle Paul encourages believers to, Put on your new nature, and be renewed as you learn to know your Creator and become like him. In this new life, it doesnt matter if you are a Jew or a Gentile, circumcised or uncircumcised, barbaric, uncivilized, slave, or free. Christ is all that matters, and he lives in all of us. Our new nature a new life Christ is all that matters. This opinion piece is born out of a tumult of conversation already emerging in anticipation of the fourth Lausanne Congress on World Evangelization being held in Incheon, South Korea, popularly known as Lausanne 4 (L4). For the uninitiated, the name of the congress was fixed when the first congress was held in Lausanne Switzerland. The first gathering was convened by Billy Graham but with other Evangelical Protestant luminaries such as John Stott (Langham), and Clyde Taylor and Waldron Scott (World Evangelical Alliance) involved. Many other leaders participated, both Western and non-Western. While numerous conversation spaces exist in the Protestant world, those convened by the Lausanne movement have arguably been the most influential for grass-roots Evangelical Christians throughout the world. Stay informed with The Christian Daily Newsletter Sign up Evangelical essentials Among the values I appreciate about world Evangelicalism is that it embraces a great deal of diversity yet holds to a small set of non-negotiables. We can argue about what is actually non-negotiable, but we agree that the most important are: Father, Son and Spirit, the whole Bible, the whole gospel, and activity by the whole Church that blesses the whole world. When Evangelicals of different kinds come together, however, it is what our British friends would call a bun fight. Strong voices can vie for their perspective to be accepted as a universally orthodox Evangelical position. Out of these intersecting tensions of difference emerged some quite spectacular position statements: the Lausanne Covenant (L1, 1974), the Manila Manifesto (L2, 1989), and the Cape Town Commitment (L3, 2010). Fifty years after the first congress, the Seoul Statement (L4, 2024) will emerge, currently drafted but not yet debated. It would be rare to find a participant 0f previous Lausanne events fully satisfied with the official outcome. Creative tensions It would be rare to find a participant of previous Lausanne events fully satisfied with the official outcome. Some participants may have been more influential than others, but ultimately what emerged as the historic record was something of a tempered compromise. The written artifacts became reference tools to guide future generations of Evangelical students. The Covenant and the Manifesto were central to my undergraduate missionary training. I was not present for the conversation in Cape Town, but the writing of the Commitment was guided by my friend Christopher J H Wright (of Langham at the time), one of my MA in missions professors. L4 will be the first opportunity for me to actively participate in some small way in what will emerge as the Seoul Statement. As the convenors have stated, it is a once in a generation event. The opportunity excites me. Not because of the huge amount of content that the Lausanne Movement has already produced to prime us for the conversation, potentially constraining what can be discussed, but because of the many conversations that will emerge during and around the programwhich, for the first time, will be both in-person and online. When World Christianity of the Evangelical kind gathers there needs to be room for robust debate on issues of contemporary importance. When World Christianity of the Evangelical kind gathers there needs to be room for robust debate on issues of contemporary importance, whether or not they happen to be on the official agenda. What cannot happen is the imposition or dominance of one cultural perspective over another. While the subject matter discussed will be more conceptual than contextual, it is an opportunity for a unique co-creation to emerge from a meeting of hearts and minds from different parts of the world toward healthier global Evangelical unity. After all, the principles found in the three previous declarations did just that. In the essentials, unity There will always be non-negotiables for some that are not accepted by others. In missions circles we call these doctrinal preferences secondary issues, taking care to not let our different convictions spoil the unity of the whole. As champion of the Moravian movement, Count Nikolaus Ludwig von Zinzendorf, is quoted as saying, In essentials, unity; in non-essentials, liberty; in all things, charity (likely quoting Rupertus Meldenius). Non-negotiables for some that are not accepted by others secondary issues. While we all hold to a central commitment to the resurrected Lord Jesus, Protestantism has fragmented World Christianity according to cultural convictions that, while biblically defensible, are largely interpretations of scripture from within particular contexts. The conclusions may be fine for their context, or for the context in which they were first developed, but not necessarily applicable to another where the same texts are seen from a different vantage point. And we need to remain committed to extending liberty on these secondary issues. A Maori example The fractured lenses of our culturally-informed Christianities were no more evident to me than in some queries I received in response to the widely televised farewell to our Maori king and the coronation of his daughter, a young new Maori queen (27 years old). A Christian presence was publicly visible. Most expressions of faith in Jesus were represented during the week-long tangihanga (funeral). Some Evangelical friends wanted to know which denomination the Maori royal line belonged to. My answer, all of them, did not compute. For most Maori followers of Jesus such a question is irrelevant. The role of the royal family is to hold and promote "kotahitanga" (unity) among the confederation of Maori tribes. Their faith in Jesus makes room for all expressions of faith as they guard and promote the well-being of our people in all of our diversity. I am not advocating for a kind of universalism here, this unity is more sociological than theologicalan expression of full religious freedom. When the gospel first came to our lands in the early-mid nineteenth century, Protestant denominationalism among the first missionaries was very perplexing to Maori. On the one hand they were told of Jesus, the great equalizer and peacemaker for all peoples, yet at the same time Jesus followers among the British and Europeans were separated into quite distinct faith traditions. Which of them was the true faith? Maori followers of Jesus (by a recent accounting 90% of the population by the 1850s) tended to align with the denomination of whichever missionary worked among them, but such commitments were always secondary to inter-tribal relationships as Maori followers of Jesus. Where there was once brutal tribal warfare, in Christ it abruptly ceased. Denominationalism was not going to create it again. Present your offering to God When it comes to power encounters, the debate ends. Our God reigns, Jesus reigns. When Maori gather, the gospel can be freely shared, but as an offering, alongside other faiths present, narratives of the old gods, and stories of the accomplishments of famous ancestorsall accepted as non-fiction, with all participants learning in some way from one anothers convictions. This is what religious freedom looks like in a religiously pluralistic context. The very large turning to Christ by Maori was in no small way due to the fact that the biblical narrative of Jesus was tested and proved more powerful than the other stories. When it comes to power encounters, the debate ends. Our God reigns, Jesus reigns. A Maori paradigm would assume that whether as a family (local church or ministry), clan (regional organization), or tribe (national or global organization), groups are free to love and serve the Lord in ways most meaningful for their contexts. When different tribes gather together, as they did to farewell the king and welcome the queen, or as 10,000 or so of us will do for Lausanne 4, it is respectful to hold our distinct convictions lightly in favor of wider common commitments. We can offer our convictions into the mix, but the co-created outcome should represent a wide agreement on a matterthe leading of the Holy Spirit in the unity of the Son for the glory of the Father. Co-creating new creation At L4 some prior agreement on our communal Evangelical consensus may be open for adjustment to match the knowledge we have now and the times we live in, but the focus should never drift too far from our common unity. Whereas common interests for Maori are typically genealogical heritage and issues of self-determination, our well-being as a colonized people, for Evangelical Christians it is our love for Jesus, our oneness in Christ, and our desire for the whole world to have an opportunity to enjoy the blessings of reconciliation with God and one another through Christa mutual flourishing. Our commitment should be focused how we can co-create new creation in any given context. In my opinion, our commitment should be focused on how we can co-create new creation in any given contextthe tangible transforming evidence of Jesus reign, Gods kingdom in the world. This is something we can only hope to do in part on this side of eternity, but we do it with the assurance of fullness to come with Christs return. Our efforts to this end are our witness to the world as Jesus family. By demonstration and proclamation we aim for a propagation of the gospel that transforms our broken worlds with the love of Christmore often than not, requiring power encounters. Transformed in loving diversity Regardless of who we are, or what we think we should do, it is only Christ that matters. His life lived out in and through us makes all the difference as we meaningfully influence our contexts for Gods glory. Our new life in him transforms, elevates, enhances, our uniqueness as a Jew or a Gentile, circumcised or uncircumcised, barbaric, uncivilized, slave, or free, British or Maori, Chinese or American, Palestinian or Israeli, Zulu or Afrikaner, Rohingya or Burmese, North or South Korean. Through the cross, Jesus has broken down all walls of hostility created by laws that separate, whether Mosaic or from other backgrounds. In Jesus we mature in such a way that hostilities cease. Instead, we bring the best of who were are to positively influence one another toward the full stature of Christ. As 5,000 Evangelical leaders gather in Incheon, and potentially the same number again online, it will benefit us to keep our eyes on Jesus, the author and completer of our faith, even as we wrestle with problems that beset our worlds and hinder the demonstrative spread of the gospel. Through all the robust debate, may we aspire to love one another and treat each other with the upmost respect. God be gracious to us all. Dr Jay Matenga is a contexual theologian of Maori heritage. He serves as the Opinion Editor for Christian Daily International and is the Executive Director of the World Evangelical Alliances Mission Commission. Jay has served cross-cultural missions for over 30 years, with missionary deploying agencies and missions alliances. Jay's passion is to strengthen participation by the people of God in the purposes of God towards co-creating new creation for the glory of God. Home News Ohio school district sued for not bussing students to private schools An Ohio school district facing a lawsuit over its refusal to provide transportation to more than 1,000 students who attend non-public schools insists it's not breaking the law, though a legal complaint says otherwise. On Tuesday, the American Center for Law and Justice filed a complaint with the Ohio Supreme Court, seeking an order requiring the Columbus City School District in Ohio to resume the provision of transportation for private and charter school students living within its boundaries. While the school district is required to provide transportation for non-public school students, it can decline to do so only in cases where the transportation is deemed impractical for a variety of reasons. Get Our Latest News for FREE Subscribe to get daily/weekly email with the top stories (plus special offers!) from The Christian Post. Be the first to know. Subscribe Students may only be determined ineligible for transportation in certain circumstances and if the School Board complies with the prescribed process, such as properly determining whether the transportation would require more than thirty minutes of direct travel time as measured by travel between the traditional public school to which the student would have been assigned and the private or community school the student has chosen to attend, the lawsuit states. As explained in the legal document, the school district issued a resolution declaring the impracticality of transportation to 1,380 students who attend private or charter schools ahead of the 2024-25 school year. In many cases, the resolutions were issued within two weeks of the start of the new school year. This violates Ohio state law, which states that such resolutions must be issued no later than 30 calendar days before the first day of school. Ohio state law also requires school districts to offer to provide payment in lieu of transportation and give parents the option to engage in mediation, contesting a decision to exclude them from transportation. Marissa Siebold, named as a relator in the lawsuit, received a notice of the determination made concerning her child one day after the school year had already begun. While the notice contained an offer, it did not specify an exact amount. Siebold requested mediation but had not heard back from the school district at the time of the lawsuits filing. State law requires the school district to provide interim transportation for students whose parents seek to contest the decision about their childs transportation, but the complaint maintains that the Columbus City School District has failed to offer that option to Siebold. The complaint highlights the negative repercussions Siebold has faced as a result of the school district not providing her child with transportation to Tree of Life Christian Schools Middle School. She has been forced to take time off work to provide the transportation to school in the morning and home from school in the afternoon, reducing her income and jeopardizing her employment status, the lawsuit explains. In particular, she has had to reduce her regular work hours from approximately 55 to 45 hours a week as a result of the increased time having to be spent driving her children to and from school, the petition declares. Relator is paid an hourly wage; accordingly, this lost work time imposes a direct financial burden on her and significantly reduces her income and her ability to provide for her family. The document also cites wear and tear on her vehicle and gas expenses as well as an hour and a half to two hours of lost time driving her children to school as hardships endured by Siebold. The lawsuit asks the Ohio Supreme Court to issue a writ of mandamus compelling the Respondent Columbus City Schools Board of Education to provide transportation to and from private or community schools to Relators child and for each student on whose behalf mediation has been requested or will be requested as a result of an Impracticality Resolution, which transportation must begin immediately and continue until the matter has been resolved. Columbus City School District defended its decision to halt transportation for the private and charter school students. In a letter to the office of Ohios Republican Attorney General Dave Yost attached as an exhibit in the complaint, counsel for the school district wrote CCS believes its actions are consistent with the laws promulgated by the General Assembly and is complying with its legal obligations to transport students. CCS staff is working with the Ohio Department of Education and Workforce (ODEW) and families daily regarding CCSs determinations, the letter added. The school districts correspondence with Yost, dated Sept. 5, follows news that Yost was considering filing a lawsuit of his own over the transportation of non-public school students. According to the school district counsel, R.C. 3327.01, which is commonly known as the 30-minute rule, specifically permits CCS, and all Ohio public school districts, to determine that a student is ineligible for public school transport if such transportation would require more than thirty minutes of direct travel time as measured by school bus from the public school building to which the pupils would be assigned if attending the public school designated by district of residence. CCS has no legal obligation to transport ineligible students under R.C. 3327.01 or 3327.02, and students deemed ineligible for transportation are not entitled to mediation and/or payment in lieu of transportation. Instead, either CCS or the students parent and/or guardian can request an official timing to determine eligibility for CCS transportation. CCS and ODEW have coordinated a time schedule to conduct official timings upon request. The school district counsel noted that an impractical to transport review is conducted if an official timing, conducted by ODEW, determines that the afore-mentioned distance is under 30 minutes. The letter described Yosts threat to file a lawsuit as an attempt to usurp and circumvent the authority of the General Assembly. Home News 'Threat to democracy' or media phantom? Christian nationalism debate takes violent turn Evangelicals wrestle with language, political agendas, potential 'fusion of Christianity and the state' Editors' note: This is part 17 of The Christian Post's year-long articles series "Politics in the Pews: Evangelical Christian engagement in elections from the Moral Majority to today." In this series, we will look at issues pertaining to election integrity and new ways of getting out the vote, including churches participating in ballot collection. We'll also look at issues Evangelicals say matter most to them ahead of the presidential election and the political engagement of diverse groups, politically and ethnically. Read other articles in the series by clicking here. What happens when "one nation under God" becomes "one God over a nation"? That's essentially the question at the heart of the controversy surrounding Christian nationalism what it is, what it isn't, and who, exactly, stands to benefit from such a movement. Is it everyday American Christians in the pews, or is there some other agenda at work? Get Our Latest News for FREE Subscribe to get daily/weekly email with the top stories (plus special offers!) from The Christian Post. Be the first to know. Subscribe Before the gunsmoke had barely cleared this summer in Butler, Pennsylvania, after the assassination attempt against former President Donald Trump, mainstream media outlets characterized the response of Trump's Christian supporters and the outpouring of prayers for the former and potentially next president of the United States as a form of Christian nationalism. There was, after all, a distinct shift in tone among Trump's supporters and allies after the July 13 shooting that wounded Trump and two others and killed firefighter Corey Comperatore. House Speaker Mike Johnson tweeted that "GOD protected President Trump." Evangelical preacher Franklin Graham told Fox News that Trump was shielded by "God's hand of protection." From his prison cell, former Trump advisor Steve Bannon claimed that Trump "wears the armor of God," while Texas Gov. Greg Abbott noted that Trump was "truly blessed." It was these and other comments that several media outlets characterized and even ridiculed as Christian nationalism. The New Republic's Ellie Quinlan Houghtaling, for one, appeared to mock the assertion of many Christian Trump supporters who believed divine intervention spared Trump's life. She ridiculed what she called the "theory that the felonious, adulterous, insurrection-inciting, election-denying, convicted rapist was spared by God even if that same God chose not to save a retired firefighter who died from the bullets shot at Trump." Denver Post commentator and rabbi Rachel Kobrin also criticized the view of some Evangelicals that God spared Trump's life as "pediatric theology" and "manipulative." Kobrin urged voters to "take back religion here in America and prevent the far-right from manipulating citizens into believing that God saved their candidate and is rooting for their political party and their social agenda." "We must not let America slide further down the slippery slope toward a dangerous nexus of Christian nationalism and political power," Kobrin wrote. Just two weeks after the shooting, MSNBC and The Atlantic aired a report on what they described as "increasingly radical Trump rally prayers." Atlantic staff writer McKay Coppins, who claimed to review 58 pre-rally prayers, told MSNBC he believes these prayers could lead to "a replay of January 6th in [2021] but on a larger scale." "I have covered more than a hundred Trump rallies and these prayers have evolved over time," said Coppins. "There were prayers in 2016, and I'm sure there were some more extreme prayers like these ones. The theological underpinnings of the prayers have shifted, and the way that they are framing this election as something that has eternal consequences is new from my perspective." Earlier this year, Coppins' Atlantic colleague Peter Wehner, a former speechwriter in the administrations of three Republican U.S. presidents, who MSNBC identified as a conservative Christian, called Christian nationalism "malicious and dangerous" and a "threat to American democracy." "It is a desecration of the Christian faith," Wehner added. "I think at the core identity for a lot of these people who are Christian nationalists, it is a whole series of other things political, partisan, cultural, psychological identities. ... It is important to say that not all Christians or even Evangelicals are Christian nationalists. It is a loose term. But that movement exists and it is growing." Home News George Sweeting, evangelist who led Moody Bible Institute for decades, dies at 99 Moody Bible Institute has announced that George Sweeting, who served the institution for nearly 30 years between his time as president and later as chancellor, died Tuesday at the age of 99. He was at heart an evangelist and soul winner, the institute said. An indelible mark has been placed on this world as well as Moody through the incredible life and ministry of George Sweeting, said Moody Bible Institute President Mark Jobe in a statement shared with The Christian Post. His legacy is marked by a deep love for his family, the Church, and the transformative power of the Gospel, Jobe added. While we mourn with his family and those whose lives were touched by him, we echo the Apostle Pauls words in 1 Thessalonians 4 that we do so with hope, knowing he is in the presence of the Lord and we will be reunited with him one day. Get Our Latest News for FREE Subscribe to get daily/weekly email with the top stories (plus special offers!) from The Christian Post. Be the first to know. Subscribe Sweetings tenure at the Chicago-based Moody Bible Institute spanned several decades, beginning in 1971 as president and concluding as chancellor at the end of 1999. A Moody alumnus from the class of 1945, Sweetings leadership and legacy have helped shape the institutions direction and impact, according to an obituary. Sweeting is survived by his wife, Hilda, and their sons George, James, Donald and Robert, as well as multiple grandchildren and great-grandchildren. In recognition of Sweetings contributions, Moody will broadcast a one-hour special, Dr. George Sweeting: A Tribute, on Saturday at 3 p.m. CT. The program will air across its Moody Radio network of stations and select affiliates, celebrating his enduring impact. Sweetings journey began in a robust Christian family environment, which he credited as foundational to his spiritual development. His leadership qualities emerged early and were shaped significantly during his teenage years at the Hawthorne Gospel Church in New Jersey. The churchs youth ministry, known for its dynamic outreach, was a crucible that honed his Evangelical zeal. Sweetings narrative took a dramatic turn in 1940 when he fully committed to Christian service. His ministry began in earnest at Hawthorne Gospel, where he was ordained and later served as an associate pastor. His academic and pastoral journey was marked by a brief but intense battle with testicular cancer during his senior year at Moody. After school, Sweetings evangelistic call led him across America and beyond as an itinerant preacher for nine years, conducting revival meetings and Evangelical campaigns. With colleagues, Sweeting preached almost daily and traveled nationwide in a large semi-truck carrying the tent for the evangelistic outreaches. His return to pastoral ministry saw him revitalizing dying churches, notably the Madison Avenue Baptist Church in New Jersey and later The Moody Church in Chicago. His dynamic leadership at The Moody Church eventually transitioned to a larger role within the Moody Bible Institute. Under Sweetings presidency, the institute saw significant expansion and innovation, launching new programs and extending its educational and Evangelical reach globally, including through the Moody Pastors Conference and the expansion of Moody Radio. Sweetings latter years were marked by a return to local church ministry, focusing on senior adult ministry until his retirement in 2018. His final years culminated in the publication of his last book, Full Circle: A Pictorial Autobiography of George and Hilda Sweeting. In one of his last public addresses at Moody Bible Institutes Presidents Chapel in 2012, the then-88-year-old Sweeting reflected on D.L. Moodys legacy. He shared Henry Varleys influential words that the world had yet to see what God can do with a person fully devoted to Jesus Christ and challenged the students, asking, Will you be that man? Will you be that woman? I pray so. Hallelujah, amen and amen. Chinese defense minister urges pooling strength for peace in times of changes Xinhua) 09:11, September 14, 2024 The 11th Beijing Xiangshan Forum opens at the Beijing International Convention Center in Beijing, capital of China, Sept. 13, 2024. (Photo by Han Qiyang/Xinhua) BEIJING, Sept. 13 (Xinhua) -- Chinese Defense Minister Dong Jun on Friday called on countries to pool their efforts to promote peace and stability as the world is in a time of change. In his keynote speech delivered at the opening ceremony of the 11th Beijing Xiangshan Forum, Dong called on members of the international society to show mutual respect, treat each other with sincerity, and seek common grounds while reserving differences. In the meantime, they should be open and inclusive, engage in win-win cooperation that benefits all parties, and work together to achieve lasting peaceful coexistence, Dong said. He also called for joint efforts to promote a multipolar world featuring equality and order. Chinese armed forces will resolutely implement the Global Security Initiative, and stand ready to build a new model of security partnerships with militaries around the world, Dong added. Themed "Promoting Peace for a Shared Future," this year's forum is attended by more than 1,800 guests from over 100 countries and international organizations. The 11th Beijing Xiangshan Forum opens at the Beijing International Convention Center in Beijing, capital of China, Sept. 13, 2024. (Photo by Han Qiyang/Xinhua) The 11th Beijing Xiangshan Forum opens at the Beijing International Convention Center in Beijing, capital of China, Sept. 13, 2024. (Xinhua/Li Jie) The 11th Beijing Xiangshan Forum opens at the Beijing International Convention Center in Beijing, capital of China, Sept. 13, 2024. (Xinhua/Li Jie) (Web editor: Zhang Kaiwei, Liang Jun) An exterior view of the U.S. Capitol in Washington, DC on September 9, 2024. Members of the Senate and U.S. House of Representatives return to the Nation's capitol, following their August recess. Bonnie Cash | Getty Images News | Getty Images From drones to drugs, House lawmakers sought to portray themselves as tough on China as they returned to work this week, taking up more than two dozen measures aimed at countering Beijing's technological, political and economic influence. The blitz of 28 mostly Republican-led bills during "China Week" has been criticized by Democrats as a ploy to make Republicans look stronger against China, a key foreign policy issue, in the final weeks before the November election. But many of the measures passed with bipartisan support at a time when viewing the world's second-largest economy primarily as a geopolitical rival is one of the few issues both Republicans and Democrats can agree on. "Members now see little downside risk in adopting a tougher stance on China," said Craig Singleton, a senior China fellow at the Foundation for Defense of Democracies, a think tank based in Washington. China says the legislation could strain what both countries have called one of the world's most important bilateral relationships, even as Beijing and Washington take steps to improve ties. It has vowed to take "strong and effective measures" in response. Speaker of the House Mike Johnson, R-La., talks with reporters after a meeting of the House Republican Conference on Tuesday, September 10, 2024. Tom Williams | CQ-Roll Call, Inc. | Getty Images All legislation must also be passed by the Senate before it can be sent to President Joe Biden to be signed into law. It is unclear how many of the measures will make it that far given the limited number of days the Senate is in session for the rest of the year. But their success in the House could pave the way for even stronger regulatory moves in the next Congress, Singleton said. Here are some of the most notable measures that were approved: Biotech companies One of the first bills to pass, the Biosecure Act, would prohibit federal contracts with several Chinese biotech companies and those that do business with them, with supporters saying the measure is necessary to protect Americans' genetic and other health data from Beijing. The Chinese companies, whose work includes cancer research and manufacturing for American drugmakers, say data privacy is not at risk and that the measure will limit competition. "We are disappointed that the U.S. legislative process is being used to pick winners and losers," Shenzhen-based BGI Group said in a statement. A spokesperson for WuXi AppTec, another of the targeted companies, said it was "deeply concerned about the legislation's impact on U.S. leadership in biotechnology innovation, drug development and patient care." Hong Kong Lawmakers overwhelmingly passed a bill that could lead to the closure of Hong Kong's economic and trade offices in Washington, New York and San Francisco if they are found not to be running with a "high degree of autonomy" from China. Authorities have cracked down on dissent in the Chinese territory, a former British colony that returned to Chinese rule in 1997 under the principle of "one country, two systems," since mass anti-government protests in 2019. The Hong Kong government criticized the bill on Wednesday as politically motivated, saying that normal functioning of the trade offices is "mutually beneficial to both places" and that their closure would "ultimately harm the interests of the U.S." The Chinese Foreign Ministry said Beijing had "lodged stern representations" with the U.S. Drones Another bill would bar new drones from the Chinese manufacturer DJI from operating on U.S. communications infrastructure, citing national security risks that the company has rejected. DJI, which sells more than half of all drones in the U.S., said in May that lawmakers had "amplified xenophobic narratives in a quest to support local drone manufacturers and eliminate market competition." It added that the move would hurt not just American hobbyists but also a "broad ecosystem of operators, businesses and public safety agencies." China said the U.S. should "stop suppressing Chinese companies under various pretexts." A new 'China Initiative' Lawmakers were more divided over an effort to revive the Justice Department's "China Initiative," a Trump-era national security program meant to counter intellectual property theft at universities and research institutions. Asian American advocacy groups said the program unfairly targeted ethnic Chinese scientists and upended their lives, and it ended in 2022 after a string of failed prosecutions. The measure passed in the House 237-180. Foreign farmland purchases Another bill that raised discrimination concerns would limit the sale of agricultural land to foreign nationals from Russia, China, North Korea and Iran. Supporters said it would improve oversight of foreign farmland purchases, including those near sensitive sites. A review by NBC News found that the total amount of U.S. agricultural land owned by Chinese interests is less than three-hundredths of 1%. Electric vehicles Lawmakers narrowly passed a measure that would tighten the definition of Chinese components that disqualify vehicles from receiving U.S. EV tax credits. Opponents argued that it would slow U.S. efforts to get more EVs on its roads as part of the broader transition to green technology. Though China is a world leader in the manufacture of electric vehicles and dominates the supply chain for EV batteries, very few of its EVs are sold in the U.S. In May, Biden announced that the tariff on Chinese EVs would increase from 27.5% to 100%. Scientific cooperation More than half of young people want to be influencers 57%, to be exact. That's according to a 2023 Morning Consult survey of 1,000 Gen Zers. And they're not alone. Nearly half, 41% of adults overall would choose the career as well, according to a similar Morning Consult survey of 2,204 U.S. adults. Their reasons vary. Some "are very excited about the idea of celebrity, fame and money," says Victoria Bachan, president of talent at influencer agency Whalar. Some "are just genuinely looking for a place to connect and find community," and there are those who simply love to create using the tools of social media, she says. Whatever their reasons, the job may be harder than it seems. Here's what it looks like day-to-day and the many challenges creators face along the way. 'There's a misperception that it's easy' Becoming a paid creator is basically akin to running "your own independent media company," says Taylor Lorenz, host of tech and culture podcast Power User and author of "Extremely Online." "There's a misperception that it's easy," she says, adding that, "most startups fail, and I think most media startups fail, too." There are 4.2 billion social media users around the world, according to linking tool company Linktree's 2022 Creator Report. Out of those, 200 million are creators, or individuals who monetize their audiences. That means just 4.7% of social media users are making money from it. Even if they are making money from it, it's not necessarily enough to live on. About half, 48% of creators make $15,000 or less per year, according to a 2023 survey of more than 2,000 creators by influencer marketing agency NeoReach. Less than a third, 28.9% make more than $50,000 per year doing it. 'It's just constant, Monday through Sunday' For those who are able to make a career out of it, the day-to-day can be grueling. Hannah Williams, 27, and her husband run the popular TikTok account Salary Transparent Street, where they ask people around the country what their salaries are. The channel has 1.4 million followers, and most of their income comes from brand partnerships with companies like Capital One, with a far smaller share coming directly from the platforms they post content on through creator funds. Williams has been a full-time creator since quitting her previous job as a data analyst in 2022. In 2023, her business brought in more than $1 million altogether. She now pulls in $125,000 per year for her own salary. Williams usually starts working around 8 a.m., including emails, video editing and planning content. Between noon and 3 p.m., she's usually on calls with clients. She takes a break around 4 p.m. and gets back to her desk around 6 p.m., at which point she's working "full on until midnight," she says. She and her husband also travel a lot for the job, and they post at least once a day. "It's just constant, Monday through Sunday," she says. "From the time you wake up to the time you go to bed, the internet's on, so you're on as well." Caroline Lasher, 22, has been a full-time creator since she graduated college in 2022. Her Instagram has 126,000 followers and her TikTok has just under 85,000. Lasher mainly creates beachy lifestyle content and makes much of her money through brand partnerships and affiliate links. Her income fluctuates, but she often brings in more than $10,000 per month. Lasher wakes up around 8 a.m. and starts reading emails and contracts. She tries to post every day, so might do some editing in the morning as well. She'll then go out shooting until the evening. "I'm my own photographer, videographer and I edit everything myself," she says, "So when I go out, I'll usually have my tripod and I'll look at public locations that I can take pictures at." When she gets home in the evening, she'll have dinner and decompress, then often edit until about 10 p.m. She works every day but takes breaks to grab a meal with a friend or after dinner. Lasher's schedule also depends on the companies she works with. There are weeks and months when they're all trying to promote new seasonal products, and weeks when demand for partnerships is lower. For many creators, clients can also be fickle and make demands like nonsensical timelines. "It's almost like things that are in writing don't matter," says Bachan. "Initial timelines don't matter. Contracts don't matter. [Brands] want what they want, when they want, how they want it." Whatever the demands, Lasher, too, finds it's nonstop. Influencing is "so hard to walk away from," she says, "since it's on your phone and everyone's on their phone all the time." 'We've literally been on our toes for nine years' This type of never-ending schedule lends itself very easily to crashing. "I have burned out," says Lasher. "It usually comes in waves." When it does, she'll either give herself a few days off or simply work through it. Creators say that the platforms they post on make it more challenging to take a break. Going for a few days without posting might mean that their content won't be shown to as much of their audience when they return. Despite Lasher's mental state at times, "I feel like not being active is going to hurt me," she says. There are other challenges as well. Platforms change or disappear altogether, leaving creators needing to reinvent themselves anew somewhere else. TikTok itself might be banned in January 2025. Leslie Mosier, 32, has been running social media accounts for her dog, Doug the Pug, since 2013. After getting some sponsorship deals, she dove into creating full time in 2015. Their Facebook page has 5.7 million followers, their TikTok has 6.1 million followers and their YouTube page has 634,000 followers. Doug the Pug has made money through sponsorship deals, books deals and merchandising. Most recently, Mosier and her husband launched an organic dog product company called Nonipup. "Nothing stays the same for longer than, like, six months," says Mosier of the fluctuating popularity of platforms and the constant introduction of new ones. She adds that "we've literally been on our toes for nine years." 'You can imagine the racism that my partner and I experience' Another unique component of the job, and ultimately one of the most challenging, is that it's public facing. "You basically have all the downsides of traditional celebrity fame and very few of the upsides," says Lorenz. "So you get hate, harassment, stalkers. There's not a single content creator I've ever talked to that hasn't dealt with online abuse." Williams and her husband, for example, are an interracial couple, "so you can imagine the racism that my partner and I experience on a near daily basis," she says. She's been called various derogatory terms and says she's gotten comments like "you guys will be divorced and she'll be pregnant in a year." 'Do something you enjoy doing, even if no one's watching' Recent comments from Nvidia CEO Jensen Huang seemed to revive investment in the turbulent AI sector this week, while leading portfolio managers to recenter attention on the winning trades. "We're now in this computer revolution," Huang said at the Goldman Sachs Communacopia + Technology Conference on Wednesday, "This generative AI is not just a tool. This is a skill ... this is why a new industry has been created." Huang said he sees data centers as a $1 trillion opportunity at minimum, with growth that will be accelerated thanks to generative artificial intelligence. Nvidia shares rallied more than 8% on the heels of Huang's remarks, which soothed some recent market jitters over the delayed payoff from AI investments. For the week, AI and semiconductor names such as Advanced Micro Devices, Marvell Technology, Super Micro Computer and Broadcom rallied double digits. NVDA 1M mountain Nvidia shares over the past month. "When Jensen says something like that, it indicates an optimism that there's enough demand to at least sustain growth for the next 1-3, years," said John Belton, portfolio manager at Gabelli Funds, referring to commentary about scaling manufacturing capacity manufacturing with Taiwan Semiconductor Manufacturing . "That's what got the market so excited." While Huang's comments may have reignited confidence in the turbulent space, investors see a variety of ways to play the opportunity over the short- and long-term. Beyond mainstay Nvidia, CFRA's Angelo Zino views hardware players as the biggest beneficiaries in the short-run as the initial build-out stage carries on. That includes Advanced Micro Devices as it ramps up its competing GPUs and networking players Broadcom and Marvell Technology that are supporting custom silicon chips in the works by Meta Platforms and others. Micron Technology should continue to profit off of rising memory needs, he said. Apple made headlines this week with the launch of its new iPhone 16 , with AI capabilities dubbed Apple Intelligence. Some Wall Street analysts appeared underwhelmed by the updates , casting some doubts on previous calls for a one-of-a-kind upgrade cycle. Zino isn't concerned by this. Longer term, he views Apple as the leading AI device play and AI personal assistant, especially as more consumers adopt its Vision Pro headset. Dell should benefit as the leading enterprise player as margins improve into the new year, he added. AMAT 3M mountain Applied Materials shares over the past three months. Belton is betting on infrastructure and equipment companies such as Applied Materials and KLA Corporation . Longer-term winners that build-out end user applications for AI may be too soon to call, he said. The rise of AI has spurred thousands of companies to hop on the train, fanning some concerns that investors could see a reprise of the dotcom bubble that burst in the early 2000s, said Mark Malek, chief investment officer at SiebertNXT. The firm an early investor in Nvidia views technology giants at the forefront of the cloud, including Microsoft , Alphabet and Amazon as ongoing beneficiaries of AI tailwinds, but notes that the biggest innovation is happening in the private markets. "The real thing is that what is lurking in the shadows, and those are mostly private companies," he said. By the end of October, the European Union will make a final decision on what some analysts call the biggest EU trade case against China in more than a decade. But automakers and countries are divided over whether to place tariffs so far of up to 36.3% on Chinese electric vehicles. A German automotive trade association says they would hurt German automakers, which have a significant presence in China. Germany has a substantial automotive trade surplus with the country. Italian and French automakers, meanwhile, have almost no presence there. China has been exporting cars to countries all around the globe, and both supporters of tariffs and trade and industry analysts point to China's support for its domestic manufacturers as a rationale for imposing tariffs. "We're dealing with an economy in China where credit money is allocated by the state and not by the market, and the state picks sectors that they want to promote," said William Reinsch, senior advisor and Scholl Chair in International Business at the Center for Strategic and International Studies, a bipartisan think tank in Washington, D.C. "In that kind of economy if you do that you always get overinvestment, you always get overcapacity, you always get overproduction, and then that overproduction gets dumped on the rest of the world." Chinese automakers can produce a car for about $5,500, said Felipe Munoz, senior analyst for JATO Dynamics, while it costs European automakers closer to $20,000. That tremendous cost advantage is partially explained by government subsidies, he said. "But also it's explained by higher economies of scale," Munoz continued. "It's explained by lower labor costs and by the fact that when it's about electric cars, China, unlike the rest of the world, it has already secured the supply chain for the batteries." Watch the video to learn more Who the hell would ever think that its a good idea to have a wedding on Friday the 13th? If the McPoyles ever manage to spread their tainted blood to the rest of the world, we all will. Today is Friday the 13th, or as Charlie Kelly would call it on Its Always Sunny in Philadelphia, a monster day that isnt fit for a wedding in the woods, especially one attended by the entire infamous inbred clan of Philadelphia known as the McPoyles. One fall Friday the 13th in 2012, the Ponderosas, the McPoyles and the Paddys Pub crew all convened for a nuptial celebration that ended in catastrophe as Liam McPoyle not only lost his fiance to Dennis complete lack of self-control, but he also lost his eye in the process. Ultimately, however, Liam had only himself to blame for holding what should have been such a joyous occasion on such an unlucky day well, himself, Frank and his would-be brother-in-law Bill. Don't Miss This monster day, lets remember the time when the gang all went into the woods with their own plans to protect or destroy the McPoyle-Ponderosa wedding, only for the event to unravel thanks to the biggest monster of all addiction. In the It's Always Sunny in Philadelphia Season Eight classic The Maureen Ponderosa Wedding Massacre, the entire gang (along with the shows most chaotic side characters) all venture out into the Pennsylvania wilderness on Friday the 13th to witness (or prevent) the union between Dennis ex-wife Maureen Ponderosa and the McPoyle progeny Liam before bad luck and bath salts disrupt the event and nearly destroy every attendee. Heavily inspired by the Friday the 13th film franchise, the stylized episode featured the first intro and title card that bucked the usual font and string theme of the typical It's Always Sunny in Philadelphia opening. Advertisement The episode also introduced Oscar-winning director Guillermo Del Toro to the It's Always Sunny in Philadelphia universe as he made his first of two appearances (so far) playing the McPoyle patriarch Pappy McPoyle who apparently believes himself to be some sort of monstrous, inbred Pennsylvania Kronos. Advertisement But the monster of the monster day wasnt supernatural, mythical or otherwise otherworldly, as Bill Ponderosa spiked the McPoyle milk with bath salts, causing cannibalistic carnage to erupt and ruin the wedding. So, if any other McPoyles are getting married this Friday the 13th, they should steer clear of inviting anyone whose Alcoholics Anonymous sponsor is Frank Reynolds. When Natasha Rothwell was writing and co-starring on HBOs dramedy Insecure, she told Vultures Kenneth Arthur, were elevating the ordinary to extraordinary by showing a slice of life that is not often told. I think a lot of times black women are expected to be certain things, so we dont have the opportunity often just to be regular or to be not that inspiring or being sort of not good at a lot, not embodying black girl magic all the time. Rothwell played Kelli, whose hilariously ungovernable moments out with her friends Remember me different is just one of the many Kelli lines that entered pop culture history belied the fact that she actually had it together at work. A successful accountant, Kelli is the reason the shows protagonist, Issa (series creator Issa Rae), manages to rebuild her credit and life; presumably none of her professional colleagues has any idea that Kelli occasionally uses her down time to, for example, indulge in secret sexual activity under the table at a popular west L.A. diner. In How To Die Alone which Rothwell created, and which premieres September 13th Rothwell continues elevating the ordinary to extraordinary with an even more ordinary character. Rothwell stars as Melissa, who drives an airport courtesy shuttle at JFK. As a single woman, Mel leans on her platonic friendships particularly with Rory (Conrad Ricamora), who works at one of the airports many Hudson News locations. When he cancels their plans on her birthday, Mel comforts herself with a trip to Umlaut, an entirely copyright-safe Swedish home furnishings store. Convincing herself that, instructions to the contrary, Mel certainly can assemble her new armoire without a partner, disaster strikes, leading Mel to take stock of her life, and Rorys place in it. Since Rothwell, like Mel, counts The Office among her go-to comfort shows, our recent conversation focused on How To Die Alone as a workplace comedy with relationship stories nestled in it. Read on to find out what Rothwell learned about airports after setting a show in one; how Mels transportation job mirrors her personal journey; and which workplace sitcoms she considers most essential. Don't Miss I know from your past interviews that you, like Melissa, are a big fan of The Office. Are there other workplace shows that have been formative to you? Advertisement So many. Most recently Abbott Elementary. I think its just so brilliant. I think Cheers is the quintessential workplace comedy. Its one of my all-time favorites. I think we see the people we work with more than our families, and so I think its a worthy subject matter that doesnt really get old. When it came time to make your own show thats largely set at work, what were the elements that you wanted to make sure you included? I wanted to make sure that it had gravity and levity. So often, workplace comedies arent afforded the opportunity to have moments of depth, and to me, that just feels more like real life. Being able to have a workplace comedy that allows for the whole, full spectrum of emotion was important to me. Especially being a plus-sized Black protagonist, its few and far between that I get opportunities to play characters like that. How did you arrive at airport terminal driver as the right job for Melissa? Advertisement Well, the airport is just the most delicious Petri dish of human existence. It brings out the best in people, the worst in people. Its, like, this liminal space. Its purgatory. Its a beautiful place to sort of anchor someone whos stuck in between who she wants to be and who she is. And I wanted someone who was customer-facing and was helping people to literally get to where they wanted to go, because she cant really get to where she wants to go. Not to abuse the metaphor, but it really lent itself to a story about aspiration and longing and desire. There are also so many different kinds of jobs within all the realms in the airport: lost and found, confiscated items, et cetera. How did you develop stories that revealed these secret places organically? Advertisement Sarah Fiori and Caroline Martin were our writers assistants, and they did so much research on behalf of the writers. That way, we had what we needed to really be authentic. Theres a falconer in the show. Thats a real job. The birdman: thats the literal title of the job, is birdman. It should be birdperson. Advertisement It was so fun and interesting to dive in to that ecosystem, because you realize it truly takes an army to sustain our travel, and we do next to nothing to recognize that. So it was a delight to put those marginalized voices center frame. Were there some that you saved for a possible second season? Oh, yeah. There are a myriad of jobs at the airport: its ripe for storytelling. So knock on wood, if were given a second season, Im excited to explore those. In past interviews when youve talked about why you love romantic comedies, youve said you want to have a moment where a character you play is running through an airport for a dramatic moment. How did you restrain yourself and only give Mel a few? For me, the rom-com of the show is like a Trojan horse for some real meaty conversation, so I didnt want to abuse the privilege of the location to scratch that itch. I still want my proper rom-com movie for sure, but it was nice to sort of play with those tropes in some new and interesting ways on the show. Advertisement As the title suggests, this is also a show about loneliness, including in a work-based friendship. What conversations went into calibrating the kinds of conflict that Rory and Mel could have and still find their way back to each other as friends? Advertisement Yeah, I think when we are embarking on a journey of personal growth, we have to audit our relationships to see if theyre wind at our back or a parachute were running with. I think those are hard conversations and hard realizations to have that that friendship that sustained you in your 20s may not sustain you in your 30s and your 40s. And I think we have to do the work of having those tough conversations with ourselves and really setting up boundaries and expressing our needs and our wants. This is coming from someone who was a needless wonder in my 20s. I didnt want to express what I needed or wanted, and I felt like I was used in a lot of friendships and willingly, because I didnt have the voice or the courage to stand up for what I wanted or needed. So to have Mel be activated and be presented with that fork in the road, to continue down the same path or to forge a different one, I think its exciting to see someone do that, because its ultimately what we all want to do. And she becomes this protagonist we can all root for. Advertisement Well see you return to your other workplace dramedy soon, in the third season of The White Lotus. Walton Goggins was very shocked by a room service bill he got. Did you also splash out when you were on your downtime shooting the show? I got more massages in five months than any human should get in their lifetime. It was a treat and a half. Support Us Your Support will ensure EPWs financial viability and sustainability. The EPW produces independent and public-spirited scholarship and analyses of contemporary affairs every week. EPW is one of the few publications that keep alive the spirit of intellectual inquiry in the Indian media. Often described as a publication with a social conscience, EPW has never shied away from taking strong editorial positions. Our publication is free from political pressure, or commercial interests. Our editorial independence is our pride. We rely on your support to continue the endeavour of highlighting the challenges faced by the disadvantaged, writings from the margins, and scholarship on the most pertinent issues that concern contemporary Indian society. Every contribution is valuable for our future. The Bexar County Medical Examiner's Office identified Joseph Smothers, 72, as the man struck and killed by at least one vehicle last weekend in the 5000 block of Fredericksburg Road. mbbirdy/Getty Images The Bexar County Medical Examiners Office has identified the elderly man struck and killed by at least one vehicle last weekend on the Northwest Side. San Antonio police said Joseph Smothers was in the roadway in the 5000 block of Fredericksburg Road on Sept. 7 when a 2023 white Jeep Compass crashed into him. Smothers suffered life-threatening injuries and died at the hospital shortly after the incident. Advertisement Article continues below this ad The 72-year-old died from blunt force injury he suffered from being hit by the vehicle. Police believe Smothers was hit by another vehicle before being struck by the Jeep because parts from another vehicle were found scattered at the scene, according to a preliminary police report. At this time, it is unknown if the driver of the Jeep, who stayed on the scene and cooperated with investigators, will face any criminal charges. Advertisement Article continues below this ad Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. U.S. Marines, with full battle kits, charge ashore on Guadalcanal Island from a landing barge during the early phase of the U.S. offensive in the Solomon Islands in August 1942, during World War II. By October 1942, U.S. Marine Joseph A. Bucci from Amsterdam was among those fighting the Japanese in the long campaign. Rhyma Castillo is a trending reporter for the Express-News and a member of its Digital Go Team. She can be reached at rhyma.castillo@express-news.net. A native of San Antonio and a Texas A&M University graduate, she is a journalist with nearly a decade of experience. She has reported on politics, immigration, climate change, gun violence, and workers rights. Additionally, she excels in lifestyle and entertainment writing, covering music, television, video games, technology, and relationships. In her free time, she enjoys painting, drawing, cooking, hiking, climbing, gardening, playing video games, cuddling with her cats, and making music with her band. Hope Walz, second to left, stands with her father Minnesota Gov. Tim Walz, the Democratic nominee for vice president, as they greet San Antonio Mayor Ron Nirenberg, left, and LULAC President Roman Palomares, right, at Dallas Love Field Airport in Dallas on Sept. 9, 2024. Walz spoke at a private fundraising event. (Juan Figueroa/The Dallas Morning News/TNS) Juan Figueroa/TNS When Democratic vice presidential nominee Tim Walz landed in Dallas on Monday for a private fundraiser, Mayor Ron Nirenberg was on the tarmac to greet him the only Texas elected official invited to welcome the Minnesota governor to the state. Nirenberg posted a selfie of himself, Walz, Texas Democratic Party leaders and others to Instagram, with the caption: Honored to greet our next Vice President in Dallas today. 57 days until election day lets make them count! Vice President Kamala Harris campaign has tapped Nirenberg as a surrogate to stump on behalf of the presidential ticket a role that takes him to campaign rallies and voters homes in swing states and comes with appearances on local and national TV to get the campaigns message out. Advertisement Article continues below this ad I think in the weeks to come, its just going to ramp up in terms of frequency and intensity, Nirenberg said. Essentially, any free time I have, were trying to fill it with surrogate activities. For much of his political career first as a North Side City Council member and then as mayor, both of which are nonpartisan offices Nirenberg, 47, has cast himself as an independent. But as his fourth and final term comes to a close next May, the term-limited mayor is fully embracing partisan politics. Im a Democrat, Nirenberg said. It is very clear that the Democratic Party is the only party of solutions to the challenges that were facing in America right now. Nirenberg has been a regular visitor to the White House during the Biden administration, whether to attend an official state dinner or, as he did in early June, to stand alongside President Joe Biden as the administration unveiled a new policy (in that case, an executive order to restrict the number of asylum-seekers allowed to cross the border). Advertisement Article continues below this ad His role in the Harris campaign has reignited speculation that Nirenberg could be tapped, and is positioning himself, for a key role in her administration, if she wins election Nov. 5. Mayor Ron Nirenberg delivers one of his last State of the City addresses at a luncheon hosted by the Greater San Antonio Chamber of Commerce at Marriott Rivercenter on April 9, 2024 in San Antonio. Salgu Wissmath/San Antonio Express-News Nirenberg was one of several speakers who introduced Harris at the July 9 launch of Asian American, Native Hawaiian and Pacific Island communities for Biden-Harris in Las Vegas. Nirenberg, who is San Antonios first mayor of Asian descent, was the only elected official from outside Nevada who was invited to the kickoff of that voter outreach initiative. Harris is the daughter of Indian and Jamaican immigrants and would be the nations first Asian American president. The mayor was sent to New Hampshire in early August, where he knocked on voters doors and met with the New Hampshire Democratic Partys Asian American and Pacific Islander Caucus. The campaign has asked him about his availability to travel to Nevada, Arizona, North Carolina and Georgia. Of course it would be an honor to be asked to serve in a Harris administration, Nirenberg said. Advertisement Article continues below this ad But thats not the reason he joined the campaign, he said, adding: The reason why Im getting so involved in the Harris campaign is because I think its that important not just for the country, but for San Antonio to continue to move forward. San Antonio has received millions of dollars through the American Rescue Plan Act, and the Bipartisan Infrastructure Law, trillion-dollar spending bills that Biden signed into law in 2021. Federal infrastructure grants are helping pay for the expansion of San Antonio International Airport and VIA Metropolitan Transits rapid bus lines. Asked whether he believes hes being considered for a possible appointment, Nirenberg said, I really dont. While local Democrats may be cheering Nirenbergs involvement in the Harris campaign, many Republicans arent. Advertisement Article continues below this ad Frankly, after seeing him at the Democratic National Convention and the way he spoke up there, it was shocking because I thought the mayor of a large city represented everyone, not just Democrats, said Kris Coons, the chair of the Republican Party of Bexar County. In a speech before Texas Democrats attending the convention, Nirenberg called Republican presidential nominee Donald Trump a clown whom Americans cant allow a second term in office. President Joe Biden speaks during an event to announce new measures aimed at helping communities deal with extreme weather, in the South Court Auditorium on the White House Campus, on July 27, 2023, in Washington. San Antonio Mayor Ron Nirenberg also spoke at the event. (AP Photo/Evan Vucci) Evan Vucci/Associated Press Coons said Republicans never doubted that Nirenberg was a Democrat. But his focus should be on San Antonio and not getting Harris elected, she added. Theres a lot of business to be done here our city is financially in trouble, crime has grown exponentially, theres so many issues that he needs to be tackling, Coons said. He doesnt need to be on the campaign trail for the vice president. Advertisement Article continues below this ad Partisans in nonpartisan offices Though Texas mayors and city council members are, by state law, nonpartisan offices, that doesnt mean the officeholders themselves are nonpartisan. In fact, the political affiliations of the states big-city mayors are well-known. Austin Mayor Kirk Watson and Houston Mayor John Whitmire served in the Texas Senate as Democrats. Fort Worth Mayor Mattie Parker and Dallas Mayor Eric Johnson are Republicans. Johnson served in the Texas House of Representatives as a Democrat prior to being elected mayor, and his decision last year to switch parties generated headlines. Johnson spoke at Julys Republican National Convention and has endorsed Trump in the election. Parker backed former United Nations Ambassador Nikki Haley in the Republican presidential primary. I think its a little bit laughable that we somehow think that our mayors and our city council members dont have partisan views they do, said Jon Taylor, a political science professor at the University of Texas at San Antonio. Though Nirenberg did not make an endorsement in the 2020 Democratic presidential primary, the mayor backed Biden in the 2020 general election a partisan stance that had little impact on Nirenbergs 2021 and 2023 mayoral reelection campaigns, both of which he won with more than 60% of the vote. Even if Nirenberg were up for reelection next year, his role in the Harris campaign wouldnt hurt him politically, Taylor said. Biden won Bexar County in November 2020 with just over 58% of the vote to Trumps 40%. The mayors going to be out of a job next year, and he needs a new job, and what better opportunity than to show all the stuff hes done in San Antonio his successes here to leverage that for a potential job in a Harris administration, Taylor said. ENSIDE POLITICS NEWSLETTER: Get the latest political news that is shaping the conversation locally. Showing up, getting exposure Julie Chavez Rodriguez, Harris campaign manager, got to know Nirenberg when she worked as a senior adviser to Biden and as director of the White House Office of Intergovernmental Affairs. In that role, she was the presidents point person with governors and mayors. Biden picked Chavez Rodriguez to run his 2024 reelection campaign, and she remained in that role as Harris converted Bidens campaign into her own. I know (Chavez Rodriguez) developed a really close relationship with the mayor just from her job and getting him connected to so many events, and the mayor is a big believer in what the Biden-Harris administration was doing for the country and for San Antonio in particular, said Juan Sepulveda, a professor of practice in political science at Trinity University. Sepulveda served as Texas state director for Barack Obamas 2008 presidential campaign and was the senior adviser for Hispanic affairs for Obamas 2012 reelection campaign. He was also part of the Biden-Harris transition team and is volunteering with the Harris-Walz campaign. Nirenberg has already demonstrated that he can stay on message when talking about how the Biden-Harris administration has helped the nations cities, which was likely a key factor in the campaigns decision to deploy him to swing states as a surrogate, Sepulveda said. Campaigns want to ensure the people that youre officially putting out there to represent the campaign are stars, he said. He thinks that if Harris wins in November, her transition team will eventually reach out to Nirenberg to discuss potential roles that could come open. It was enough to send a shiver down the spine. If Britain and its NATO allies give Ukraine permission to fire long-range missiles supplied by the West at targets inside Russia, declared President Putin bluntly on Thursday night, then NATO and Russia would be at war. Former Russian president Dmitry Medvedev, a Putin place-man, waded in warning that Britain would sink under a tidal wave of hypersonic Russian missiles. Medvedev can be dismissed as a blustering toady. But Putin should always be taken seriously. He commands the worlds biggest nuclear arsenal and his unprovoked invasion of Ukraine has shown him to be ruthless, brutal and unpredictable. His threats are scary because Britain and America are in the process of approving the use of long-range missiles, including Britains Storm Shadow, by Ukraine to strike Russian territory. Prime Minister Sir Keir Starmer was in Washington yesterday meeting with President Biden to agree the go-ahead. This does represent, to some extent, an escalation for NATO when it comes to supplying arms to Ukraine: firing them will require Western technical assistance and satellite guidance. So will future historians look back on September 2024 as the month we teetered towards a major conflagration, taking careless steps that made it inevitable? The world is certainly in a very dangerous place and mistakes by NATO or Russia could, indeed, spark a wider war far beyond Ukraines borders. But somehow I doubt were on the brink of World War Three. For a start, the Cold War may be long over, but its still a MAD world: Mutual Assured Destruction. This doctrine dominated all stand-offs between the Soviet Union and NATO from the early 1950s till the fall of the Berlin Wall in 1989. Its still in play today. Yes, you have enough nuclear weapons to reduce us to rubble, but we have enough to do the same to you. So perhaps, its best neither of us bother. The simple, hard logic of MAD permeated the Kremlin as much as the White House throughout the Cold War and explains why there never was a nuclear exchange between what were then the worlds two superpowers. It was always vulnerable, of course, to a madman taking power in Moscow, impervious to mass destruction, including of his own country. But, though there have been reports of Putins deteriorating mental state, Western intelligence believes MAD is still the dominant mood in the Kremlin today. So if a nuclear war can be reasonably (if not with certainty) ruled out what would Putins threat of war look like? He can hardly have a clash of conventional forces in mind. His ailing military is in no state to challenge the sophisticated conventional might of NATO. It has struggled to beat the Ukrainians. It would be unlikely to make much headway against NATOs well-armed, well-trained, high-tech battalions. Putin rattles his sabre because he knows it frightens us - and we are always suitably frightened, writes Andrew Neil The biggest fear in Western capitals is that a frustrated Putin resorts to tactical nuclear weapons on the Ukrainian battlefield. Victory has eluded him since he launched what was meant to be a three-day special military operation 31 long and bloody months ago. He could use Western missiles slamming into his territory as the excuse for unleashing some of his huge arsenal of tactical nukes on the Ukrainian military. America has warned of catastrophic consequences if he should do so, though it has not publicly explained exactly what they would be. Perhaps it has to the Kremlin through the usual back channels. There would be no question of a Western nuclear response that would unleash MAD but I suspect America and its allies would mount a series of cyberattacks, with Britains excellent cyber offensive capabilities to the fore, designed to bring Russian city life to a halt. Which, in turn, could imperil the regime. Thats why I believe Putin would think twice about using his tactical nukes on Ukraine. It would also infuriate his allies on whose support hes depended to wage his godforsaken war, especially China. President Xi has warned him privately, but explicitly not to deploy nuclear weapons. Putin would become even more of a pariah on the world stage than he already is. So for all his chilling threats, Putins options for a wider war are limited. Indeed, its not at all clear what shape it would take. Im not sure even he knows. I said earlier you should always take the Russian autocrat seriously. But perhaps the West has been taking him too seriously for too long, especially President Biden who has regularly faltered in the face of Putins threats. Putin rattles his sabre because he knows it frightens us and we are always suitably frightened. He likes to cow us, to make us hesitate in giving Ukraine our full backing, to delay sending the necessary sophisticated military in sufficient scale to help turn the war in Ukraines favour. To that extent Putins scare tactics have worked. Every time Putin ups the wider war rhetoric Biden has obsessed that any new weapons system for Ukraine would cross one of the Kremlin dictators supposed red lines then acted too late and too little. In the early months of the war, Biden was reluctant to send Americas lethal short-range artillery know as HIMARS. Then he dallied over sending M1 Abrams tanks. He took forever to dispatch F-16 fighter jets. And so on. Every time, despite the Kremlins escalatory rhetoric, sending these weapons turned out not to be crossing a red line after all. It always turned out to be a Putin bluff and became a series of bluffs for which we continually fell and which succeeded in slowing NATO help for Ukraine. Youd think the White House would have worked out what was happening after the first couple of red lines were crossed that turned out not to be red lines. But Biden and his team of foreign-policy advisers are slow learners. They have also dithered over sending long-range missiles. Moscow knows Britain has taken the initiative, which is probably the real reason Russia has just expelled six of our diplomats as spies. Missiles were at the top of Starmers agenda for his White House meeting with Biden yesterday. The French are also ready to send their equivalent missile, called Scalp. With a range of 155 miles (versus only 48 miles for the HIMARS), the UK Storm Shadow would be able to hit Russian military air bases, ammunition dumps and other military installations. The missiles will not change the course of the war we dont have enough to send to do that but hitting bases inside Russia would make it more difficult for Putin to take back the 500 square miles of Russian territory in Kursk, which borders Ukraine, that Kyiv seized from Russia in a surprise raid. That could be an important bargaining card in any peace settlement. The Russian air force has been using glide bombs, cheap but deadly, against Ukraine, launched from well inside Russian airspace. Missile attacks on air bases would disrupt their ability to bomb from a distance at will. Putin has declared that if Britain and its allies give Ukraine permission to fire long-range missiles supplied by the West at targets inside Russia, then NATO and Russia would be at war There has been growing impatience with American reticence in U.S. military and diplomatic circles. Seventeen former U.S. ambassadors and generals wrote to the Biden administration this week saying it was time to change policy: Easing the restrictions on Western weapons will not cause Moscow to escalate. We know this because Ukraine is already striking territory Russia considers its own including Crimea and Kursk with these weapons and Moscows response remains unchanged. The letter-writers were correct. Ukraine has already used U.S. HIMARS to destroy bridges in the Kursk region. British Challenger 2 tanks have also been spotted on Russian territory. Yet, for all his huffing and puffing, no sign so far of Putin starting World War Three. Polands prime minister warned of giving his threats excessive importance. Putin has lost credibility, added Polands foreign minister. We should allow the victim of aggression to use all necessary force to counter the action of aggression. Given its history and geography, if Poland isnt frightened by Putins bluster, then we should not be scared either. Even Bidens own Secretary of State, Antony Blinken, started siding with the British over the missiles. Republican leaders in the Senate have also been calling for a more aggressive response when it comes to arms supplies, contrary to their presidential candidate Donald Trump whose route to instant peace is effectively Ukraines surrender. Resistance in Washington to sending long-range missiles was finally abandoned when it was revealed Iran was supplying Russia with more than 200 ballistic missiles to allow it to hit Ukrainian targets with greater precision, an ominous move which Washington rightly designated a significant escalation. So, belatedly (again), Biden has bowed to pressure from allies and from inside his own government. Storm Shadows will be sent to Ukraine with U.S. approval but, curiously, not the U.S. equivalent, called ATACMS, with a range of almost 190 miles. Its the sort of self-defeating prevarication Putins bluffing has exploited brilliantly for 31 months. Ukraine could certainly do with the fillip longer-range missiles will provide. Russia has been making advances on a wide front in the east since early June. It is now barrelling relentlessly towards Pokrovsk, a crucial road and rail junction. The Russian military is close to controlling the entire Donetsk region. If Ukraine hoped its incursion into Kursk would cause the Kremlin to divert forces to take it back, thereby taking pressure off its own military in the east, then it was mistaken. Russia has mounted no counter attack to retake its lost land. We dont know if Ukraine could hold on to its newly-occupied territory if there was one. But that 500 square miles of Kursk that it holds could play a part in any possible peace settlement. And for all the bluster and bellicosity, talk of peace is in the air. The White House is keen to push it along. So are the Europeans. Ukraines President Zelensky is heading to America to unveil what hes describing as a victory plan, but is likely to contain concessions. Putin could also be in the peace business: calling his bluff over long-range missiles that can hit Russia might encourage him to realise he needs a path to peace, too. Both sides would need to make huge compromises. Russia would have to accept that its mission to conquer Ukraine has failed. Ukraine would have to concede that Crimea remains Russian (though no doubt the UN could oversee a proper referendum to establish fairly and accurately the will of the people); and that a fair chunk of the Russian-speaking Donbas in the East is lost too (though it could be dressed up as some sort of autonomous region nominally separate from Russia and Ukraine). Agreement on any of that would be hard enough. But the real argument would be over the land bridge between the Donbas and Crimea, currently occupied by Russia. Its hard to see Ukraine agreeing to any peace deal that does not include the return of these lands, especially since it covers Mariupol whose brutal siege by Russian forces produced a heroic resistance before it fell, which has become to Ukrainian folklore and memory what the Alamo is to America. When a powerful war lord threatens us with mass destruction people are right to be nervous. But fear is a bad guide to shaping our response. It makes us feel weaker than we are and forgetful of our strengths, which we too often underestimate. Putin uses fear to get us to do his bidding, just as he uses it to cajole and dominate his own people. You have to be a pretty brave Russian to defy him. We dont need to be that brave to refuse to be intimidated by him. We just need to stop cringing every time he issues one of his blood-curdling threats and take confidence in the strength of our deterrence and the allies who stand with us. Shrinking before autocrats is never the path to peace. It is the road to submission. It is time to ignore his threats, to make it clear we will not be cowed or intimidated. Then to do the right thing which is to put Ukraine in a strong enough position to sue for a just peace. When Putin realises he no longer frightens us, then he too will have to give peace a chance. With tensions between the West and Russia on a knife-edge, Sir Keir Starmer was a man on a mission in Washington. He sought to persuade President Joe Biden that Ukraine should be allowed to fire long-range missiles deep inside Russia. The PM deserves huge praise for his resolve in wanting to help president Volodymyr Zelensky take the fight to Moscow. Permitting Kyiv to use Storm Shadow and other missiles might be a turning point in the war. President Zelensky could strike command and control centres, ammunition depots and air bases far from the frontline, blunting Russias ability to attack. Sir Keir Starmer with Ukrainian President Volodymyr Zelensky. The PM deserves huge praise for his resolve in wanting to help president Volodymyr Zelensky take the fight to Moscow With tensions between the West and Russia on a knife-edge, Sir Keir Starmer was a man on a mission in Washington. He sought to persuade President Joe Biden that Ukraine should be allowed to fire long-range missiles deep inside Russia The possibility of the US and UK lifting restrictions has clearly rattled Vladimir Putin. He has expelled UK diplomats from his country and again resorted to threats, warning that the West will be at war with Russia if it gives Ukraine the green light. Many will be alarmed by his bloodcurdling rhetoric. But the dictator, eternally the victim in international relations, has made menacing statements in the past. Each time it has been nothing more than bluster. The West must decide whether it really does wish to help Ukraine defeat Russia, or merely conspire in a bloody stalemate. But Britain has gone it alone before in the extent of its support for our ally. It should not be afraid to do so again. Logic up in smoke The High Court ruling which quashes permission to open Britains first deep coal mine in 30 years is both damaging and illogical. Whitehaven Colliery in Cumbria would have created hundreds of jobs in an area desperate for an economic boost. However, the judge ruled that when giving it the go-ahead, the previous Tory government failed to take into account the polluting effects of burning that coal. The green lobby is happy. But whether or not a mine can open should be a decision for elected politicians, not the courts. This is another depressing example of judicial creep. Whitehaven Colliery in Cumbria (pictured: artists impression) would have created hundreds of jobs in an area desperate for an economic boost. The judge ruled that when giving it the go-ahead, the previous Tory government failed to take into account the polluting effects of burning that coal Crucially, the coal wouldnt have been for domestic heating or electricity generation. It was coking coal exclusively for the steel industry. Much as we might wish otherwise, its not yet possible to produce new steel commercially by cleaner methods. So its surely better to use British coal than import it from halfway across the world in gas-guzzling freighters, which would increase emissions far more. In what addled brain does that make sense? As such, the High Courts decision is devastating for the economy, for industrial security and for the environment. Ill will of unions It's all so predictable. Having sprayed public money at other NHS staff in a bid to end strikes, the Government is now faced with GPs demanding similar largesse. The Left-wing British Medical Association says unless ministers give family doctors (average earnings: 140,000 a year) more generous funding, theyll down tools inevitably causing harm to patients. It's all so predictable. Having sprayed public money at other NHS staff in a bid to end strikes, the Government is now faced with GPs demanding similar largesse. British Medical Association says they want more generous funding or they'll down tools Sir Keir has vowed to take on the health unions. Will he live up to his tough talk or, as usual, spinelessly capitulate to the barons? Environment Secretary Ed Miliband says it is deeply disappointing that Petroineos is closing Grangemouth, Scotlands last oil refinery, with the loss of 400 jobs. But when he himself is introducing policies designed to destroy the North Sea oil industry in his reckless pursuit of Net Zero, what did he expect? He is either a hypocrite and a fool or he is crying crocodile tears. Please could he tell us which one? In a real war, this country could not defend itself from an attack by anyone much bigger than Legoland. As my Daily Mail colleague Mark Nicol has pointed out in some superb reporting, the Royal Navy is in its most pathetic state since the Dutch fleet sailed up the River Medway in 1667. None of our six attack submarines are at sea, so our supposedly independent nuclear deterrent (itself decrepit and under great strain) is probably being guarded by American warships. Our surface fleet, until recently kept at a total of about 50 destroyers and frigates, is now a pitiful remnant. Down to 15 ships, much of it is silent and immobile, tied up alongside being repaired or just rusting. HMS Astute, one of the Royal Navy's six attack submarines, none of which are at sea The Navys two gigantic new aircraft carriers, obsolete before they were laid down, and given to conking out suddenly, cannot be properly protected if they go to sea and are, to all intents and purposes, great fat targets. We even have to borrow aircraft from US President Joe Biden to put on them, as we do not have enough of our own. We are losing key men and women, as recruitment difficulties make the life harder and less family-friendly, and experienced people quit. The same is broadly true of all the forces, poorly-equipped, short of resources for training, losing good people who cannot easily be replaced. And yet amidst all this our leaders of both major parties constantly beat the jingo drum over Ukraine as if we were a mighty power and a rich one. Now Britain boldly proposes to supply Ukraine with Anglo-French Storm Shadow cruise missiles after humbly seeking US permission to do so. Maybe this rather silly decision to make the war worse will not create a more general conflict in Europe. Perhaps it will. I remain wholly baffled about why Britain is even taking part in a proxy war between the US and Russia on Ukrainian soil. I here repeat again my invitation to Boris Johnson to debate with me on this subject. But if it does spread the war, we certainly cannot fight it. And where is the money to come from, in a country that cannot afford to keep violent criminals in prison, which claims to be drowning in a fiscal black hole, and which has far more potholes on its roads than it has properly-trained soldiers? A hundred and fifty years ago there was a music-hall song which ran: We dont want to fight, but by jingo, if we do, weve got the ships, weve got the men, weve got the money too! The 2024 version runs: We do want to fight, but, midst all the ballyhoo, weve got no ships, weve got no men, weve got no money too! Idealist foreign policy just creates new evils A gripping documentary series on BBC catch-up, Corridors Of Power, describes the USAs repeated attempts to police the world in the last 35 years. Without meaning to, it shows Americas claim to be saving persecuted people from genocide, especially in Yugoslavia, is so much piffle. As America failed to stop appalling massacres in Rwanda and Darfur, humanitarian rescue cannot possibly be its real aim. Its really about power. That power is often exercised by rather stupid, vain people who have no idea what they are doing but mistakenly think they are noble. US leaders did not know who would replace that country's leader Muammar Gaddafi, the Vladimir Putin of the age, a stage villain to be denounced and overthrown The episode about Libya, in which David Cameron features as one of the leading idiots, is especially instructive. The intervening nations were clueless about their aims. They were wrong about the dangers from which they claimed to be protecting Libyans. They were egged on by TV journalists who were just as ignorant. Above all, they did not know who or what would replace that countrys leader Muammar Gaddafi the Vladimir Putin of the age a stage villain to be denounced and overthrown. What they mainly achieved was the great migration by unstoppable millions of economic refugees from the Middle East and Africa into Europe. Gaddafi had prevented that. Now it is permanent and will change all our lives forever. I couldnt care less that the people who did it had good intentions. Its now 391 days since Lucy Letby was told she would die in jail. But should she be there at all? Wheres Dock Green? Cops lost in space It was a dark autumn evening and I had just moved house. I hadnt yet had time to put up curtains and the middle window of my front room was adorned with a Neighbourhood Watch sticker from the previous owners. I was unpacking books when, with a crash and a tinkle, a large stone sailed through the window, just where the Neighbourhood Watch sticker was. I shot out into the freezing street to catch the culprits, and there they were, three boys aged about 14. Fuelled by fury, I chased them for a quarter of a mile. I caught up with them. I trapped them in a dead end where they hid, trembling, in a hedge. There were no mobile phones back then, so I stopped a passing taxi and asked them to contact the police through their radio. I heard them do so. I waited. The stone-throwers burrowed deeper into the hedge. And then it dawned on them and me that nobody was coming. They knew I wouldnt dare touch them, and so did I. I had to let them go. Later, when I contacted the local police, they said feebly that they hadnt been able to find the road, as officers were not familiar with the area. As I know from more recent experience, they still have the same problem, claiming for days to know nothing of a nasty incident even though Id given them an accurate place and time. Ive thought ever since that our police forces are too large and nothing like local enough. Why is this so? The man who wrecked our criminal justice system, Roy Jenkins, wanted tighter government control of the police. The Tories, as usual, went along with this. He began to strongarm the 127 police forces of England and Wales (there are now 43) to merge. In Scotland it would be even worse. This was a fad of the time, that bigger was better, the same idea which squeezed several formerly successful carmakers into British Leyland. For the first time in a long while, the Tory MP was gleeful. Starmer has messed up big time! he told me. All those prisoners breaking out the champagne. Then you throw in the pensioners winter fuel allowance. Its filling my emails. This policy is going to turn out to be his poll tax! His joy was mirrored in the despondency of one of his Labour rivals. Were getting the sequencing dangerously wrong, a minister told me. Its one thing to take tough decisions. But you cant just pile one load of s*** on to another load. You have to give the voters some sort of respite. Or else it comes to define you. Theres no doubt that last week was the worst, politically, of Sir Keir Starmers premiership. A prisoner celebrates with champagne after being released early to free up space, on the Isle of Sheppey, Kent An inmate, in the black T-shirt, is met by friends in a Lamborghini after being given early release The optics of the prison release were appalling, as drug dealers queued up on camera to personally thank the Prime Minister for handing them their liberty. At the TUC, he was heckled as he tried to defend his pensions raid. An opinion poll found his unfavourability rating soaring to 46 per cent, the joint highest since he became Labour leader. But for those of Starmers colleagues urging him to ease back on the pain, he has a simple and defiant message. Brace yourself. Because theres more to come. Were not going to be changing course, a senior Labour adviser told me. The Tory fiscal black hole is real. You can argue about a billion here or a billion there. But people need to wake up. This has to be tackled, and were going to be the ones to do it. Despite the terrible headlines, Starmer and his team have been bolstered by what they claim is some positive feedback coming from their focus groups. Tough, hard-a**e and decisive are three descriptions that have been fed back from voters. We know this isnt popular, an aide explained. But when did you last hear people describing a Labour prime minister like that? In four years time, we have to be able to say to the country, We took the hard decisions. We know you didnt like it. But it worked. This reference to a four-year strategy is significant. First, its a clear indication Starmer is already setting his sights on a 2028 election. But more importantly, after a week that began to look like it had been put together by the scriptwriters of The Thick Of It, when Home Office minister Diana Johnson had her bag stolen at a policing conference, it demonstrates the PM does actually have a political strategy after all. Which will come as a relief to the Labour MPs I spoke to over the past few days, who had begun to express concern that their leader had become so delivery focused hed forgotten he now occupies the most significant elected office in the country. Keirs attitude is, I was Director of Public Prosecutions. I know how to take serious decisions. I know how to get things done, one observed. Well, thats great. But the DPP doesnt have to go to a constituency surgery every week and explain to Brenda from Bristol why she wont be able to turn on the heating this winter. Starmers allies say their approach over the next few months will involve communicating with two distinct audiences. The first, and most important, is the British people. The electorate didnt just chuck the Tories out and give us a landslide because they thought everything was going great, one explained to me. They know things are bad. And they expect us to level with them. That was the trap the last government fell into. Boris, Truss and Rishi were doing their boosterish great days are ahead of us shtick and people simply thought, These guys are so out of touch with my life its a joke. Thats why the Prime Minister resolutely rejects calls to deploy his own sunny vision of Starmerism. But there is another reason he intends to stick with his bleak message of tough decisions on the horizon. He has to ram it home to his own Cabinet. What we need our ministers to grasp is that we dont have scope for big tax rises, a Labour aide explained, and we cant keep spending the way we have been. So we need them to be digging down into their departments and finding out where the real money can be saved. Im told there are three key areas that have been identified as upcoming crunch points. The first is welfare. Work and Pensions Secretary Liz Kendall and Chancellor Rachel Reeves have been closeted together looking at ways of tackling the burgeoning benefits bill. And they believe its time for some tough Labour love. Were going to have to get people back to work, a Labour insider told me. Theres no way round it. Its just not sustainable. A second highly contentious area is going to be the provision for special educational needs and disabilities (SEND). Education Secretary Bridget Phillipson believes the current system of hiving off children with special requirements into separate educational facilities is too exclusionary, and is committed to pushing ahead with some form of reintegration. This is another huge problem weve inherited, a Whitehall source told me. The last government ducked the issue. Bridget is prepared to grip it. A third major flashpoint will be immigration. Home Secretary Yvette Cooper has told colleagues her priority is to finally break the backlog of outstanding asylum claims. Under the last government this spiralled to a staggering 100,000 outstanding cases, at an annual cost to the taxpayer of more than 4 billion. Cooper intends to eradicate this by finally deporting those whose claims are invalid. But that will also mean a small but significant number of additional asylum claims being granted, with all the attendant issues of integrating the successful applicants into local communities. The Tories deliberately let the asylum system grind to a halt, a Home Office official explained. They wanted to ensure as few people as possible were granted asylum to show they were being tough. Yvette isnt going to play these games any more. This weekend, Labour MPs are nervous. Having basked in the luxury of being able to beat up on an increasingly demoralised and dysfunctional Tory administration, the reality of government is starting to bite. People are starting to see were playing in the big leagues now, one minister conceded. And, to be honest, a few of my colleagues arent really up for it. Tough. They need to get up for it, because the political outlook is about to be a whole lot tougher for them, and the country. Things can only get better? Not if hard-a**e Starmer gets his way. American author Robert Heinlein famously said that 'a generation which ignores history has no past and no future'. But while most Brits' history lessons begin at five and end at 14 years old, a handful of savvy academics have made it their mission to make Gen Z fall in love with the subject again. Over the past eight months, Katie Kennedy - who recently graduated with a first class degree from Durham - has gained over 400,000 new followers on TikTok thanks to her tongue-in-cheek history videos. The scholar - whose debut book The History Gossip will be published in November - has amassed almost 14m 'likes' on the video-sharing platform, with one of her most popular videos jokingly opening with: 'Was Anne of Cleves a minger?' Meanwhile, Alice Loxton - known on the platform as 'History Alice' - has also racked up over 826,000 followers for her videos taking viewers on tours around some of the UK's oldest homes. Pictured: Katie Kennedy - who recently graduated with a first class degree from Durham - has gained over 400,000 new followers on TikTok thanks to her tongue-in-cheek history videos Dr Amy Boyington - who studied law at Bristol before returning to her beloved humanities - is another academic who is at the forefront of the TikTok history movement and boasts a cool 1m followers. The social media star regularly racks up hundreds of thousands of views on her explainer videos, which vary from Hitler's Germany to medieval England. On top of this, Evelyn Edwards is an American actress-turned-TikToker with a passion for castles - and has taken her 651,000 followers on tours around Versailles, Winchester Castle and the Tower of London. Here FEMAIL delves into why Gen Z can't get enough of their virtual history lessons - and the glamorous academics at the forefront of the social media movement. The History Gossip After studying for her undergraduate degree in History at Durham University, Katie Louise Smith recently revealed she had received offers from both Oxford and Durham for her Masters. The 25-year-old uploaded her first TikTok video in September 2023, where she delved into the dating lives of Tudors. Although the student initially approached her videos from an analytical standpoint, she started taking a more light-hearted approach later that month. The 25-year-old (pictured) uploaded her first TikTok video in September 2023, where she delved into the dating lives of Tudors Although the student initially approached her videos from an analytical standpoint, she started taking a more light-hearted approach In one of her first videos, Katie states: 'If you're a big forehead girly, then the 1300s was definitely your time to shine.' In another, she joked: 'If you don't have any teeth, then Victorian and Edwardian men would be lining up at your door.' However, Katie scored one of her first viral videos in January when she delved into the Habsburg dynasty, which came to prominence in the 1200s and ruled Spain from 1516-1700. The TikToker joked: 'Your dad is your f***ing uncle with this lot because this family tree is a circle.' In March, Katie introduced a TikTok about Caroline of Brunswick with: 'Why did this royal love walking around in m***y knickers? Earlier this year, it was announced Katie Kennedy had written a book titled the 'The History Gossip' In the comments of the video, which has amassed over 3m views, one fan joked: 'Philomena Cunk needs to interview you.' Another added: 'Stopp youre so funny, I hope you dont run out of history to tell!' Meanwhile, a third replied: 'The commentary we DESERVE.' Earlier this year, it was announced Katie had written a book titled the 'The History Gossip' and will delve into 365 'historical curiosities'. Katie's Amazon author bio reads: 'Incorporating British slang and pop culture references, her videos offer a delightful fusion of Drunk History and Horrible Histories, making learning about the past an absolute riot.' History Alice Pictured: History TikTok creator Alice, who boasts over 800,000 followers on the video-sharing platform But Katie isn't the only glamorous young academic dominating this corner of TikTok - as fellow history enthusiast Alice Loxton also boasts a 826,000 followers on the platform. After studying history at university, the 28-year-old got a job at History Hit - which involved running their social media accounts and editing podcasts. In April 2021, Alice uploaded her first TikTok on her personal account - which delved into the story of how the crown jewels were hidden in a biscuit tin in WWII. After racking up over 100,000 views on her debut video, Alice then began a series called 'three crazy about...', which featured Jane Austen, King Charles II and Napoleon. In May 2022, Alice uploaded a video - which has over 5m views - about why some of the UK's oldest buildings have 'bullseyes' in their windows. She explained: 'In medieval times, the way that window glass was made was similar to blowing glass. In April 2021, Alice uploaded her first TikTok on her personal account - which delved into the story of how the crown jewels were hidden in a biscuit tin in WWII Earlier this year, her book Eighteen: A History of Britain in 18 Young Lives became an instant Sunday Times best-seller. 'A blob of molten glass was picked up and span rapidly to form a disc. 'The flatter, outer, thinner bits of glass were used for fine windows and the bit in the middle, which still had a circular mark, would be remelted for the next try. 'But sometimes the bit in the middle was not remelted and sold off cheap for low status buildings.' The video was a hit with viewers - prompting one to comment: 'Who knew windows could be so fascinating!' 'I've wondered this for literal years,' another added. 'Thank you!' In an interview with The Times in 2022, Alice delved into why she think she found so much success on TikTok. She explained: 'The beauty of my channel is that Im showing people the rich history thats in plain sight.' Earlier this year, her book Eighteen: A History of Britain in 18 Young Lives became an instant Sunday Times best-seller. Dr Amy Boyington Dr Amy Boyington (pictured) is another historian who has seen huge success on TikTok, which is largely used by Gen Z. In May, the historian uploaded her first viral series, which was about a series of paintings called The Harlot's Progress by William Hogarth Dr Amy Boyington is another historian who has seen huge success on TikTok, which is largely used by Gen Z. After joining the platform in 2022, Amy began posting videos of her visits to stately homes - including Holkham Hall in North Norfolk and the Napoleon apartments at the Louvre. In May, the historian uploaded her first viral series, which was about a series of paintings called The Harlot's Progress by William Hogarth. This cautionary tale was released in six instalments in the 1730s and follows protagonist Moll Hackabout, who becomes a prostitute. One viewer gushed: 'Im so invested in this story now. Youre an excellent storyteller.' Another added: 'Thank you for this series!!! You are very insightful in your analysis and I'm honestly hooked with her story.' Since then, Amy has amassed over 1.6m followers across her several platforms and published her debut book Hidden Patrons: Women and Architectural Patronage in Georgian Britain. Queen of the Castles The American expat - who lives in the UK with her British husband - has amassed over 650,000 followers She was known as Evelyn Edwards when she as trying to make it as an actress in the US. But these days, Evelyn is better known as 'Queen of the Castles' - thanks to her TikToks. The American expat - who lives in the UK with her British husband - has amassed over 650,000 followers on the platform, which she uses to post a mix of castle tours and the history behind them. Currently, Evelyn's top-performing video is a tour of the Palace of Versailles, where she delves into the story of how Marie Antoinette gave birth with an audience. She introduced the clip by explaining: 'Marie Antoinette and Louis XVI's sex life had been in constant scrutiny for eight years. 'When Marie finally announced she was pregnant, gossip and satirical pamphlets were circulated [speculating about the possible fathers]. 'Marie would - like many before her - have to give birth in public in order to make sure there were no substitutions made. A boy for a girl or a living baby for one that might have died.' Evelyn's top-performing video is a tour of the Palace of Versailles, where she delves into the story of how Marie Antoinette gave birth with an audience The video pulled at the heartstrings of viewers - with one commenting: 'Marie Antoinette is so demonised but she was literally a victim.' Another added: 'Wow poor Marie. I couldnt imagine that especially with how labor must have been back then.' Meanwhile, a third said: 'This is so messed up. Labour is stressful as is, much less with a whole crowd watching and in a time when medicine wasn't as advanced...' 'I guess people weren't practicing boundaries back then,' a fourth said. In response, Evelyn joked: 'Negative zero boundaries in Versailles!' As a self-proclaimed Gen Z who's all about convenience, I was curious and excited to hear that Heinz was releasing a Carbonara dish in a can - and jumped at the opportunity to try it. With a silent apology to my Sicilian colleague - and one of my best friends from Tyrol - I beamed in excitement as I received the tins which promised that I'd be able to enjoy the Italian-inspired delicacy in as little as two and a half minutes if I owned a microwave. I decided to opt for the hob instead - in a misguided attempt to make the meal feel more authentic and hearty - but ultimately feel I may have been better off not sampling this at all. While I applaud the company for attempting to squeeze a fairly easy to make dish into a 2 container, it became clear from the first forkful that not every Italian dish needs to be hashed into a ready to make concoction. Perhaps, I found myself thinking as I winced at how soupy the final product was, it would have been less mentally disheartening to have just splashed out for some eggs, parmesan and pancetta myself. As a self-proclaimed Gen Z who's all about convenience, Elmira was curious and excited to hear that Heinz was releasing a Carbonara dish in a can - and jumped at the opportunity to try it My initial feelings were not as pessimistic. When I first opened the can, I was surprised by how nice the meal smelt, wafting a smoky fragrance of the cooked pork coming through. Excitedly, as I poured it into the pan on the hob, I imagined it transforming from the pale white mixture into a thicker, more structured meal. Unfortunately, this is wear my faith started to wane. The sauce didn't thicken, but rather stayed thin and frothy, and when I went in to have a bite I felt it slipping off the pasta and plopping into the dish of the splash. Perhaps bizarrely, the best executed part of this appears to be the pancetta, which while tasting far from 'straight-from-the-butcher' in quality, was surprisingly nice and flavourful for a canned variant. It is not that the Heinz Carbonara in a can tasted particularly bad to me - but rather, its flavour and texture offered so little, that I felt upset eating it. However, while my reaction was mildly disappointed, many have been outraged by the creation. Ciara Tassoni, 31, manages posh Italian restaurant Bottega Prelibato, in Shoreditch, east London. Her establishment banned the dish last year after British customers kept demanding cream, mushrooms and chicken. While Elmira applauds the company for attempting to squeeze a fairly easy to make dish into a 2 container, it became clear from the first forkful that not every Italian dish needs to be hashed into a ready to make concoction The sauce didn't thicken, but rather stayed thin and frothy, and when Elmira went in to have a bite she felt it slipping off the pasta and plopping into the dish of the splash She told The Sun: 'Now they are putting it in a can? It's a disgrace and it couldn't be any further from authentic carbonara. 'If somebody came in here and asked for a can of carbonara they would immediately be thrown out.' Alessandro Pipero, owner of Pipero, a Michelin-starred restaurant in the heart of Rome, agreed. Speaking to The Times, he compared the tinned pasta to 'cat food', adding: 'I don't really know how to respond to this, shouldn't we stick to putting things like Coca-Cola in a can?' Alessandra de Dreuille, Meals Director at Kraft Heinz said, 'We understand that people are looking for convenient meals that are effortless to prepare, and our new Spaghetti Carbonara delivers just that. 'It's the perfect solution for a quick and satisfying meal at home. Whether enjoyed as a comforting meal after a long day or shared with friends whilst catching up on the latest TV series, Heinz Spaghetti Carbonara is the perfect fuss-free dinner solution.' Heinz is no stranger to weird, wacky and divisive products. In April, it launched a new sauce as a twist to its legendary tomato ketchup. The Smokey Bacon Tomato Ketchup is for all those who dream of bacon all day every day, so they can incorporate it into every aspect of their lives. Elmira decided to opt for the hob instead - in a misguided attempt to make the meal feel more authentic and hearty - but ultimately felt she may have been better off not sampling this at all Perhaps bizarrely, the best executed part of this appears to be the pancetta, which while tasting far from 'straight-from-the-butcher' in quality, was surprisingly nice and flavourful for a canned variant It follows the successful recent release of pickle flavoured tomato ketchup at the end of last year. Initially released in Iceland nationwide, before rolling out across other major UK supermarkets later this month, a bottle will set you back 3.39. And fans of its viral Tomato Vodka Pasta sauce can rejoice as Heinz and Absolut Vodka's take on the culinary delight returns to supermarket shelves across the UK. The beloved pasta sauce, which hit supermarket shelves this week, has made a much-anticipated return after its original launch in March 2023 - which sold out in just four days. The unexpected partnership between Heinz and Absolut Vodka was inspired by supermodel Gigi Hadid's viral social media recipe for spicy vodka pasta, which quickly became a culinary sensation online and in restaurants alike. The recipe's unique twist uses vodka to unlock and intensify the flavours of a rich and creamy tomato, basil, and cheese sauce. Prince Andrew appeared pensive as he rode in a village near Windsor this morning - just days before a TV series detailing his 'car crash' Newsnight interview airs. The prince, 64, was spotted riding his horse with a groom through a Berkshire village today, before driving back to his home on the Windsor Castle estate. The Duke of York wore a dark grey jacket to shield from the plummeting temperatures, dark blue jodhpurs and a pair of thick leather riding gloves. His outing comes as sources claimed Prince Andrew will be forced to pay for the upkeep and security of Royal Lodge himself or consider living elsewhere because King Charles's financial support will shortly stop. Charles has long been trying to get his younger brother out of the 30-room, 30milliion property in Windsor, which he lives in with his ex-wife Sarah Ferguson. Andrew, the Duke of York, has insisted on staying in the mansion despite stepping down from official duties nearly five years ago. The King has been funding private guards at the mansion since Andrew's armed cops - costing 3million a year - were removed in 2022 amid the Jeffrey Epstein scandal. Prince Andrew appeared pensive as he rode in a village near Windsor this morning - just days before a TV series detailing his 'car crash' Newsnight interview airs The prince, 64, was spotted riding his horse with a groom through a Berkshire village, before driving back to his home on the Windsor Castle estate But now the pressure to move is growing as substantial subsidies from the King and the Royal Family are not going to be available indefinitely, the BBC reported. If Andrew can privately fund the costs of the maintenance and security, then he can stay, but if not he will have to consider living elsewhere. The royal is also likely preparing for the release of Amazon Prime series A Very Royal Scandal, which will detail the prince's disastrous interview with Newsnight's Emily Maitlis. The new dramatisation, which comes just five months after Netflix release its own version - Scoop - stars Michael Sheen as the Duke of York and Ruth Wilson as journalist Maitlis. The three part series will premiere on 19 September exclusively on Prime Video in the UK, US, Canada, Australia and New Zealand. The series will focus heavily on Maitlis - who serves as an executive producer, whereas Netflix's Scoop focused on Prince Andrew. The Duke of York wore a dark grey jacket to shield from the plummeting temperatures, dark blue jodhpurs and a pair of thick leather riding gloves His outing comes as sources claimed Prince Andrew will be forced to pay for the upkeep and security of Royal Lodge himself or consider living elsewhere A spokesperson for Amazon confirmed the series will 'follow Emily Maitlis' professional and personal journey as a Newsnight journalist, leading up to her acclaimed interview with Prince Andrew.' A press released added: 'A Very Royal Scandal follows the action of Maitlis and Prince Andrew in the lead-up to the interview, the ground-breaking event itself and the many questions left in its wake that would change their lives forever.' The first episode sees Prince Andrew attempt to declare his innocence as he finds himself at the centre of an international scandal while Newsnight presenter, Emily, does everything within her power to secure an interview with him. Charles has long been trying to get his younger brother out of the 30-room, 30milliion property in Windsor, which he lives in with his ex-wife Sarah Ferguson The royal is also likely preparing for the release of Amazon Prime series A Very Royal Scandal, which will detail the prince's disastrous interview with Newsnight's Emily Maitlis The second instalment features the interview but the meeting takes various twists and turns that neither Emily, nor the Newsnight team, could have expected. Finally, in the third episode Prince Andrew is left to navigate the fallout for himself, his family, and the Royal firm as Emily considers her reputation and the future of her career. Along with Sheen and Wilson, the series will star Joanna Scanlan as Amanda Thirsk, Alex Jennings as Sir Edward Young, Eanna Hardwicke as Stewart Maclean and Claire Rushbrook as Sarah Ferguson. Barack Obama's youngest daughter was spotted smoking a cigarette in her car before driving off in Beverly Hills. In pictures obtained by the DailyMail.com, Sasha Obama, 23, can be seen wearing a dark button-down shirt tucked into light blue high-waisted denim shorts as she walked to her car on Friday. She accessorized with green slip-on shoes, a large brown handbag, square eyeglasses, chunky rings and a necklace with an eye-popping circular pendant. The former First Daughter and University of Southern California graduate looked pensive as she puffed on a cigarette from the drivers seat. Sasha and her older sister, Malia, 26, spent eight years of their childhood living in the White House after their dad served as the 44th President of the United States from 2009. Sasha Obama , 23, wore a dark button-down shirt tucked into light blue high-waisted denim shorts as she walked to her car on Friday The former First Daughter puffed on a cigarette from the drivers seat of her car before driving off in Beverly Hills Their famous father told Jimmy Kimmel in June that his daughters have absolutely no interest in entering politics. 'That is a question I do not need to answer, because Michelle drilled into them so early that you would be crazy to go into politics,' the former President shared. 'It'll never happen.' Even so, the 63-year-old described Malia and Sasha, who both live in Los Angeles, as 'powerful young women.' When Sasha turned 23 years old on June 10, her father shared a picture of himself with this youngest daughter as a child with a heartwarming caption. 'Happy birthday, Sasha! Its been such a joy to watch you make your own way in the worldand youre just getting started,' the former president said. She accessorized with green slip-on shoes, a large brown handbag, square eyeglasses, chunky rings and a necklace with an eye-popping circular pendant Sasha relocated the West Coast in 2022 after she transferred to USC from the University of Michigan Michelle Obama shared a more recent photo of herself hugging Sasha with the caption: 'Happy birthday, Sasha! You make me so incredibly proud. Hope this year brings you everything you want and more. Love you.' Sasha relocated the West Coast in 2022 after she transferred to USC from the University of Michigan. She graduated from the college with a degree in sociology in May 2023, as her famous parents cheered her on from the audience. In December, she was pictured showing off her toned midriff and belly button piercing in funky skirt and tiny crop top as she went to the nail salon. The sisters have been pictured puffing on cigarettes on numerous occasions - a habit they may have picked up from their father. Barack began smoking as a teenager and he famously promised wife Michelle that he would stop before he campaigned for president. Sasha and her older sister Malia, 26, spent eight years of their childhood living in the White House after their dad served as the 44th President of the United States Barack Obama said that his daughters have absolutely no interest in entering politics because Michelle 'drilled it into them' that they'd be 'crazy' to choose that career He previously admitted to smoking up to 10 cigarettes a day and has been very honest about his struggle to quit over the years. In 2009, he admitted during a press conference, 'As a former smoker, I constantly struggle with it. 'Have I fallen off the wagon sometimes? Yes. Am I daily smoker or a constant smoker? No. I don't do it in front of my kids. I don't do it in front of my family. 'And, you know, I would say that I am 95 per cent cured, but there are times where I mess up.' In his 2020 memoir, A Promised Land, he credited his eldest daughter, Malia, with helping him to quit, writing that seeing her 'frown' at him after she 'smelled it on his breath' made him determined to stop. Marit Peterson doesn't remember much from her cancer journey, but she has the scars - physically and emotionally - to serve as constant reminders. When the Texan was just an infant, her mother noticed an odd spot on the toddler's finger. After taking her daughter to several doctors only to be dismissed, one finally agreed to remove it 'for cosmetic reasons' in July 2006, when Marit was two years old. During the operation, however, doctors realized how serious her case was. Tests revealed Marit had stage 3B melanoma, America's deadliest form of skin cancer. In fact, Marit's case was so rare that top cancer doctors at MD Anderson Cancer Center in Houston had never before seen a melanoma patient so young, and there were no survival statistics for patients her age. Marit Peterson was diagnosed with melanoma at two years old and underwent multiple surgeries on her right hand and arm to remove the cancer from her body Marit faced a year of high doses of immunotherapy drugs and the toddler had to have a port implanted into her chest to receive the medicine For adults, it was six months. But now, a 20-year-old pre-med student at the University of Texas at Austin, Marit told DailyMail.com: 'Having the perspective and insight that I'm not supposed to be here has made me who I am today, and it's formed my personality and what I want to do with the rest of my life.' Her message? Don't wait - go see a doctor, and don't take 'no' for an answer. Marit's mom, Candy, first noticed a spot on her infant's hand at three months old. Her father had survived melanoma and Candy thought the little girl's mole looked just like his did. While she was 'suspicious,' Candy, who was diagnosed with cancer herself at 34, didn't bring the infant to the doctor right away. Only about 500 children are diagnosed with pediatric melanoma each year in the US, so in her mind, it was highly unlikely. Instead she monitored the spot closely. But it began growing, which is when Candy took her daughter to be examined. Multiple physicians told her it was benign and wouldn't remove it until finally one relented. But Candy said he agreed only because it was 'because you want your daughter to look pretty. I understand; it's cosmetic,' Marit told DailyMail.com. However, once in the operating room, surgeons discovered the mole was more invasive than they thought and immediately sent it for a biopsy. Candy's mom was shocked at the diagnosis as her two-year-old had barely been exposed to the sun. But Dr Michelle Henry, a dermatologist and recipient of the Melanoma Research Foundation's (MRF) Excellence in Prevention Award for her melanoma advocacy, told DailyMail.com that while melanoma is primarily caused by the sun, genetics are also at play - and people with a family history have a higher risk for the cancer. She said: 'If you have a family history, there's a certain level of vigilance that you will need to have even more than people who are just abiding by the standard [sunscreen] rules.' Stage 3B melanoma meant the cancer had spread from Marit's right hand to lymph nodes in her right armpit, and she had to undergo multiple surgeries. Then, she faced a year of high doses of immunotherapy drugs. The toddler had to have a port implanted into her chest to receive the medicine for one month and then her mom had to administer shots three times a week for a year. Marit told this website: 'The treatment made me extremely sick with 105 (degree) fevers and I was close to seizing. I started to lose my hair. It was scary. At night [I had] night terrors.' And while she has since been declared cancer-free, the scars across her body are an everyday reminder of her battle. Marit has been a vocal advocate for melanoma prevention, awareness and research and is receiving this year's Courage Award at the Melanoma Research Foundation Gala in October Marit has traveled to Washington, DC to speak with lawmakers about melanoma funding and has worked with the FDA on getting new sun protection ingredients approved Additionally, the surgery on her armpit left her with nerve damage, causing residual pain. She is also concerned about her fertility, as doctors are unsure if her treatment had any effect on her ability to get pregnant. Marit told DailyMail.com: 'I really want to be a mom. I love my mom. She's my best friend and I want to be like that with my kids.' Since her preteen years, Marit has spoken publicly about her experience and has even traveled to Capitol Hill to meet with lawmakers on funding for melanoma, the deadliest form of skin cancer that claims the lives of nearly 8,300 people every year, according to the MRF. Her family has raised more than $3million dollars for melanoma research - which has identified genes that could pose an increased risk for the disease. Those new genes have been named the 'Marit Peterson genes.' Now, Marit's journey and efforts have made her the recipient of the Courage Award at next month's MRF Gala, and she is excited to continue her advocacy. Dr Michelle Henry said people with a family history of melanoma must be extra vigilant when it comes to sun care The American Cancer Society estimates 200,300 people will be diagnosed with some form of melanoma in 2024. The average lifetime risk of developing melanoma is three percent, or one in 33 people, but the risk increases if a person has a family history of the disease. There are several types of melanoma - cutaneous, which occurs on the skin and is the most common; ocular, which develops in the eyes; and mucosal, which can occur in the sinuses, nasal passages, mouth or vagina. There are also pediatric, adolescent and young adult melanomas. Rates of new melanomas vary - in people younger than 50, they've been stable among women and have declined by about one percent per year in men since early the 2000s. The five-year survival rate for melanoma is 94 percent, but that drops to 35 percent for melanomas that have spread and could be even lower depending on far the cancer has progressed. Annual full-body skin checks are recommended to spot the cancer early, but people can perform self-checks periodically at home and visit a dermatologist if they feel anything is suspicious. 'Trust yourself. I tell my patients, "You know your body best and part of advocating for yourself is not taking no for an answer,"' Dr Henry said. Marit (fourth from the left) is a pre-med student at the University of Texas at Austin and is in the Zeta Tau Alpha sorority When conducting a self-check, people should be on the look out for the ABCDEs of skin cancer. A is for asymmetry: Is the mole symmetrical all the way around? Melanomas are often uneven and have different sized and shaped halves. B is for border: Does the mole have clear borders? Melanomas are more likely to have irregular or jagged edges. C is for color: Is the color the same throughout the mole? Melanomas are more likely to have multiple shades. D is for diameter: How big is the mole? Typically they should be the size of the end of a pencil and melanomas tend to be larger. Lastly, E is for evolving: Has the mole changed over time? Most benign moles stay the same year-to-year, but melanomas can grow in size and shape and change colors over time. Annual full-body skin checks are recommended, but people can perform self-checks periodically at home. When conducting the exam, people should be on the look out for the ABCDEs of skin cancer Dr Henry is excited for new screening and treatment tools that go beyond just a skin check, including a new medical tape that non-invasively takes flecks from a suspicious mole and sends it to be genetically tested to assess risk of melanoma. She said she sees many patients who are 'so anxious' about developing melanoma that 'they're losing sleep over it and can't focus.' But she is hopeful for the future of prevention and treatment: 'We're getting better and better at fine tuning our diagnosis and establishing risk. 'And then we're getting better and better at prevention - finding [moles] earlier, visualizing them earlier and looking at genetic data to give us information about how this mole might behave.' As for Marit, she still faces anxiety over going to MD Anderson Cancer Center every year, but she is looking forward to graduating college, completing medical school and going into dermatology where she can help patients like her. She said: 'Some days I wake up and get scared that I have cancer again and because I have to go back ever year, [doctors] have never told me I was cancer free. They just say there is no evidence of disease. 'I think medicine and technology are just getting even better and there are so many things I could do to help... so I just try to think on the bright side.' Since I was diagnosed with osteoporosis last autumn, my life has taken surprising turns. But I didn't expect it to lead to me - and my Mum - meeting the Queen in the Morning Room at Clarence House. I was invited because of my campaigning in this newspaper to raise awareness of the deadly bone disease, in particular to help people to receive an early diagnosis by making Fracture Liaison Services available throughout the country. At the reception, I was presented with a certificate of appreciation in the presence of Her Majesty. I felt a surge of pride standing by her and when she expressed 'huge thanks' for the work this paper has done. 'It really has put osteoporosis on the map,' she said. 'Can I just plead with you to keep going it makes an enormous difference to a lot of people who would otherwise go undiagnosed.' By that point, I was buzzing. San Antonio police are looking for a man accused of attempting to sexually assault a woman near downtown. San Antonio Police Department San Antonio police are looking for a man accused of attempting to sexually assault a woman in June. On June 20, a woman was walking around 4 p.m. in the 1300 block of South Flores Street, near Interstate 10 and South Alamo Street, when she was attacked by a man. Advertisement Article continues below this ad According to police, the unknown man began to follow the woman. They said he attacked her and attempted to sexually assault her. Police said anyone with identifying information on the man is urged to call SAPDs Special Victims Unit at 210-207-6314. The department emphasized that tips may remain anonymous. Ever wondered why Lily Collins dresses so flamboyantly on Emily In Paris? Its because the popular shows costume designer Marylin Fitoussi has an innate dislike of classic French style. Ive always been a huge fan of print and colour and if I have to work with minimalist tones I feel like I am going crazy, Fitoussi told me when I met her in Paris after the first series. I think the show will free some peoples minds and give them the inspiration to mix what they want and wear what they want. The fashion will give young people more freedom, as opposed to the French uniform of navy blue and black. And beige if its a crazy day! Blazer, Joseph, trousers, Debenhams, bag, Celine This week the second instalment of the shows fourth series hit our screens, with Emily moving out of Paris for the French ski slopes, then on to Rome. Our heroine may be expanding her horizons but her OTT outfits remain the same, to the consternation of many in the French fashion world. Some wonder if Parisian native Philippine Leroy-Beaulieu, who plays Emilys boss Sylvie, is the real star of the show and better dressed. Originally, in series one, Emily was going to change her style after a shopping trip with Sylvie and become the perfectly understated French girl. But Lily Collins and I fought with Darren Star [the shows producer, who also did Sex and the City] for her to have her own unique look, said Fitoussi. She is a strong character with a big personality and needed her own style. Lily pointed out that Darren did the same transformation [with Anne Hathaways character] in The Devil Wears Prada and that she didnt want to do it that way. Meanwhile, Leroy-Beaulieu has maintained a more sophisticated French style. When I met her on the same Paris trip, it was obvious she had a lot of control over what she wears in the show. She and Fitoussi were meeting every week to decide what outfits Sylvie would strut into the office in. Their work has paid off as, for the new series, Saint Laurent has collaborated with Sylvie, and several of the brands bags are slung nonchalantly over her shoulders. In stark contrast, Fitoussi dresses just like Emily in real life. I have been called a parrot and a clown in Paris because I wear bright colours and print, she told me. But if you feel confident and beautiful, who gives a damn! You need to have your own style. Coco Chanel said that for a woman to be remembered she needs to be unique. Thats why the show is so popular. I dont know why French people are so offended about that! @thestylistandthewardrobe @youmagazine SPOTTED Brad Pitts new girlfriend Ines de Ramon is vice president of Los Angeles-based fine jewellery company Anita Ko. She was spotted here (above) celebrating with her colleagues after their brand won an accolade at the Gem Awards. GET ORGANISED Its time to start thinking about the big summer-to- autumn wardrobe swap. These smart storage boxes are ideal for packing away your warm-weather favourites. A GRRREAT BARGAIN Browse Ebay for the perfect preloved leopard coat this season (this one by Helene Berman, worn twice, was just 15). Its a great platform for finds because so many other sites out there are overpriced. On Rhea Norwoods 22nd birthday, she celebrated by seeing a few of her friends and family and eating a Colin the Caterpillar cake from M&S. However, this June, when she turned 23, the festivities were somewhat more exciting; on the night of her birthday, Norwood made her West End debut as Sally Bowles, the female lead in Cabaret at the Kit Kat Club, Eddie Redmayne, currently playing the Emcee in the Broadway version of the production, sent her a good luck email. That, says Norwood, was the best birthday present ever. Although, she clarifies, I do love a Colin the Caterpillar. Shearling blouson, 3,450, earrings, 390, and shoes, 870, Emporio Armani Cabaret aside, Norwood is best known for playing Imogen Heaney in the Netflix drama series Heartstopper. If you dont recognise her, your grandchildren will. The book series it was adapted from written by British author Alice Oseman, 29 was already a hit with Gen Zs. (So far her novels have sold eight million copies and been translated into 37 languages.) When season one arrived in April 2022, it was viewed for a spectacular 53 million hours within its first month, making it then the fifth most-watched English-language Netflix show in history. The story is about two British schoolboys, Nick and Charlie, who fall in love with one another. Norwoods character, Imogen, is a classmate with a crush on Nick. Norwood has some well-known co-stars: Olivia Colman plays a mother, Stephen Fry a headmaster and Bridgertons Jonathan Bailey was such a fan of the first two seasons he asked the producers if he could have a part in series three, which comes out on 3 October. Norwood is tight-lipped about whether she shares any scenes with the actor, but confirms that she met him on set: Hes lovely. Hes very, very lovely. Shirt, 320, skirt, 450, gloves, 250, and sandals, 880, Emporio Armani Heartstopper was Norwoods first professional acting job. She grew up in a village in Surrey with her parents, who both work as primary-school teachers, and her elder brother, who is a firefighter. The young Norwood was obsessed with acting. I was never the popular girl at school, though I had some lovely friends. But I just always wanted to be an actor, she says. I think that, maybe, when you know what you want to do and you cant do it because youre at school, that can make you feel like you are outside [things]. Norwood had zero connection to the industry (although her mother does direct the school play). After leaving her state secondary school in 2019, she attended the Bristol Old Vic Theatre School, which today has an alumni page on its website with photographs of notable graduates, or, as they put it, a multitude of glittering stars. Scroll down the list and youll see Daniel Day-Lewis, Olivia Colman, Patrick Stewart, Jeremy Irons, Theo James, Josh OConnor and Rhea Norwood. She spotted the Heartstopper job in February 2021, during her second year at drama school, through an open casting call on Twitter. The advert wasnt for the role of Imogen but another character called Darcy, who was described as having a bob. Norwood, who had long hair and was experiencing a Covid identity crisis, decided she would hack off her hair before filming her self-tape. This being lockdown, she had to do it herself at home, with kitchen scissors. Do you know what, she says, it actually looked all right! My mum had to trim the back a bit. Bowling em over in the West End production of Cabaret Norwood sent the tape and Netflix asked her if she could do a call-back on Zoom this time for the role of Imogen. Most drama schools dont like pupils taking time off to do professional work, so Norwood made sure hers was happy for her to film for around three months. Four days after the call-back she was told shed got the part. She had never, throughout the entire process, met any of the Heartstopper cast or crew in person. The first season was largely shot in a secondary school in Slough. Thats glamorous, I say, and Norwood laughs. Yeah, yeah. Big Netflix job. Where are we going? Where are you sending me? Slough Thankfully, things have since become more exotic; for season two, the cast went to Paris and filmed inside the Louvre. Playing Imogen in Heartstopper, with Sebastian Croft as Ben Hope Heartstopper has made its stars most of whom were teenagers when it began famous. Really, truly famous. Norwood was signed with Independent, the same glitzy agency that represents Gillian Anderson, Rachel Weisz and Sophie Turner. Joe Locke (the 20-year-old who plays Charlie) will star in the new Marvel miniseries Agatha All Along and last month was on the front cover of Rolling Stone. Kit Connor (also 20), who plays Nick, now fronts campaigns for the luxury fashion house Loewe. The young cast were all very well nurtured by the crew, says Norwood, but it still seems that fame is complicated. Connor, who plays a bisexual character on the show, was hounded online by fans who saw paparazzi photos of him holding a girls hand. They assumed he was straight in real life and accused him of queerbaiting (when a straight person is purposefully ambiguous about their sexuality to attract queer fans). Connor responded with a tweet: Im bi. Congrats for forcing an 18-year-old to out himself. I really cant comment on that, says Norwood of Connor, or for anyone else, to be honest. I think everybody in the public eye is navigating peoples perceptions of them. She is, unsurprisingly, guarded about her personal life. I dont enjoy being perceived. I dont mind standing on stage as a character; Ill give you the whole thing. Ill be as vulnerable as you want me to be. But in terms of, like, me and at this she trails off. Norwood says her life doesnt feel different now that shes well-known, and she seems very unstarry on the YOU photo shoot. All the team remark how polite she is and, as the photographs finish, before our interview begins, she immediately changes out of the Emporio Armani jacket shes wearing into a baggy jumper and trousers. (That said, maybe most clothes seem baggy on Norwood; shes teeny-tiny in person: no more than 5ft 2in.) People do recognise her, though. Recently she was at a spin class near where she lives in London and, before it started, the woman on the bike next to her leaned over and said, Has anyone ever told you that you look like the girl from Heartstopper? Norwood laughs. Im a terrible liar, I cannot lie, so I was just like: Ahh, yeah. Then she asked, Are you the girl from Heartstopper? And I went, Ahh, yeah. Then I thought, And now you are going to see me get really sweaty. With superfan Cara Delevingne, her predecessor as Sally Bowles in Cabaret At the time of writing, Norwood has some 460,000 Instagram followers. I have 413 and find Instagram a bit stressful. I cannot conceive how weird it must be to have so many strangers watching you. Does she feel an obligation to entertain her followers with snippets of her private life? Not really, she replies. I didnt sign up to be an influencer. I dont want to be an influencer. I want to be an actor. I want my work to speak for myself. Fair enough but, still, does she not worry shell get drunk and accidentally post something stupid to the best part of half a million people? Well, Im not drinking right now because of the show and Im also a Type 1 diabetic [she was diagnosed in July 2022]. So, alas, my days of drama-school heavy drinking are over! I do drink, just in moderation because Ive got to be careful with the old blood sugars. Nonetheless, Norwood understands the anxiety: I often delete the Instagram app for weeks at a time, she says. Im trying to be more in the present. Faux-fur blouson, 3,450, and jumpsuit (just seen), 880, Emporio Armani She wont reveal her screen time (Oh god, it would be high. Im constantly checking emails or looking at my blood sugars or listening to music) and even though shes an Instagram sceptic, she does like TikTok. She has an anonymous account on the app and, after intense performances of Cabaret, she unwinds by watching silly videos. When Heartstopper season one came out, her TikTok algorithm became inundated with fan-made videos of herself. Did she read any of the comments underneath them? Oh my god, no, no, no. I dont want to know! In June, Cabaret hosted a gala night with a big post-show party for the cast and their friends. Several Heartstopper cast members came to support Norwood, as did her mother, her brother and all her childhood friends from her youth theatre. Oh, and Cara Delevingne, who played Bowles in the production of Cabaret that preceded Norwoods. Apparently, the model was very complimentary and made it clear that she was there if I ever needed her. I happen to notice on Instagram, where Norwood has uploaded a video of her singing Bowless solo number Maybe This Time, that Delevingne has commented: [3 hearts] Utterly electric. Lambskin and faux-fur blouson, 3,150, Emporio Armani Singing that song about a pregnant woman on the edge of despair every night, six nights a week, is a big task for a 23-year-old. Over the decades it has been performed by Liza Minnelli, Judi Dench, Jane Horrocks, Sienna Miller, Michelle Williams, Emma Stone and Natasha Richardson. Even though I sing it every night, I shock myself by my ability to still feel it so deeply, says Norwood. I must just have, she puts on a silly voice, an unlimited pool of angst. A week after our interview, as I watch her perform as Bowles, she does look genuinely upset and moved when she sings the song: thank god for unlimited pools of angst. Norwood has always loved singing, but tells me that at drama school she lost her confidence. She hadnt sung in public for three years so, at the start of 2024, she made a resolution: she would sing again in front of a crowd. I thought that I might do an open-mic night, she says. Three months later she got the part in Cabaret. And then, suddenly, she tells me, Im singing in the West End. Things like that are what have made this year her best so far: I dont know how I top this! Where do I go from here? Season three of Heartstopper will be on Netflix from 3 October. Rhea performs in Cabaret at the Kit Kat Club until this Saturday (kitkat.club/cabaret-london) Interview: Maddy Fletcher Fashion director: Sophie Dearden Picture Editor: Stephanie Belingard. Styling assistant: Jessica Carroll. Hair: Ken ORourke at C/O using Hair by Sam McKnight. Make-up: Florrie White at C/O using Armani Beauty. Its a new record. I had five compliments in one day about my handmade black floral dress by Molby The Label, an ethical, independent British brand Ive just discovered. That evening, at a wine-tasting event, I wore a sleek cream Bella Freud waistcoat with breezy navy trousers, and this outfit attracted yet more appreciative comments. A few days later I attended Wimbledon in a low-cut, tangerine Whistles frock. It was a style and shade outside my usual comfort zone, yet I felt amazing. All of the above were accessorised with a statement tan crossbody bag by luxe French label Jacquemus, another compliment magnet. I spent the summer merrily swishing around town in a wardrobe that was way above both my pay grade and my style credentials. And I dont own any of it. No, I havent become a shoplifter Im trying out the long-term fashion rental service from Hurr. Late last year it become the first of the online rental platforms to allow you to hire items for a month or longer. Then in May its Flex Pro pass launched, offering 225 worth of rental credit for 125, which you can spend across a 12-month period. The longer you rent a piece for, the cheaper it is. That orange Whistles dress costs 53.79 for 30 days, while the Jacquemus bag which retails at around 775, an amount I could never justify spending on arm candy is 149.86 for a month. Fashion rental is entering a new era. In the five years or so since its inception, it has become known for occasionwear typically youd rent a fabulous dress for a weekend event, returning it on the Monday. Carrie Symonds famously rented her wedding dress in 2021, when she married then prime minister Boris Johnson, spending just 45 on hiring a Christos Costarellos gown from My Wardrobe HQ. Now rental platforms are listing jumpers, jackets and jeans alongside party dresses the idea being that rental is for life, not just for Christmas (or weddings). Were calling it rental 2.0 the future is flexibility and elevating your everyday, says Hurrs founder Victoria Prew, 31. Renting multiple-use items is really popular. How great is it to have a new Reiss blazer for a month that you can wear to a job interview, on a date night and to dinner with friends? Its now about renting for those mini moments in life rather than big moments what can you do every day to jazz up your wardrobe? Prew is definitely on to something here. I loved the thrill of opening up my package of shiny new clothes, gleefully wearing them to death for a few weeks and then sending them back before I had chance to get bored of them. Were all guilty of leaving clothes loitering unloved at the back of the wardrobe that dress you adored, wore on repeat for a few months, then fell out of love with. Long-term rental is a more eco-conscious way of scratching the itch. It gives that dopamine hit of new things without owning them, says Prew, who hired a super-fashionable Dragon Diffusion basket-style leather bag for the summer, knowing she could trade it in come autumn. While renting for a month, I was also heartened by the fact that there are fewer delivery vans and less dry-cleaning involved than for shorter-term rentals. While fashion retailers struggle, fashion rental is flying. Over the next decade the value of the global rental market is forecast to grow to nearly 5 billion. And its not just millennials like Prew who are on board. The average age of a Hurr renter is 25 to 39 but its creeping ever upwards. The platforms oldest customer is 72, with many mature women being introduced to the concept by their daughters when they hire their wedding gowns and mother-of-the-bride outfits. You know its gone mainstream when John Lewis gets involved. Its online rental platform, featuring brands such as Jigsaw and LK Bennett, launched in 2022 (powered by Hurrs technology). While its 30-day rental option currently only makes up three per cent of transactions, the high-street store sees huge potential for growth. This autumn/winter, coats will be available for the first time, with the arrival on the site of Shrimps, the much-loved London brand that specialises in vibrant faux fur. As Brits we do spend a lot of time in our coats, so this gives us a chance to switch them up and experiment with our winter wardrobe, says Jade Potter, innovation lead at John Lewis. Elsewhere, luxury handbag rental service Cocoon does a monthly subscription service from 79. And For The Creators, which offers pre- and postnatal fashion, has subscriptions from 60 to hire two items per month surely the perfect market for seasonal rental, given your bump is only there for a few months. So everyones a winner with long-term rental, right? Well, to a point. Lauren Bravo, sustainable fashion expert and author of How to Break Up with Fast Fashion, uses rental but has reservations. Its still fuelling a culture where its a faux pas to be seen in the same dress at two different parties, and facilitating the breakneck cycle of trends rather than encouraging us to get over our obsession with newness, she says. The question is what we do with those clothes once they bore us. Ultimately, its best to commit to our clothes long-term, which would make the biggest difference in terms of sustainability if you keep a garment in active use for just nine months longer, you reduce its carbon footprint by as much as 30 per cent. When I ask Prew what she thinks our wardrobes will look like in ten years time, she replies, Eighty per cent rented joyful pieces that make you feel amazing, new brands youve discovered and 20 per cent basics that youve bought, thatll last you years and years. Expect to see more monthly (and beyond) rental subscription deals think of it as the Netflix-ification of fashion. You might rent for the summer season, send it all back and hire anew for the autumn. Meanwhile, I had to bid farewell to my magical month of fashion pieces, package them up and head to the post office to send them back. Naturally, I had to stand in a long queue so I browsed Hurr on my phone, planning what Im going to hire in the months ahead. HOW TO MAKE MONTHLY RENTAL WORTH IT Plan ahead Look at what events you have coming up and choose pieces accordingly. With autumn arriving, could a fun coat or chunky knit give your wardrobe a boost? Go wild This is your chance to experiment without spending a fortune. Leave your comfort zone and try new brands, cuts and colourways. You can send an item back if it doesnt work. Be patient Getting the sizing right with new labels can be tricky. With my first Hurr delivery, four out of six items didnt fit. But the beauty of long-term rental is that you have time to order replacements. Note that John Lewiss rental platform has a brilliant AI fitting tool, so you can get a sense of what a dress will look like on you. Keep it fresh When renting clothes for a month or more, theyll need to be spruced up. Rather than fork out for dry-cleaning, or risk their ruination in the washing machine, use Febreze spray and be willing to spot clean. Relax about wear and tear I was too scared to use my Jacquemus bag at first in case my handcream leaked in it which defeated the object of renting it. So check your rental companys small print. Hurr offers a 7.50 damage protection policy covering repairable things like minor stains and zips. If youre keen to properly clean your items before the end of the rental period, Hurr advises messaging the lender about specific care instructions. hurr. illustration: ellie allen-eslor In 1996, I bought a Chanel handbag for 800. Was I rich? No. Could I afford it? Yes, despite my salary at the time being 15,000 a year. Today, the same handbag would cost me 8,500. Am I rich? Still no. Could I afford it? Also no. My salary may have risen in the intervening years, but not as sharply as designer bags have. Then again, when a designer coat routinely costs 4,000, a dress 2,500 and a T-shirt 600, perhaps paying 8,500 for a handbag doesnt seem so steep by comparison. Saying that, if people were queuing up to buy these exalted items, the 1.26 trillion luxury-goods industry wouldnt be in so much trouble. But from Birmingham to Beijing, the story is the same: save for a few stellar performers, sales are in the doldrums. Read on for a stitch-by-stitch analysis of the factors giving luxury labels a kicking. Eye-watering price hikes Anyone who routinely browses the rails at Valentino, Dior or Gucci will have observed how steeply prices have risen, particularly post-Covid. Where once a designer handbag was vaguely affordable with some judicious saving, now its out of reach for all but the 0.1 per cent. Ask any luxury brand why prices have risen so sharply and as an answer youll receive a litany of justifications that run from Brexit through to spiralling manufacturing charges (labour, raw materials, transport and currency movement have all increased in cost). A shorter answer? Because they can. While exorbitant prices might seem like the most obvious reason for sales to have stalled, some luxury CEOs would beg to differ. Major fashion brands have hiked prices by an average of 33 per cent since 2019, but this hasnt stopped Chanel (the second largest high-end label after Louis Vuitton) reporting a sales growth of 16 per cent last year to 15 billion. Coco Chanel adjusting a models dress, 1962 If its simplistic to equate price hikes with falling sales, its more accurate to describe a two-tier system whereby brands at the very top of the pyramid Hermes, Chanel, Loro Piana, Brunello Cucinelli and The Row actually benefit from price hikes. Luxury-goods analyst Luca Solca estimates that, for the majority of high-end brands, the top five per cent of their clients make up more than 40 per cent of sales. Ultra-high net worth individuals will always need something to spend their money on and crave best of the best products, which have a cachet that is only magnified by other peoples inability to afford them. Its why the smartest luxury brands create rare or limited-edition products: their prices generate those all-important talking points that cut through the noise on social media, ultimately driving sales. Case in point: the Millionaire Speedy, Louis Vuittons $1 million handbag, released at the end of last year. Hermess limited-edition Birkins are another example. While a new Birkin bag costs from 9,000 up to an eye-watering 150,000, ultra-rare iterations go for much more: in 2022, the auction house Sothebys sold a Neige Faubourg Birkin for a record-breaking 235,000. Confusion at the top Christian Dior passed away in 1957, Coco Chanel in 1971 and Yves Saint Laurent in 2008. As founders, each was synonymous with their respective label. In 2024, of the big legacy brands, only Giorgio Armani and Miuccia Prada remain as founders/designers. By contrast, their peers appear to be engaged in a never-ending game of musical chairs. A hard act to follow: designer Alexander McQueen in 2006 One of luxurys biggest challenges is succession. Take Chanel: in safe hands for 36 years under the leadership of Karl Lagerfeld, it is still casting around for a new creative director after his successor, Virginie Viard, exited in June. Valentino (who retired in 2008) recently replaced Pierpaolo Piccioli with Alessandro Michele, who headed Gucci between 2015 and 2023, and was himself replaced by Sabato De Sarno. Sarah Burton, creative director of Alexander McQueen since its founder died in 2010, was replaced after 13 years by Sean McGirr, and may or may not resurface at Givenchy. Peter Hawkings, meanwhile, lasted less than a year as head of Tom Ford, while rumours continue to swirl that Daniel Lee, formerly of Bottega Veneta, may soon exit Burberry due to ailing sales. While everyone loves a rumour (Wholl get Chanel? is the fashion industrys favourite parlour game), customers dont always respond well to change. Rather than breathing fresh life into a label, too much change can weaken brand perception. When customers are spending four figures, they like to know what theyre buying into. Under Alessandro Michele, Gucci had a strong, distinctive identity and buoyant sales. By contrast, Sabato de Sarnos version is perceived as lacklustre, which has so far been reflected in sales. Legacy brands are under extreme pressure to produce results, but even the most talented designers take time to bed in. Firing them after a few seasons benefits no one. Creativity locked down British fashion colleges churn out creative designers in disproportionately high numbers. So where is the next Galliano or McQueen? Answer: probably toiling away under the restrictive edicts of an international design house owned by Kering, Richemont or LVMH, the industrys biggest players who function as a powerful oligopoly, between them owning most of the luxury labels youve ever heard of. A Hermes Faubourg Birkin was sold by Sothebys in 2022 for a record 235,000 So much control do these three exert over the industry that its harder than ever for new talent to break through. Launching your own label takes deep pockets, and scaling it up requires investment beyond the reach of most. Little wonder the dream now for many design graduates is not to see their name above the door, but to secure a position at a storied luxury brand. Once installed, however, theyre unlikely to get to flex their creative muscles. In troubled times, brands are less likely to take risks and more inclined to focus on tried-and-tested formulas for what sells. Unfortunately, this can make for unexciting ideas that are derivative or recycled ironically, at a time when luxury has never needed creativity more. Sustainability not on the agenda For an industry built on change, luxury brands can be freakishly slow to adapt to it and never more so than when it comes to sustainability. The Miu Miu micro-mini is one of the brands bestsellers Luxury fashion is dominated by five companies who control 80 per cent of the market, says Sarah Crawley, co-founder of social-first creative agency The Good Influence. Theyve been too slow to innovate, too greedy when it comes to the bottom line and have ridden the wave of the last few years, missing the changing consumer tide thats happening underneath. Resale, rental and repair is where the future lies, yet theyve largely ignored this. I wouldnt be surprised if the likes of resale sites Vestiaire Collective and Hurr are now firmly on their acquisition agenda to give them access to this rapidly growing sector within luxury fashion. Crawley believes the luxury brands that continue to lead in this space are those with sustainability at their core, citing Stella McCartney as a prime example: in 2009 her Falabella bag, made entirely from plant-based materials, set a new industry standard. Exposed by the court of TikTok One of the positive things about TikTok is how effectively it pulls back the curtain, its 1.6 billion active users functioning as the ultimate hive mind. Luxury fashion thrives non smoke and mirrors: oblique pricing structures and obscure manufacturing processes have long been its lifeblood. Twenty years ago, it wasnt common knowledge that luxury retailers tend to mark up items by approximately three times. Now, these and other facts are openly debated on TikTok, Reddit and myriad fashion blogs, with younger customers in particular more likely to question the status quo. This year, an investigation by Milanese prosecutors found that Dior and Armani were exploiting foreign labour, with Dior paying suppliers 53 to produce a handbag it sells for 2,600. The story spread on TikTok, as did reports that Hermes gatekeeps its bags, only selling them to customers with sufficient purchase history. So, however assiduously luxury brands try to protect their image, the court of TikTok will speak truth (and occasionally untruth) to power. Yes, it can be overzealous (everyones a fashion critic, regardless of knowledge or qualification), but luxury brands shouldnt merely use the platform to advertise: they should also track whats being said about them and pay heed. Hit by a nosedive in Chinese sales For those unaware of Chinas importance to the global luxury economy, a brief crib sheet: in 2019, Chinese consumers accounted for a third of global luxury spending. In 2024, they account for less than a quarter. A weakened property sector, a high level of personal debt and record levels of unemployment have all contrived to rob label-loving Chinese consumers of their spending power. Earlier this year, Kering saw 7 billion taken off its market value after sales fell by nearly 20 per cent, caused by plummeting demand in Asia for its trophy brand Gucci. No incentives for overseas visitors By contrast, the UKs biggest problem, according to many luxury retailers, is that its the only large European country not to offer overseas visitors tax-free shopping an allowance dropped by the previous government in January 2021. The British Fashion Council claims that, while tourism in Londons West End has recovered to pre-Covid levels, income generated is still 15 per cent less than it was then. In France during the same period, it has increased threefold. Its hitting us hard, says one British designer who didnt want to be named, because theyre currently fighting for their labels survival. Even the high street is suffering. Kurt Geiger boss Neil Clifford said earlier this month: International tourists, whether it be Middle Eastern or American travellers, are spending less time in London. Stars and sinkers The biggest losers are Gucci (where sales are down 18 per cent), Saint Laurent (down nine per cent) and Balenciaga (down six per cent), with parent company Kering announcing a revenue drop of 11 per cent and an eight per cent share slump. LVMH also announced lacklustre sales, with revenues falling by one per cent and shares sliding by five per cent. In the UK, Burberry has issued profit warnings, while Mulberry sales are down by four per cent. The biggest winner is Miu Miu, little sister brand of Prada (also performing well) and its slew of must-haves (recent hits: 600 knickers and 900 micro-miniskirts). Its distinctive looks went viral on social media, driving sales across the brand. In July, Prada Group announced that Miu Mius first-half retail sales had risen by 93 per cent year on year. LVMH-owned Loewe is also thriving. Overseen by Northern Irish designer Jonathan Anderson, like Miu Miu its had a slew of hits this summer. According to the fashion shopping platform Lysts quarterly trend report The Lyst Index, between April and June Loewe overtook Miu Miu as the most covetable brand in the world: no surprise to anyone whos been on a beach recently and seen just how many women were toting its 450 basket bags. Anderson is that rare beast who excels not only at product design but at marketing, too. As well as sponsoring this years Met Gala, costuming the Challengers film and dressing stars like Zendaya, the designer created further talking points through the innovative casting for Loewes advertising campaigns, which have starred Daniel Craig and Maggie Smith. Richemont-owned Alaia is also doing well, despite having significantly less marketing budget than Miu Miu or Loewe. What all three have in common is desirable products at their heart, coupled with a clear brand identity. If there is a formula for selling luxury, it would appear to be this: strong product + strong brand identity = sales. If only it were that simple. I am told by various banking moles that cash machine network Link will announce on Wednesday 15 locations where new banking hubs will be launched. Hurrah. Hubs are the closest we have in this country to community banks, allowing customers of all the big brands to carry out basic banking transactions under one roof and (if they are lucky) get access to a representative from their bank one day a week. Hubs are replacing standalone banks, with Lloyds announcing 55 more closures next year. Although new hubs are becoming almost two a penny 148 have been recommended so far and 81 opened these latest 15 represent a big step forward. Why? It's because the banks which fund the hubs through an organisation called Cash Access UK have finally agreed to change the rules governing where they can be located. Currently, a hub can only be set up in a town that has lost its last bank. Even then, it may be ruled out because the banks don't believe the town is big enough to support one. They were also ruled out in towns where Nationwide still had a branch. Lone voices: Sparks sang This Town Ain't Big Enough for Both Of Us Although it's a building society, not a bank and doesn't offer business banking the banks' collective view was that a hub couldn't be justified where a Nationwide still stood proud. As pop band Sparks sang in 1974: 'This Town Ain't Big Enough For Both Of Us.' Yet, through a mix of pressure from the new Government and longstanding community bank campaigners such as Derek French, banks have seen sense. Most of the 15 to be announced this week are in areas where Nationwide has a branch. Harpenden in Hertfordshire (French's backyard) and Whitley Bay in Tyne and Wear are among towns to benefit. It is a move which the banks should be patted lightly on the back for agreeing to. This announcement will be supported by a new requirement put on a bank which announces the closure of the last bank in a town where Link recommends a hub. The bank will now not be allowed to close its doors until the hub is up and running. It means there will be continuity of banking services. With hubs having carried out more than a million customer transactions to date, they are beginning to establish themselves on our high streets which, as consultant PwC said last week, are under pressure like never before. Banks, pharmacies and pubs are dropping like flies. The next step is to improve the breadth of service that hubs offer, even if they are little changes such as the installation of printers so that customers can get duplicate bank statements. Last week, City minister Tulip Siddiq impressed on the banks and Cash Access UK the need for better services at a 'banking hubs' roundtable. Let's hope the banks respond positively. Siddiq wants 230 hubs up and running by the end of next year and 350 by the end of the current Parliament. All 350 need to be fit for purpose. Ask energy firms for a cut in bills The axing of the winter fuel payment (WFP), passed by the House of Commons last week, is cruel and indefensible. Sadly, it now looks that despite all the campaigning from the likes of Age UK and Baroness Ros Altmann (and a lot of coverage on the issue in both the Daily Mail and The Mail on Sunday), Chancellor of the Exchequer Rachel Reeves has got her wicked way. To all those readers who have contacted me on WFP, a big thank you for your lovely emails and letters a mix of anger, passion, and occasional tear-jerking words. If you haven't checked whether you qualify for pension credit (the trigger for WFP), I urge you to do so. Please visit https://www.gov.uk/pension-credit/how-to-claimplease or ring the claims line on 0800 99 1234 (open Monday to Friday, 8am to 6pm). It might take a while for your call to be answered. Let me know if you are left hanging on the telephone for an eternity. Also, please see if your energy supplier can offer you a discount on your bills. Lesley Main, a 70-year-old pensioner from near Barnard Castle in County Durham, tells me she received a credit of 183 the equivalent of six months of energy standing charges after applying for assistance from Octopus via its help fund Octo Assist. Other energy suppliers offer similar schemes. Three final points on WFP. The National Pensioners Convention is holding a day of action on WFP on October 7, with a 'protest' in Parliament Square outside the Houses of Parliament (I'll be there). Email me if you want more details. Secondly, hats off to Jon Trickett for being the only Labour MP to have the courage to vote against the WFP changes. Worthy of a knighthood. Finally, I trust the Chancellor has learnt from the WFP debacle and resists scrapping the single person's council tax discount which would impact many elderly people. Investors still haunted by spectre of Woodford Fallen idol: Fund manager Neil Woodford The ghost of fund manager Neil Woodford, once the golden boy of the investment community, lingers on, as readers remind me on a regular basis. A big thank you for the latest Woodford missives from John Harris and Alan Berrow. More than five years have elapsed since Woodford's main investment fund (Woodford Equity Income) put up the shutters because of acute liquidity issues. The fund was subsequently broken up and compensation paid to investors, albeit meagre sums. Yet the two other funds, Woodford Income Focus and Woodford Patient Capital Trust, chug along, under the respective wings of Abrdn and Schroders. Neither investment house has shrugged off the curse of Woodford. Abrdn UK Income Equity has underperformed the average of its peers since Abrdn fund managers took over the reins in February 2020. It has also trailed behind the FTSE All-Share Index. Many investors have voted with their feet and the fund has shrunk from 250million in February 2020 to today's 163million. Although the ongoing charge is reasonable at 0.64 per cent, surely it's time for the fund to be merged away. Yet Abrdn's travails pale into insignificance against those running Schroders Capital Global Innovation Trust (Woodford Patient Capital as was and then for a while Schroder UK Public Private). However hard Schroders has tried, its performance has gone nowhere. Sadly it is still plagued by many of the dog investments (primarily unlisted securities) that Woodford bought and which the current managers are unable to offload. Over the past year, the shares are down 23 per cent. Over the past two and three years, they have fallen 41 and 70 per cent respectively. The share price stands at just above 11p and the trust is capitalised at 87million. To put this into perspective, Woodford Patient Capital launched on the Stock Exchange in April 2015 with 800million of investors' hard-earned money under its wing and the shares priced at 1. Maybe the trust will recover but I don't believe in miracles. Next year it will hold a continuation vote. Winding up would put everyone out of their misery. As for Woodford, we await a Financial Conduct Authority decision on disciplinary action. Whatever the verdict, it won't replace the losses that tens of thousands incurred by following the Pied Piper of fund management. Damning report: Mario Draghi Something has gone terribly wrong with the European economy. That's not a British viewpoint, though many here would agree. It comes from Mario Draghi, the man who, as president of the European Central Bank in 2012, saved the euro with his commitment that the ECB would do 'whatever it takes' to do so. He was asked by Ursula von der Leyen, president of the European Commission, to write a report on The Future of European Competitiveness. The results, just published, were dire. He concluded that the European Union faced lasting sluggish growth, and that this would threaten its entire social model. If it did not act, it would face 'a slow agony' of decline. The report is 400 pages, but in short form, the message cited three ways to boost performance: close the innovation gap between Europe and the US and China; seize opportunities in the process of decarbonisation; and make Europe less reliant on foreign supply chains. To do that, Europe needs huge investment by governments and business, a rethink of regulation, and changing rules on competition so that European companies could combine to create industrial champions. He is far from alone in his concerns. Emmanuel Macron, the French president, said in April that Europe faced a 'moral risk'. And last weekend, the Italian prime minister, Giorgia Meloni, put the problem pithily: 'America innovates, China replicates, Europe regulates.' Some 20 years ago, the US was 27 per cent of global GDP at market exchange rates, the eurozone 22 per cent, and China only 5 per cent. The US remains much the same with 26 per cent, China has jumped to 17 per cent, while the eurozone is down to 14 per cent. The report has, unsurprisingly, met a mixed reception. Everyone agrees with Draghi's analysis. What you do is another matter. To fund the investment he suggested there should be some kind of common borrowing, which the German finance minister has already said wouldn't solve the problems, and they won't agree to it. Germany feels it would, in practice, be guaranteeing the debts of less prudent countries. If Europe's largest economy is not on board, it is hard to see much progress on funding. Deregulation, Meloni's point, is also fraught with difficulties. The green groups don't like it, largely because they feel that environmental protection is being framed as a barrier to competitiveness. Common taxation? That's impossible. And sceptics point out that there have been similar reports in the past and Europe's relative performance has continued to decline. I had a conversation with the head of one of our top-five largest corporations around the time Draghi was trying to save the euro. He was an early Brexiteer. Why? If we remained in the EU we would be 'shackled to a corpse'. That seemed to me to be a rather forceful way of putting it, but his point that Europe would continue to decline has sadly proved right. So what can they do? It would be easy to say that the main problems are onerous regulation and high taxation, and that must be part of it. But there are European companies that manage to cope, and quite a few success stories where the more cautious culture has given better results than the US focus on short-term profits. Think Airbus and Boeing. I think the problem boils down to two linked elements. One is that Europe has failed to create a significant high-tech sector along the lines of the Magnificent Seven. That goes for the UK too. The other is cultural. There isn't the same zest for wealth-creation, or indeed respect for people who have done well. So fewer new companies are formed, fewer risks taken, and the wealthy keep their heads down. I can't see Europe changing. We have the opportunity to do so, becoming more like America, and I think we will eventually head in that direction. But it will need a different sort of leadership than the gloom we are being served right now. When AngloGold Ashanti unveiled a 1.9billion takeover of London-listed gold miner Centamin last week, the South African firm described the deal as 'highly compelling'. Centamin owns a world-class mine in Egypt that will boost AngloGold's annual production by more than 15 per cent. In return, Centamin investors have been asked to swap the bulk of their shares for AngloGold stock plus 12.5 cents (9.5p) in cash. A nugget?: While gold is at an all-time high, gold miners are not The blend valued each Centamin share at 1.63 on the day of the deal, but the price began to slip last week as AngloGold shares fell. Midas recommended Centamin at 62p in 2015. After a bumpy ride, the stock hit 1.20 before AngloGold pounced and ended the week at 1.56. Midas verdict: While gold is at an all-time high, gold miners are not. The South Africans have spotted a bargain: this story may have further to run. Sit tight. Traded on: Main market Ticker: CEY Contact: Centamin.com Ms E.C. writes: I am a 71-year-old and feel I have trapped myself and my descendants in perpetuity hell. Since 1999, I have owned a one-week timeshare at Cameron House on Loch Lomond. I am responsible for the payment of spiralling annual, unaffordable management fees, currently 988 plus VAT. Electricity charges for my six-day stay were 484 and when I queried this I was told that some timeshare owners were charged 650. Even when I die, the management or their debt collectors will make their demands to my family. Cameron House on Loch Lomond. Ms E.C. is responsible for the payment of spiralling annual, unaffordable management fees Tony Hetherington replies: When timeshares were popular, their big selling point was that owners would not have to pay a fortune to take the whole family on holiday. Instead, there would be a modest annual fee for the upkeep of their apartment or as Cameron House calls it their lodge. They could even rent out their weeks, or sell them to a new owner. How times change. Today, owners can hardly give away their timeshare, let alone sell it. And if they do find a buyer, the timeshare company may veto any transfer of ownership if they suspect the new owner cannot afford their annual fees. The Cameron House timeshare business is American-controlled, but the boss on the ground is Allan Reich, whose experience in Scotlands hospitality industry stretches back about 40 years, so I asked him to explain his companys in perpetuity contracts. Timeshare buyers may have interpreted this to mean that they owned their timeshare forever, rather than for a set number of years. But it appears the company takes it to mean that even when an owner dies, demands for annual fees can still be issued, year after year, blocking executors from ever paying out bequests to families, friends or charities, until the estate is completely drained. The only option is to hope the company will accept an immediate lump sum, reducing bequests rather than wiping them out totally. Reich failed to answer and so did the public relations firm he hired to respond to me. I asked whether the company sued owners who were no longer able to afford the large annual fees and, if so, how many owners had ended up in court. I was told that this had been explained to owners in a document I had not seen, at a meeting to which I was not, of course, invited. In other words, it was a reply, but not an answer. I asked repeatedly whether it was true that owners who wanted to quit were told they had to hand over a lump sum equal to four years of fees to buy their way out. Again, no answer. I pressed ahead in an attempt to find whether timeshare owners were free to sell to anyone they wished. This time I got two answers. I was told: Owners can sell their week privately or through a timeshare resale agent should they wish, with no restriction from us on the price they can accept. Unfortunately, I was also given confirmation that buyers are vetted, with Cameron House admitting that we do carry out checks before finalising any sale. This flies in the face of the original timeshare sales material which assures buyers: Like any other property, you can rent it out, sell it, give it away or bequeath it to your heirs. No mention of a 100 per cent power of veto that gives the company complete control. Mis-selling perhaps? Boss Allan Reich, whose experience in Scotland's hospitality industry stretches back about 40 years Now for the electricity bill. After your recent visit, you were told the meter had been read and 484 had been charged to your credit card. You protested and the bill was cut to 333, with the difference refunded. You were told simply that the meter had been misread. Perhaps it had, but 333 for a weeks electricity is still staggering! Timeshare scandals were commonplace 30 or 40 years ago. Most people today would not touch timeshare with a bargepole. But, sadly, in perpetuity owners are trapped. Do our politicians understand this? Well, two years ago, the House of Commons Library produced a research paper for MPs all about timeshare. It highlighted that in perpetuity contracts mean that after the death of the timeshare owner, their estate continues to be liable for management fees. Since then, silence. When will MPs call time on Cameron Houses unjust timeshares, with their potential for tragic consequences for families? I wont hold my breath. My husband died with 93 credit in his Amazon account can the money be transferred to me? Mrs C.C. writes: My husband passed away. He used to buy Kindle books on Amazon and his account is 93 in credit. I asked if I could use this to buy a gift card, but Amazon says I have to purchase goods which I dont actually need. Hours chatting to customer service got nowhere. How is it possible that Amazon has no way of transferring the money to my account or allowing the purchase of a gift card? Tony Hetherington replies: I think the problem may have been that you originally asked for the 93 to be transferred to a gift card. Because of the risk of online scams, or false claims acting on behalf of a deceased estate, Amazon can be cagey about transferring gift card balances. It is also aware that demanding lots of paperwork from the family at a difficult time can be unpleasant. That said, my contact at Amazon could not have been more helpful. The balance from your husbands account has now been transferred in full to your own Amazon account, to spend as you wish. The company told me: We are very sorry for Mrs Cs loss. When a loved one or close relative passes away, our Bereavement Support team are here to help and we have made an exception in this case to transfer gift card funds without additional documentation. Tasteful: Magnum is promoted by model and actress Cara Delevingne The UK risks losing a key multi-billion pound listing to the Dutch because Labour has not appointed an investment minister. The warning came from former Barclays chairman Gerry Grimstone amid fears Amsterdam will win a battle for Unilever's 15billion ice-cream business, which includes Magnum, promoted by model and actress Cara Delevingne. Last night, Grimstone, who was investment minister between 2020 and 2022 and worked on trying to get chipmaker Arm to list in London, called on the Government to appoint someone who has the dedicated remit of encouraging inward investment into the UK. Labour had been set to hire Benjamin Wegg-Prosser, but he did not want to abandon his existing job running the Global Counsel advisory group he co-founded with Lord Mandelson. 'It's a difficult job, the person has to be familiar with Whitehall and able to manage investors,' Grimstone said. While Prime Minister Keir Starmer has been scrambling to find an investment minister, the Dutch have been busy wooing Unilever's top executives. The Mail on Sunday can reveal that The Netherlands' minister of economic affairs, Dirk Beljaarts, met the consumer goods giant's Dutch chief executive, Hein Schumacher, last month. He is thought to have encouraged Unilever in a video meeting on August 19 in an introductory conversation to list the 15billion spin-off on the Euronext exchange. The Treasury refused last week to confirm whether City Minister Tulip Siddiq has met, or been in contact with, Unilever executives. But Grimstone said such charm offensives were not in Siddiq's remit. Euronext said it was the number one listing venue for European companies but did not want to comment specifically on Unilever. The pan-European bourse hailed 34 listings this year, with 3.1billion raised. By contrast, the London Stock Exchange won eight companies in the first half of 2024, raising 513.8million. Schumacher said in July the group was 'progressing at pace' with demerging the unit behind ice-cream brands Wall's and Magnum. The Dutch are confident they can win the highly sought-after listing. This would rub salt in the City's wounds as it suffers an exodus of companies to markets elsewhere. Arm also eventually snubbed the UK when it chose to list in the US, despite ministers rolling out the red carpet. The hunt to return more than 300billion of silver and gold currently at the bottom of the ocean to the British coffers is on. Leading the charge are swashbuckling hedge fund managers and City financiers with cash to burn and a taste for adventure. They include Spectator-owning money manager Paul Marshall, who founded Argentum Exploration a specialist salvage firm and Philip Reid, a former banker with Merrill Lynch, and chairman of rival explorer Britannia's Gold. The two are financiers, but it is their passion for finding lost British treasure that unites them. The expeditions are a rich man's game long, expensive and with no guarantee of uncovering a haul. But like many adventurers before them, the chance of a discovery is too powerful a reward. Sunken treasure: The hunt to return more than 300billion of silver and gold currently at the bottom of the ocean to the British coffers is on The monetary prizes can be lucrative but there is also a sense of patriotism to the expeditions harking back to the days when British explorers ruled the waves. Reid told The Mail on Sunday: 'I am determined to be the man who went and got back Britain's gold'. So what are they looking for? Shipments of gold and silver were sent to America during the two world wars to pay for goods and munitions, but were often sunk by German U-boats. Many were sunk by submarines lying in wait in shallow waters off Ireland in a bid to choke off the UK's ability to import and export. The rate of attrition was so high that Lloyd's of London refused to insure the ships, meaning they were underwritten by the British Government, still the technical owner of the treasure. Research shows that 7,500 vessels carrying about 300billion in today's money were lost. For Britannia's Gold, founded eight years ago, 2025 could be a key year. Its target is a wreck it does not want to name off the north-west coast of Ireland, where it has completed four phases of investigation. The firm hopes to extract the cargo early next year. Marine operations manager Will Carrier said crews face practical challenges such as 'cutting through eight inches of steel in the pitch black'. Should the expedition be successful, then the loot will be taken to a secure location, and the firm will negotiate a price with the UK Government for its return. After covering costs, the proceeds will be shared among investors. Reid says funding comes from 'major investors who can afford the very considerable cost of salvage', such as family offices, sovereign funds, institutional investors and hedge funds. He says: 'It's basically backed by very rich individuals who find it fun. There's huge attraction to the everyday investor, but with respect, they're not the ones we want.' He explains: 'Increasingly this is seen as an attractive way of finding gold that would cost a fortune to find on land. But being right and being successful is no easy business.' Adventurer: Paul Marshall One of the major issues is that ownership of the loot when it is found is not always clear cut as Marshall found out. He is head of one of the world's largest hedge funds, Marshall Wace, which manages 50billion in assets, and he owns a big stake in broadcaster GB News. Last week, he snapped up the Spectator magazine for 100million, completing the next stage of his ambition to control a big swathe of the UK's conservative and rightwing media outlets. He is still in the running to buy The Daily and Sunday Telegraph with the second round of bids due by September 27. But with Anthony Clake, a partner at the hedge fund, Marshall is also one of the leading funders of deep-sea treasure hunts. According to company filings, Marshall controls Argentum Exploration, which was incorporated in 2012. He thought he had struck gold in 2017 when Argentum retrieved 2,364 silver bars from the wreck of the passenger ship SS Tilawa. The ship was sunk in 1942 en route to South Africa by a Japanese submarine with the loss of 280 lives, and there it remained for seven decades. But after the find, the firm spent seven years wrangling with the South African government, arguing it was owed a substantial salvage figure. In May, the UK's Supreme Court sided with Pretoria, saying it did not owe Marshall payment for the silver, which had been bought by South Africa from the Indian government in 1942. The sides reached a settlement independently. Clake, who has been involved in about 30 salvages, said earlier this year: 'I don't do this for a living.' Marcos Carley checks the water level of his portable tote as he and his wife purchase water from the Terlingua Ranch property owners association. Carley said he and his wife have to fill up their tote with nonpotable water every couple of weeks and must purchase drinking water from either Terlingua or Alpine, the latter being a nearly three-hour round trip. Eli Hartman/Texas Tribune ODESSA To cope with a growing population and increasing demand for water, a popular resort and residential complex in Terlingua told its residents that it would limit water sales. The eight-member board overseeing 200,000 acres of privately owned land and short-term rentals called Terlingua Ranch Lodge about 70 miles from Big Bend National Park sells drinking and nondrinking water to permanent residents. Many rely on the association for their monthly supply. But for the first time starting this month, the board will reduce the amount of nondrinking water that residents can purchase monthly if the well water levels begin to drop. Board members hope to avoid running out of water again, as in 2018, when one of the ranchs five wells dried up. Advertisement Article continues below this ad Weve created a dependency, Larry Sunderland, the associations water committee chair, told the Texas Tribune last June. Sunderland said then that residents shouldnt rely on the ranch wells because they werent drilled to sustain the ranchs existing population and accommodate tourists. The water scarcity in this West Texas village is a microcosm of the states own water crisis. Texas booming population is straining water systems and supplies, and the state is only beginning to meet the demand. The Texas Water Development Board, which manages the states supply, began distributing $1 billion in taxpayer-approved dollars for urgent projects addressing those needs. In Terlingua, this is the first step to conserving water and the start to understanding how much water flows underneath those who live there. Everything is a guess at this point, Sunderland said of the water coming out of the wells, adding that the technology will help property owners manage their water needs by knowing how much water the board has available. Dues-paying property owners can purchase a set amount of water monthly. The associations charter says they can purchase 1,000 gallons of nondrinking water monthly. Each gallon costs 10 cents $50 if they take the full amount. Property owners can get up to 25 gallons of free drinkable water every week. Anything above that is 25 cents per gallon. Its unclear how much water these new limits will save. More than 5,000 people own property on the ranch. But most dont live there, and the number of full-time residents changes frequently. Advertisement Article continues below this ad The ranch does not sell water to tourists. It will not issue limits for tourists who book short-term rental cabins and use the water. Additional restrictions may be possible based on water levels. The board, which owns the five water wells, will begin monitoring the wells using sounding tubes. The board will use a 0-4 rating system to measure the health of its wells. At 0, sales will be normal. At 1, the ranch will begin reducing water sales by 20%, to 800 gallons monthly. At 2, it will cut water sales by 50%. At 3, the board will cut 75% of the water it sells to just 125 gallons. And at 4, the ranch will stop selling water altogether until the wells recover. Scientists, regulators and local officials are just beginning to uncover precisely how much water is beneath the soil in Terlingua. The Water Development Board has no precise map of the body of water, called an aquifer, in southern Brewster County, where Terlingua sits. The equipment monitoring the stages of the wells will offer a glimpse. Sounding tubes detect the elevation levels of a water well. When the tube reaches water, the tube pulses, indicating the water level, said Kevin Urbanczyk, a professor of geology at Sul Ross University and board member of the Brewster County Groundwater Conservation District. Advertisement Article continues below this ad The board will use the sounding tube at the start of each month and publish the results on its website. Urbanczyk said the sounding tubes provide limited information about the water that rises to the surface. Obtaining comprehensive data about the bed of water even farther below requires a team of scientists, engineers, and funding a time-consuming and expensive process. He said the conservation district has partnered with the ranch to study the available data. He plans to solicit funding and help from the Water Development Board in the future. Their wells are limited in their capacity, and theyre trying to put Band-Aids on it, he said. And with that increasing population, Im not surprised at all. I think that a conservation mindset is a really good step in the right direction for that. The company behind the world's first effective urine-based screening test for prostate cancer wants to raise money to expand. Cambridge-based Oncologica has hired business advisory firm FRP Corporate Finance to tap potential investors for 6million. The funds will be used to complete clinical trials and win regulatory approval for its 'revolutionary' early-detection technology. Prostate cancer is the most common cancer in men in the UK, with around one in eight diagnosed in their lifetime. It mainly affects men over 50, with the risk increasing with age, and accounts for 12,000 deaths every year. Expansion plans: Cambridge-based Oncologica has hired business advisory firm FRP Advisory to tap potential investors for 6 million At present, tests to check for prostate cancer include a prostate-specific antigen (PSA) blood test, imaging scans and sample, or biopsy, of the prostate gland. But blood tests or scans alone cannot tell for sure whether someone has prostate cancer. A biopsy is more reliable but can also find small, low-risk cancers that do not need treatment. Oncologica has developed urine tests that distinguish between aggressive prostate cancers and harmless ones that require no treatment. Dr Marco Loddo, co-founder and scientific director, said: 'This accuracy ensures men are not subjected to unnecessary, costly, and invasive procedures.' Co-founder and medical director Professor Gareth Williams added: 'Our urine test will revolutionise early detection rates and patient outcomes.' FRP partner Dan Bowtell said the innovation was 'a shining example of the UK's status as a global life sciences superpower'. Decision time: Chancellor Rachel Reeves Firms representing millions of savers have lined up to warn Rachel Reeves about the damaging consequences of a raid on pension contributions. The Chancellor is widely thought to be considering a cut to income tax relief on retirement savings for top-rate taxpayers. That could end up affecting nearly 9 million workers as more and more employees are dragged into paying tax at the 40 per cent rate over the next few years. Pension firms, including Standard Life, warned that it could deter employees from putting aside enough money for their retirement. Workers paying into pension savings receive tax relief on those payments at 20 per cent for basic rate taxpayers and an additional 20 per cent if they pay tax at the higher 40 per cent rate. But there are fears that Labour could limit tax relief for those in the 40 per cent bracket as Reeves looks to shore up the public finances. And some are also concerned about other potential pension reforms, which could include reductions in the lump sums that can be taken out tax-free, or making pensions subject to inheritance tax. Mike Ambery, retirement savings director at Standard Life, said: 'A flat rate of pension tax relief will increase complexity into the pension system and have a lasting impact on people's future retirement, so it's important that decisions are made with a long-term view rather than focus on near-term fiscal challenges.' Steven Cameron, director of pensions at insurer Aegon, said: 'While it may be tempting to the Chancellor to boost tax revenues by reducing such incentives, doing so could have far-reaching adverse consequences if they discourage people from doing the right thing and saving for their own retirement rather than relying on the state.' Lynda Whitney, senior partner at Aon, said: 'Pensions are a long-term product where trust in the structure is vital. 'Changes for short-term budgetary reasons could erode that trust particularly if the Treasury makes what feels like retrospective changes to pensions taxation of retirement lump sums or inheritance of pension rules.' Steve Watson, director of policy & research at NatWest Cushon, said: 'Any potential change in the pension equation needs to be part of a long-term view. We've seen how tinkering around the edges can lead to an imbalanced system.' Tess Page, UK wealth strategy partner at Mercer, said: 'We already know people are not saving enough for their retirement.' Jamie Fiveash, boss of Smart UK, said: 'The tax changes rumoured have the potential to move us in the wrong direction.' Parents who loan money for property purchases can end up battling their children's exes to get it back unless they set up safeguards beforehand, warn lawyers. Relationship breakdowns can lead to conflict over money handed over not only to buy homes, but to pay for weddings and even fertility treatments, according to one law firm. Sky-high house prices have led many families to give loans or gifts to adult children to help them get on the property ladder, which can lead to problems if they have partners who contribute either less or nothing to the purchase. But you can put measures in place to protect your money and get it back in case they split up later, which are explained below. Disputes with exes: Many families give loans or gifts to adult children to help them get on the property ladder - but what if they later break up with their partner? There are an increasing number of cases of well-meaning parents trying to recover money from a childs former partner or spouse, according to lawyer Kate Booth of Brindley Twist Tafft & James. She has seen around a 25 per cent rise in cases over the past two or three years where parents and family members have provided money to purchase a property, and the issue of whether this should be repaid after a split becomes contentious. Most disputes are over whether the cash was initially intended as a gift or a loan, explains Booth. 'Without a prior formal agreement it can be difficult to prove intent at the time, leaving the other party room to argue it was a gift that doesnt need to be repaid. 'The difficulty occurs when what was an informal arrangement is later looked at in a different light. What was a non-issue in happier times can become a significant area of dispute.' Booth says there is an important legal distinction between gifts and loans, as a court will include the former in the matrimonial pot of assets to be distributed in a divorce, whereas loans are classed as a liability to be repaid. 'Loans will be deducted from the total assets which results in less overall to divide,' she says. 'Issues can be further complicated by whether the courts are dealing with hard liabilities mortgages, credit cards or commercial loan repayments or soft liabilities such as loans from family and friends without formal repayment terms. 'In such cases of soft liabilities, a court may decide there is less priority for repayment.' Rachel Spencer Robb, partner in family law at Clarion, says the 'Bank of Mum and Dad' is behind almost half of first home purchases by buyers in their 20s and the average sum given is 25,000, according to figures from the Institute for Fiscal Studies. 'When parents give grown-up children and their partners large sums of money, it can be complex trying to get this money back if a relationship breakdown occurs,' she says. 'The fact of the matter is that many arrangements are often informal. 'Unless parents have proper documentation that the money was intended to be repaid, or was only intended to be for one half a couple, then the court is likely to rule the amount was a gift and not a loan and therefore may not be returned.' Spencer Robb warns there have been occurrences where a parent has clear documentation proving they made a loan and not a gift, but a court can still decide an ex-spouse should keep the money. 'This is because during a divorce the court must take into consideration all the factors, including the income of the divorcing partners, child arrangements and the length of the marriage and the needs of the parties take priority over arguments as to where funds came from.' Kate Booth: Most disputes are over whether the money handed over was initially intended as a gift or a loan What safeguards should you set up before loaning children money? Parents can register a charge over the title to the property, which sets out clearly that the loan is there to be repaid, says Kate Booth of BTTJ. She says pre and post nuptial agreements also offer very clear protection, and declarations of trust between parents and their children and/or their childrens spouses give a clear record of intent. Here is Kate Booth's checklist of what to do if you want to loan money to an adult child to buy a house with their spouse or partner. - Take legal advice of your own, independent from a child and their spouse or partner, and tax advice too. - Consider whether you intend to have an interest in the property being bought, and if so whether to register a charge on it. - If you are not registering a charge, consider entering into a declaration of trust to record the intentions at the time and be clear that the money is to be repaid. - If you don't make a charge on the property or declaration of trust, you can enter into a formal loan agreement which records the terms of the loan and how it is expected to be repaid. - You might not be able to bring this about, but your child and their spouse or partner could enter into a pre or post-nuptial agreement if married or cohabitation agreement if unmarried, to record the intentions when money is gifted or loaned and how and when it will be repaid. - Whether your child is married or not, it is important to have contemporaneous evidence that the matters above were addressed. How do courts view loans to married and unmarried partners? Booth says that if the issues explained above were not addressed, then in divorce cases a court will have a wider discretion to decide what should be included as part of the matrimonial 'pot' of assets. 'The courts key role when dealing with finances on divorce is to ensure that the spouses needs and those of their children can be met,' she says. 'It is more often in the case of divorces that arguments arise about whether money was a gift or a loan, and if it is a loan whether that is 'hard' or 'soft'.' Hard debts such as mortgage, credit cards and bank loans will be viewed by the court as needing to be repaid from the assets, she says. Soft debts such as a loan from family, where there are no formal repayment terms and no or limited repayments have been made, are unlikely to be enforced, she adds. In that case, a judge has discretion to effectively ignore the loan, and add it back into assets to be shared between spouses as if it was a gift, according to Booth. She says that if your child is unmarried a court is not open to as much discretion, but in the case of a very short marriage after a long cohabitation its discretionary powers are invoked. Regarding unmarried partners, Booth adds: 'The concept of common law marriage is not recognised in these cases and even long-term cohabitees generally will not acquire rights against their partner when they separate. 'The starting point in these cases is how the property is legally owned and the owners intentions when they bought it. If there is no documentary evidence of a loan then it will be very difficult for parents to get their money back. Get legal advice especially if couples put different-sized deposits into a joint property 'Parents and third parties need to be clear whether the amount is a loan or gift from the outset and who the recipient is intended to be,' says Rachel Spencer Robb of Clarion. 'Pre and post-nuptial agreements are vital to ensure money is not lost on divorce.' She says getting legal advice is essential for couples putting different-sized deposits into a joint property. 'Financial agreements are also not legally binding unless drawn up by a lawyer so seeking an experienced family lawyer is vital. 'Wills are also crucial to ensure money passes on to the intended person so for a parent, do make this clear when dividing assets.' Rachel Spencer Robb: Financial agreements are not legally binding unless drawn up by a lawyer Spencer Robb adds that if a parent owns a family business and intends to transfer shares to their children, they should not do so unless a child and their spouse has entered a nuptial agreement. 'Failure to do so could result in a dispute as to whether the business shares are matrimonial.' What if you made a loan to your child and their relationship breaks down? 'When Im acting for a person whose family has advanced the money and is insisting it needs to be repaid, I will look for evidence that supports it was a loan,' says Kate Booth of BTTJ. 'Is there a written agreement? Were there emails? Have repayments been made? Quite often we find there may have been really large payments made shortly before the issue of proceedings. 'In such cases we will go through bank statements, ask questions on such payments and request further evidence.' Booth notes that where there have been repayments over a long period of time, this suggests everyone agreed the money should be repaid. But she says if there is a sudden repayment around the time of a separation and court proceedings, this is more suspicious and could suggest one spouse is trying to diminish the matrimonial assets. Kate Booth says that when gathering evidence she will look into the following issues. - Has the parent registered a charge on the property? - Was there a declaration of trust? - Is there evidence any of the parties got legal advice at the time the money was provided? - Does the conveyancing file at the time of purchase make any reference to the funds provided by the parent? - Is there a formal loan agreement? - Is there documentary evidence of how the parent expected the money to be repaid? - Are there contemporaneous documents, emails and text messages that give evidence of the parties intentions? - Have the child and their partner made any repayments? - Would the parent be likely to sue the child for payment? - How much did the parent provide to the child, both in the context of the matrimonial assets and the parents own wealth? A mystery beast roaming a Montana campsite has sparked the curiosity of thousands of Reddit users. On a page dedicated to helping people identify animals spotted in the wild, one user, Gyrtohorea, shared three pictures of a very rare mammal. He wrote: 'This guy walked right up to our cooking spot while camping in Montana, what could it be?' The photos show a black furry animal with a bear-like face and a long, fluffy tail running near his campsite. In one shot, the animal was looking directly at the camera as if it was posing. Commenters were quick to identify the animal as a wolverine, which was listed as an endangered species in 2023. A mystery beast roaming a Montana campsite has sparked the curiosity of thousands of Reddit users Commenters were quick to identify the animal as a wolverine, which was listed as an endangered species in 2023 Among the 1,600 comments, one user wrote: 'Holy s*** thats a Wolverine. They are incredible at not being seen by people - truly once in a lifetime. Congrats!' Another person joked 'that's a Hugh Jackman' - alluding to the iconic superhero Wolverine. Following all the interest in his post, the hiker later explained that he had seen the wolverine while on a trip with his wife to Cracker Lake in Glacier National Park in September 2017. Describing the encounter, he said: 'Either the first or second night, the wind was absolutely whipping around the canyon we are in, and the air is thick with smoke, and we are quietly cooking our food in the designated food prep area, when my wife turns to her side and sees this guy about 10 feet from us. 'It reared up a little bit on its hind legs when I stood up surprised, then started scampering away when I fumbled for my camera.' Regina Domingo, a conservationist and wildlife expert, confirmed the species with Newsweek. Domingo said that wolverines are also known as carcajous. They may look like small bears, but they are technically large members of the weasel family. The hiker later explained that he had seen the wolverine while on a trip with his wife to Cracker Lake in Glacier National Park in September 2017 The Reddit poster wrote: 'This guy walked right up to our cooking spot while camping in Montana, what could it be?' Wolverines look like small bears, but are actually the largest member of the weasel family They weigh about 40 pounds and are far from timid, with reports of the animals fighting with wolves over food. However, if left alone, they typically pose no harm to humans. The page's moderator commented on the post: 'Wolverines are not at all aggressive toward humans. Attacks are so incredibly rare that there has never been one single verified case of a wolverine attacking a human. 'Mother wolverines will run from humans rather than defend their kits.' The likelihood that hikers will run into wolverines in the wild is extremely low. There are fewer than 300 wolverines in the lower 48 states of America, according to Conservation Northwest. The global population is unknown. Wolverines prefer cold temperatures and are usually found in states such as Washington, Alaska, Montana, Idaho, Wyoming and Oregon, according to AZ Animals. They also live in Canada and Russia. They are circumpolar animals, meaning they live in mountains in Northern regions around the world. Wolverines used to frequent California's Sierra Nevada and Utah, but trapping in the 1920s killed off those populations. The ultra-rare creature shares a name with Hugh Jackman's iconic Wolverine character Experts are concerned that climate change could be detrimental to the survival of wolverines. They have adapted to 'deep snow' environments, according to the Defenders of Wildlife's website. The National Wildlife Federation has also named the main reason wolverines are endangered is climate change. Rumors of a volcano tucked away in the deep swamps of Florida have swirled for centuries - and still baffle scientists to this day. Reports of pillars of smoke rising from the impenetrable jungle of Wakulla in the northwest of the state have been documented since the 1800s, Click Orlando reported. The legend became known as the 'Wakulla Swamp Volcano' and was even covered at the time by newspapers like The New York Times. Witnesses from as far away as Tallahassee claimed they could see columns of steam, with a visible red glow descending over the site at night. State records show that the phenomenon came to dramatic halt in 1886 - the same year as the infamous Charleston earthquake that left 60 people dead, millions of dollars of damages and was powerful enough to spawn a tsunami. Reports of pillars of smoke rising from the impenetrable jungle swirled for decades. Pictured, the Wakulla swamp, where the smoke was said to have stemmed from A 19th century sketch of the smoke as it appeared from Tallahassee, more than 20 miles away A 1974 article from the Tallahassee Democrat reported how only a handful of people had ventured out to the area since the earthquake, due to its hard-to-reach nature. Many explorers were also no doubt put off by an expedition to uncover the mystery launched years earlier in the 1870s that had ended in tragedy. Launched by the New York Herald Tribune, it consisted of three guides and a single journalist, historian Charlie Carlson told Click Orlando. But after fighting through alligator-infested, unmapped terrain for three days, the expedition was abandoned. Carlson described how one of the guides fell from a tree while trying to scope the area - while the reporter went on to die from swamp fever, now known as malaria. Meanwhile, speculation around the unexplained smoke continued to swirl - with theories ranging from secret pirate settlements to moonshine distilleries. Author Maurice Thompson wrote of the legend in his 1881 tome A Tallahassee Girl: About twenty eight miles from this city [Tallahassee], in the midst of a densely timbered marsh, there has been seen for more than forty years a dense column of smoke, rising almost constantly, and defying the investigation of the curious. 'It has long been locally known as the Wakulla Volcano, from the fact that is located at no great distance from the famous Wakulla Spring. 'Only yesterday I stood upon a high hill south of the city and watched the smoke roll up and drift away from that mysterious spot whither as yet no human foot has wandered.' The legend came to be known as the 'Wakulla Swamp Volcano', and was covered by papers like The New York Times Alligators - along with ravenous insects - hindered those who attempted to explore the region The piece goes on to describe an expedition by then leading lawyer P. Woodson White, who, like his forebears, failed to penetrate the insect-ridden swamp. Years later, White would write of his journey: 'Some years ago I determined to visit the spot and solve the mystery of that smoke. 'I felt sanguine of success. I believed then as I do now, that some thing in the form of an active volcano, but of course of very small dimensions, could easily be found. 'I organized a party of active young men, and, arming myself with a surveyors transit, set out for the scene of exploration,' the respected jurist continued. 'From a high point of land I trained my instrument upon the smoke column, and having fixed on the line ordered my men to begin cutting away into the swamp.' But the journey soon became impossible, with the lawyer describing the hot, dense terrain. 'Cypress, ash, oak, elders, vines, air-plants, briars, long moss, every tiling that ever grew in swamps grew there in a tenfold tangle-of luxuriance,' he said. 'The weather was terribly hot, for in order to get through the wettest parts we had to choose midsummer for our exploit. 'After two days of sweltering among the moccasins, alligators and mosquito, all my men deserted the enterprise, leaving me and a plucky colored lad to go on alone,' he continued. 'Progress at once became painfully slow. Every foot of the way had to be chopped out while we stood in water from one to three feet in depth, and suffered the assaults of millions of ravenous insects.' On the third day of the excursion, he climbed a tall pine tree that overlooked the swamp, where he 'viewed the smoke column rising from the midmost tangle of the swamp, not more than five miles distant'. He wrote: 'The immediate point where the smoke issued seemed to be the apex of a flat mound of about a mile in width, covered with a mass of swamp growth absolutely impenetrable. 'My resolution forsook me, and nearly dead with exposure and fatigue, I made my way back to the track I had cleared.' State records show the phenomenon came to a sudden stop in 1886. Pictured, an article clipped from The Tampa Tribune from 1951 A photo shows alligators on a log in Wakulla in 1971, where only a handful of people had ventured due to its hard-to-reach nature Pictured, a sample of peat taken from the area - a potential cause of the smoke, scientists say Most attempts at investigation ended the same, if explorers even made it back at all. Several other papers documented reports of Gulf fishermen describing how the smoke - which at times appeared white - 'sometimes entirely disappears for an hour or more, then suddenly it leaps up, like the smoke and gas from a great powder explosion, but without any noise. 'At other times the smoke rolls up in a heavy black fleece like that from a huge tar-kiln, and anon it becomes a thin, wavering veil of white vapor hovering over the mysterious spot,' a report from the time continued. 'At night a dull, flickering light accompanies the column of smoke, showing that fire is there. 'Occasionally,' it added, 'this light is increased to great power, casting a strong reflection upon the clouds and sky.' Fisherman reportedly added how water-fowl avoided passing over the spot where the smoke appeared to stem from, even when the column was not visible. 'Among the various theories surrounding the enigmatic smoke, I am inclined to favor the idea of fire as the most plausible explanation,' said News 6 Chief Meteorologist Tom Sorrells, as more modern theories point to a peat fire being the source. Such blazes occur when a mass of vegetation catches fire and continue to smolder under the hot sun. 'Unlike regions experiencing the characteristic "Ring of Fire" geological activity, Florida lacks such occurrences,' Sorrells said when explaining why he did not believe the smoke had a volcanic origin. 'The tectonic plate responsible for volcanic phenomena in the Eastern Caribbean is situated far south of Cuba, extending westward to include Costa Rica. 'Given the absence of such bubbling volcanic activity in Florida, it becomes challenging to accept the possibility of recent volcanic events, particularly as late as the 1800s,' he continued, Click Orlando reported. 'On the contrary, instances of fire in Florida are abundant. It is not uncommon for fires to be ignited repeatedly by thunderstorms, and the presence of a peat fire that burned, smoldered, nearly extinguished, or perhaps several fires over the ages appear to be a more reasonable explanation,' he added. Fisherman reportedly described how water-fowl avoided passing over the spot where the smoke appeared to stem from, even when the column was not visible That said, some who ventured out to the swamp claimed to have seen a crater where a fiery explosion could have taken place - a possible remnant of the source of steam put out by the quake back in 1886. And a peat fire lasting for as long as the sightings were reported could be considered unusual, leaving a concrete cause up in the air. What is known is that the huge mass of smoke could not have been a campfire or factory due to its sheer volume. It likely stemmed from a site located just south of Tallahassee between Sumatra and Carrabelle that is known as Tate's Hell - a 200-square-mile strip of wilderness that remains partially unmapped to this day. The forest located on what is often referred to as Florida's 'forgotten coast' got its unusual name when a local homesteader by the name of Cebe Tate got lost in its 200,000 acres in 1870s after pursing a panther that had been hunting its livestock. Local legend claims that when the 45-year-old emerged from a clearing near Carrabelle days later, he murmured 'My name is Cebe Tate and I just came from Hell!' before collapsing, dead. To this day, rumors have persisted about the forest being haunted. But the truth is likely more mundane than legend - with most today accepting the smoke was the result of large, long-burning blazes. Still, an 1880 article in the Tallahassee Patriot casts doubt - describing how the black smoke had been seen by its oldest residents for the better part of a half-century. It likely stemmed from a site located just south of Tallahassee between Sumatra and Carrabelle that today known as Tate's Hell - a 200-square-mile strip of wilderness that remains partially unmapped to this day A 1974 article from the Tallahassee Democrat reports on how only a handful of people have ventured out to the area since a devastating earthquake towards the end of the 19th Century, felt from Maine to Florida. Scientists say it could have spawned a tsunami It also stated how a loud rumbling noise was commonly heard in Wakulla County - a sound so intense it caused the family of Frank Dingle to 'get up and run outdoors' after being fast asleep. Citizens described the discharge itself as reminiscent of 'a large fire shooting its flaming tongue high up into the upper realms, frequently reflected back by passing clouds.' There are also claims the 'volcanic' activity did not vanish because of the 1886 earthquake, but merely went dormant - and that sightings resumed as soon as four years later. In 1890, author JC Powell wrote how a 'strange vivid illumination' lit up the sky to the southwest of his convict work camp in Jefferson County, in his famed book The American Siberia; or, Fourteen years' experience in a southern convict camp. This could hold water, as state records show that the so-called Wakulla Volcano likely stemmed from southern Jefferson County, just north of Tate's Hell. This would put the source near the 'Gum Swamp' section of the St. Marks Wildlife Refuge, which was also notoriously hard to reach. What would prove to be the last documented sighting of the phenomenon was reported in 1891 from the top of the Leon County Courthouse. In 1894, another expedition financed by the Florida Times-Union in Jacksonville failed to find the supposed crater and did not spot a hint of smoke. As the 20th century dawned, the 'volcano' slipped into obscurity - and the legend today is as shrouded as the site itself. But talk of the 'wonder of the Wakulla Swamp' today persists, and it may be one of those mysteries that simply remains unsolved. Advertisement If you take a casual stroll down Upper Upnor's High Street, you might think you've wandered into a Tudor time warp. Nestled between two rather ordinary houses is Manna House, an unapologetic homage to the reign of Henry VIII, complete with towering chimneys and an oak door that looks like it could repel a siege. And behind that door? Well, a modern man's experiment in historic reconstruction, peppered with a few compromises (read: modern plumbing). Before it became the headache (and passion) of its current owner, Richard Emerson, Manna House was the lifelong dream of one Walter Roberts, a self-confessed Tudors addict who believed the 21st century could do with a bit of old-world craftsmanship. He didn't just like Tudor historyhe lived and breathed it. In fact, if time machines were real, Walter would probably have been first in line for a one-way ticket to 1547. 'I just made it up as I went along,' he admitted, back in 2008 when his Tudor dreams were still taking shape (and his poor wife Kim was probably still figuring out if this was all a very elaborate mid-life crisis). Now, the unique property is up for sale once again at 400,000offering history lovers a rare chance to own this extraordinary tribute to a bygone era. Manna House, on Upper Upnor's High Street in Kent, is an unapologetic homage to the reign of Henry VIII, complete with towering chimneys and an oak door that looks like it could repel a siege The house was painstakingly crafter by Walter Roberts (seen with the building's enormous key), a self-confessed Tudor addict who believed the 21st century could do with a bit of old-world craftsmanship Walter, a trained carpenter and ardent fan of all things pre-Renaissance, spent the better part of 20 years handcrafting every nook and cranny of the place, using medieval techniques and materials wherever possible. While the rest of the world was discovering IKEA's flat-pack wonders, Walter was busy hand-carving wood from trees he'd personally selected, giving the floors that 'authentic' rippled texture by scraping them with an ancient adze. The house also boasts mullioned windows, hand-carved oak beams, and an oriel window that juts out over the street. 'The height, the proportions, everythingI just sort of made it up as I went along,' Walter admitted in 2008. 'My only plan was to design and build it on a daily basis and sort of go with the flow. And just look at it nowit's really something.' When asked why he chose the Tudor period, Walter's answer was as predictable as it was passionate: 'As far as I'm concerned, there's never been a time like the Tudors, before or since.' Fair enough. It's hard to argue with a man who once spent six months studying 19th-century architecture books just to make sure he got his chimney stacks right. The centrepiece of Manna House is the imposing studded oak front door, which comes complete with a key as big as a forearmsalvaged from none other than Rochester Cathedral. 'Kim's going to love it,' Walter chuckled at the time, referring to his wife of 28 years. 'Though I'm not sure she'll want to be trailing around Bluewater shopping centre with it!' Now, fast-forward to 2013, and it's Richard Emerson's turn to take up the Tudor gauntlet. Richard, a man of pragmatism and possibly masochism, found himself the proud owner of Walter's dreama house that was 'absolutely beautiful' but also 'totally unmortgageable,' as Richard would soon discover. 'We were living happily in France in a tiny house,' Richard says, recounting his pre-Manna days, 'but we got help calls from both our siblings to say that they needed help with our mothers. We felt we had to move back. We've only got one sibling each, and it was totally unfair to leave them to handle it alone.' Walter, a trained carpenter and ardent fan of all things pre-Renaissance, spent the better part of 20 years handcrafting every nook and cranny of the place, using medieval techniques and materials wherever possible, including the installation of stained glass Massive oaken beams and an ornate chest add to the house's unique charm which comes from as much attention being paid to the inside as outside The top floor houses the master bedroom features hand crafted beams made to be replicas of those used for house-building in the age of the Tudors An ornament in the home reads 'Long live the traditional Muller craftsmanship', in German Walter's original plan's for Manna House were beautifully designed and show how accurately his dream became a reality So, with a sense of dutyand a bit of curiositythey sold their French digs for 200,000 and headed back to Kent, where Manna House, by some cosmic coincidence, was now for sale, albeit from the bank. As Walter's limitless dreams could not face up to the 'bloody aftermath of the financial crash'. The couple had actually been looking at another house on the same street, when they stumbled upon Manna House, which they described as 'beautiful' and immediately redirected to go look around it. 'The first house was very nice house but had quite a tight stair and we thought we wouldnt be able to deal with the stairs if we got old or ill. But Manna House was something else. It had this beautiful wide staircase, and it was absolutely magnificent.' Although it would not come without its challenges. 'Now, Henry VIII would've thought the house was absolutely perfect, but to us, it was totally un-mortgageable,' Richard recalls, sounding almost nostalgic for the disaster zone he walked into. 'There was no electricity, zero running water, no internet, no telephone, it was missing at least one window, and it had no doorsit was essentially uninhabitable, but it was complete to medieval standards.' No kidding. It was the definition of 'fixer-upper,' except the fixer in question would need to have the patience of a saint and the skills of a medieval carpenter. Determined to make it work, Richard and his wife entered a long negotiation to purchase the property from the bank. With some cash leftover from the sale of their Nice flat, they put in an offer, which was accepted. 'I moved in at the beginning of summer and started to get things adapted while my wife and daughter stayed with family in Scotland,' Richard explained. 'I had until the start of the school term to get the house ready. I had to get electricity, plumbing, the internet, a bathroomeverything. You can't have a bathroom without doors, especially with a teenage girl!' Richard and Anne Emerson took up the mantle of looking after Manna house in 2013 but are now set to sell the property Richard moved in solo for the summer in 2013, leaving Anne (pictured) and their daughter, Zoe, in Scotland while he tried to make Manna House habitable, including recreating the front door Richard stayed true to the spirit of Manna House, finishing the place in oak and keeping much of Walter's designs intact The huge key to Manna House is back up for grabs, though, as the Emersons have called time after 11 years at the property Still, Richard stayed true to the spirit of Manna House, finishing the place in oak and keeping much of Walter's designs intact. 'We didn't follow his designs in every respect because he's far more skilled than I am,' Richard admitted. 'But it's all done in oak, and the more difficult things, like the doors, were done by my brother, who's a furniture maker in Dorset.' And though Walter himself refused to set foot in the house again after losing it as it was simply 'too sad' he wasn't 'bitter or resentful' towards the Emersons. In fact, he won a Medway design award in 2017 for the house and sent an email to the family gushing that they had being the 'right people' to carry on his vision all along. Despite the allure of the medieval craftsmanship, Manna House is not without its quirks. The original staircase designed by Walter is very steep. Owing probably to the fact that the house covers three floors, with three bedrooms, two bathrooms and a living room - meaning it is starkly thin. But the house, with its massive oaken beams and stained glass windows salvaged from junk shops, retains a unique charm that's hard to resist. And so, the story of Manna House is coming full circle. From Walter's wild Tudor fantasies to Richard's pragmatic renovations, the house has been a labour of love for over three decades. Inscriptions on the front door show the date Walter started on the building in 2004. He finished the property in 2007 Pictured: A wooden porthole window with a view of Upper Upnor High Street on the banks of the River Medway in Kent The master bedroom's double bed is wonderfully crafted to be covered in a floral design Manna House is not without its quirks. The original staircase designed by Walter is very steep. Owing probably to the fact that the house covers three floors, with three bedrooms, two bathrooms and a living room - making it is starkly thin Many Tudor windows were made by joining small rectangles of glass together in a metal grid Modern plumbing was one of a few compromises built into the home by Richard and Anne Emerson when they bought the beautiful property Whoever takes it on, one thing is certain: Manna House will always be a testament to the timeless allure of the Tudor era, and the very human desire to build a legacyoak beams, missing windows, and all 'It's just come to its natural end,' Richard says with a sense of finality. As him and his wife, once again lured by the call of family duty, are setting off for Scotland to be closer to their children. 'Our children, who all seemed to live in London, have moved awayone is in Australia, one is in Teddington and two are up in Scotland,' Richard explained. 'We've two children in Scotland, and we think that's where we ought to go now. It's just sort of come to its natural conclusion.' But now, with their own chapter closing, the Emersons are ready to hand over the keys - quite literally - as the house goes back on the market for 400,000. 'It's a spectacular house,' Richard concluded. 'It's time for us to move on, but I'm sure someone else will fall in love with it just like we did.' Richard still chuckles at the memory of Walter handing him the original giant key to the front door (sourced from Rochester Cathedral, no less) in a plastic bag during their first meeting at Chatham Dockyard - Walter claimed he had secretly stolen the key and hefty door lock from the house before the bank could get their claws into it. So, what's next for Manna House? Perhaps a new owner with their own historical obsession, or maybe just someone who appreciates the unique charm of a Tudor home that's been thoughtfully draggedkicking and screaminginto the 21st century. Whoever takes it on, one thing is certain: Manna House will always be a testament to the timeless allure of the Tudor era, and the very human desire to build a legacyoak beams, missing windows, and all. Fifty years after the heiress to the Hearst newspaper empire was kidnapped by a radical left wing group, one of its members has come forward to share chilling new details about the heinous crime. Patty Hearst was a 19-year-old art history student at UC Berkeley when two armed confederates with the Symbionese Liberation Army broke into her apartment, beat her fiance senseless and stuffed her - bound, gagged and blindfolded - inside the trunk of a Chevy Impala. William 'Bill' Harris was the man who carried Hearst on the night of February 4, 1974. He went on to spend a year and a half on the run from authorities, taking refuge in various safehouses with the young woman still in his clutches. But months later, Hearst's allegiances switched and in a bizarre turn of events, she denounced her wealthy parents as 'pig Hearsts' and joined the group that kidnapped her. 'I thought, "Why would you want to go from being an heiress to being targeted for assassination by the government?"' Harris told the Los Angeles Times. 'I spent hours trying to convince her that staying with us was a bad idea.' Patty Hearst, left in 1983 and right in 2012, was kidnapped in 1974 by the Symbionese Liberation Army, a Bay Area radical socialist group. She was targeted because she was the heiress to the Hearst newspaper fortune, making her a symbol of the bourgeoise to them. She eventually joined up with the organization and committed acts of terror William 'Bill' Harris, once a member of the SLA, claimed in a new interview that he tried to convince Hearst to leave the group. He also says she did what she did of her own free will Harris claims the SLA was not planning to keep Hearst forever. The group was inspired to kidnap her in the first place by the Tupamaros, Uruguayan Marxists who frequently staged eye-catching abductions. Harris has previously said the SLA kidnapped Hearst because she 'was a symbolic target, she was an heiress.' 'Her family was in control of a media empire that we viewed as an arm of propaganda for the US government. 'We had already determined that Hearst was a particularly easy target and that the propaganda that could be generated from it was perfect.' One goal they had was to do a sort of prisoner swap, trading Hearst for two SLA members who had been arrested for fatally shooting an Oakland school superintendent with cyanide tipped bullets. This scheme apparently went nowhere. 'She was gonna go home and explain her captivity in the Peoples Prisons we set up for her,' said Harris, a Vietnam veteran with an undergraduate degree in theater and a masters in urban education from Indiana University. 'That would have been good propaganda. Thats what I thought it should be.' Hearst is pictured alongside her fiance Steven Weed, who struck up his romance with her when she was 16 and he was 23 Pictured: The Chevy Impala that was used by SLA members to kidnap Hearst But the group's plans never came to fruition and Hearst began to identify with them. 'She hated her mother,' Harris explained, saying she was upset then-California Governor Ronald Reagan had reappointed her mother Catherine Hearst to the UC Board of Regents. 'She didnt want to go home,' he added. Before Hearst's unexpected transformation into a fervent member who was willing to commit armed bank robberies for the group, the SLA used her as a bargaining chip to advance their own socialist ideals. The SLA immediately claimed responsibility for kidnapping Hearst and issued threatening demands to the Hearst family via audio tapes - such as feeding the poor with their vast wealth. Her father Randolph Apperson Hearst, the then-publisher of the San Francisco Examiner, funneled $2 million to the People in Need program in a desperate act to save his daughter. Hearst is pictured holding a gun in front of an SLA banner displaying its symbolic seven-headed cobra On February 22, People in Need began distributing the high quality meats, produce and dairy products bought with Hearst family ransom money. Because of how disorganized the organizers were, a riot formed On February 22, People in Need began distributing the high quality meats, produce and dairy products bought with Hearst family ransom money. The streets of San Francisco were soon flooded with rioters as unprepared organizers flung food from the back of trucks to the mobs of people surrounding them. Reagan reportedly bashed these handouts at a private luncheon with Republican leaders in Washington D.C. 'Its just too bad we cant have an epidemic of botulism,' he was quoted as saying. Organizers continued to hand out food until March 27, when the Hearst money finally ran out. The family's plan to free their daughter was all for naught, because on April 3, the SLA released a video tape of Hearst, who said she had joined their fight to free the oppressed, according to the FBI. This is when she first started going by her alter ego: 'Tania.' Harris is pictured leaving the Alameda County Courthouse at night on Thursday, August 31, 1978 in Oakland, California after he and his wife, Emily, pleaded guilty to kidnapping Hearst Harris, pictured during the Vietnam War, would later be convicted for robbing Hibernia Bank in San Francisco The SLA then took matters a step further and staged a robbery of Hibernia Bank in San Francisco that led to two passersby being shot and wounded. They picked this bank because they knew its security cameras would pick up Hearst invading the lobby with a M1 Carbine machine gun. Images from the heist went a long way to convincing many that Hearst had truly abandoned her privileged roots in favor of a philosophy directed by youth revolt and leftist militancy. To further bolster that view, the SLA released yet another tape featuring Hearst, only this time she was bragging about the crime. 'Greetings to the people, this is Tania,' her voice began. 'On April 15, my comrades and I expropriated $10,660.02 from the Sunset branch of Hibernia Bank. Casualties could have been avoided had the persons involved kept out of the way and cooperated with the people's forces until after our departure.' Her allegiance to the SLA only became more clear a month later, when a clerk at an Inglewood sporting goods store attempted to handcuff Harris for trying to steal an ammunition belt. As he and the employee tussled outside on the sidewalk, Hearst sprayed bullets at the storefront from a get-away van, allowing Harris and his wife, Emily, to escape. Surveillance video from the Hibernia Bank captured Hearst holding a rifle, just as the SLA wanted 'I figured shed be smart and head on back to the safehouse,' Harris said. 'She picked up my machine gun, and she fired a burst of about 10 rounds, and some of the bullets hit about two feet from my face.' This act of loyalty from Hearst only led to the demise of the SLA because police were able to identify the van. Authorities followed the vehicle back to a yellow house on East 54th Street in South Los Angeles and by the next day, Los Angeles police surrounded the area. Hearst, along with Harris and his wife, were not at the safehouse during the ensuing firefight between cops and the SLA members. Eventually, the LAPD launched tear gas before the house went up in flames. Six SLA members were killed, including its leader Donald DeFreeze. Harris watched the battle from an Anaheim hotel room not too far from Disneyland and has no doubt he and Hearst would have died if they were there. 'We all made choices to do something that we knew full well was likely to get us killed,' he said. The SLA was essentially finished, but the three remaining survivors were still fugitives of the law. And they needed money. Pictured: The home that burned to the ground with Six SLA members, including its leader Donald DeFreeze Police sifted through the rubble after the firefight After the safehouse was destroyed, the FBI launched a manhunt for Harris, his then-wife Emily Harris and Hearst This led them to rob a bank in Carmichael, a Sacramento suburb, in April 1975 - during which Myrna Opsahl, a 42-year-old mother of four, was killed by a shotgun blast. In Hearst's 1982 memoir, she accuses Emily Harris of deriding Opsahl as a 'bourgeois pig' and firing the shot that killed her. 'I feel horrible about what happened to Myrna Opsahl,' Harris said. 'Thats what bothers me most. Theres horrible collateral damage when you embrace tactics like that.' When the FBI finally caught Hearst in San Francisco on September 18, 1975, she initially held true to her revolutionary persona, calling herself an 'urban guerrilla.' But 'Tania' quickly vanished once she went on trial in 1976 for Hibernia bank robbery, and instead claimed she had been brainwashed the whole time by the SLA. The cornerstone of her defense was her assertion that she'd been raped by SLA members Willie Wolfe and DeFreeze. Harris vehemently denies this to this day and the government did not appear to believe her either. Harris went on to plead the Fifth 42 times so she would not have to answer questions about her so-called 'lost year,' 1975, which included the robbery in Carmichael. She was convicted and later sentenced to seven years in prison. She only served two after her sentence was commuted by President Jimmy Carter at the behest of the Hearst family. Hearst, now 70, married her bodyguard after her release, started a family and released her memoir. She also got roles in several movies directed by John Waters. Hearst's FBI mugshot after she was apprehended Hearst is escorted by U.S. marshals while leaving San Francisco Federal building where she was on trial in 1976. Hearst's sentence was commuted by President Jimmy Carter and she was later pardoned by President Bill Clinton Hearst at the Federal Correctional Institute in California, January 1979. After disappearing for 19 months she was jailed for crimes including an armed bank robbery, but President Carter later signed a commutation order to allow her release in February 1979 Harris served about eight years for his crimes, after which he remarried, raised two boys and began working as a private investigator for defense attorneys in the Bay Area. He is now retired and living in San Francisco, the city he once terrorized. 'You can be an ex-terrorist and be rehabilitated, and be appreciated, because s*** like that happens here,' he said. More prosecutions would eventually come for the members of the SLA who participated in the Carmichael robbery thanks to the persistence of Jon Opsahl, Myrna Opsahl's son. He was only 15 when his mother was killed, and he's had decades to think over Hearst's culpability. Surprisingly, he has concluded that she is 'probably as much a victim of the SLA as I am.' 'She suffered big-time in the kidnapping, and the brutality and the rapes,' said Opsahl, a retired doctor. 'That must have gone on for weeks, long enough to affect a young, naive, overly sheltered 19-year-old out of Berkeley.' When another former SLA member was arrested in 1999, prosecutors decided to take a look at the case files on the group. For going on 25 years, the effort to bring the Carmichael robbers to justice had gone cold. Jon Opsahl speaks directly to former members of the SLA during their 2003 sentencing hearing for his mother's murder during a 1975 bank robbery. A picture of his mother sits on an easel to his left Harris stands up in court and apologizes to the family members of Myrna Opsahl for his role in her death. He served four years in prison for being the getaway driver The gang of four had been wearing ski masks throughout the commission of the crime, making it impossible to identify them at first. In the years since 1975, FBI forensic investigators developed a new technique in tracing shotgun pellets. Using the technique, prosecutors were then able to tie the shotgun pellets found in Myrna Opsahl's body to other shotgun shells that were found at a SLA hideout. Even so, Sacramento prosecutors were reticent to take on the case at first. But thanks to a public pressure campaign led by Jon Opsahl, the case would eventually go forward. On November 7, 2002, over 27 years after the crime, four SLA members pleaded guilty to the second-degree murder of Myrna Opsahl, including Harris and his ex-wife Emily. Emily, herself remarried, admitted to firing the shotgun and got eight years in prison. Harris copped to being the getaway driver and would ultimately serve four years. Harris believes prosecutors didn't want a trial to avoid the spectacle of Hearst taking the stand. He said he would have been prepared to act as his own lawyer and grill Hearts about the activities during her so-called 'lost year.' 'What the Hearsts wanted to do more than anything is preserve the narrative that she didnt do any of this on her own free will,' he said. 'It would be me taking her through every day that she spent with us for 19 months. I knew they would find some way to make us an offer we couldnt refuse.' We're a nation famed for our love of tea, fish and chips, the Royal Family... and the miserable weather. Now that the sun has gone into hibernation for another nine months, Britons eager to escape the never-ending rain may be toying with the idea of packing their bags and moving to paradise. For many, Australia's luscious beaches and year-round heat will be the idea of bliss. Thousands make the move down under ever year, boasting of better pay, friendlier people and an incredibly relaxed lifestyle. Yet the truth is not quite so clear-cut, especially when it comes to the cost of living, as MailOnline can attest. Your browser does not support iframes. London and Sydney, Australia's biggest city, are almost neck-and-neck in terms of cost metrics, according to crowd-sourced data collated by Numbeo. For example, you'll have to fork out 32.3 per cent more to enjoy a three course meal for two in London at 85 vs 61.39. Yet coffee can be 33.8 per cent more expensive in Australia. A cappuccino in Sydney will set you back 2.65, compared to 3.74 in London. Beer, however, hits Londoners' pockets harder than those in Sydney at around 90p more per pint. The biggest discrepancy between the two cities comes in the form of utility bills. Londoners face a staggering 49.6 per cent more expensive monthly water, gas and electricity bill at an average of 254.74, compared with Sydney's 153.43. Nursery can be nearly 300 more costly in London at 1,809 per month, while gym memberships average out to almost the same, in the region of 45. Overall, life in London can be around 500 more expensive per month, according to MailOnline's analysis of realistic expenses using data from Numbeo. But on balance, Londoners earn more, taking home 3,573 against 3,232. Although the cost-of-living is somewhat similar between the two nations, Australians have a higher life expectancy. Data suggests men down under will live until they're 81, while women can expect to reach 85. On the other hand, British men are expected to die before turning 80 while women live until they're 83, on average. Surprisingly, London also seems to get more sun than Sydney. Weather trackers estimate that London gets an average of 12 hours, 26 minutes and 26 seconds of daylight hours ten minutes more than down under. That adds up to an extra 2.5 hours of daylight over a year. But there's little debate that Sydney holds the crown in terms of temperature. According to Google Weather, Sydney's average temperatures range from 8C in their winter peak of July up to 19C in January. This trounces the UK's corresponding scores of 4C in January and 15C in July and August. Numbers from the Australian Bureau of Statistics show 32,070 Brits made the move in the 2022-23 financial year. The number is a far cry from 2006-12, where annual numbers making the trip exceeded 50,000 twice (2007-08 and 2011-12). But according to experts, the demand is still there and might even be stronger than ever. Grahame Igglesden, director at visa consultancy service Concept Australia, told MailOnline the Australian government is taking measures into its own hands to try and quell the volume of applications for both temporary and permanent visas. 'The Australian government runs the programme for its own benefit and so they won't apologise for the fact people haven't had their visa accepted they want to select the best and the brightest,' he said. Against pre-pandemic levels, worldwide application numbers for the temporary visas rose 57.8 per cent in 2022-23 to over half a million (553,000). 'It's far more challenging to get in today, in years past you got your skills recognised, points calculated and lodge your application and then climb to the top of the pile and get a visa,' Mr Igglesden explained. Your browser does not support iframes. Your browser does not support iframes. 'But in many cases now if you're applying as a skilled worker you have to lodge an expression of interest and then wait to be asked to apply by the government.' Mr Igglesden added that the criteria for which professions are favoured also changes. 'In the last couple of years, if you were a nurse or medical professional or teacher you were prioritised. 'But in the last invitational round they switched it so that nurses, teachers were put on the backburner and introduced trade-workers such as plumbers and plasterers at a more favourable points score,' he said. Whatever the reason for moving down under, Brits are likely to be in either the 'love it' or 'hate it' camp when comparing it to home. One woman who moved to Sydney from London, known only as 'Blond' on the internet, revealed that all the changes had been positive bar a shocking wildlife experience during a storm. A conservative law firm is highlighting gender ideology in schools around the U.S. by taking action against many districts they claim are overstepping their boundaries with parents. The Alliance Defending Freedom, a conservative Christian legal advocacy group, held a press call Thursday with clients they represent from around the country who are embroiled in legal action against their local school districts. They are backing a Colorado couple whose 11-year-old daughter was assigned to room with a boy who identified as a girl on a school trip to Washington, D.C. They represent Michigan parents who sued their local school district for using male pronouns for their eighth-grader without their knowledge. And they are representing a Virginia teacher who claims her district instructed her to hide student's gender identity information from their parents, among others. 'The government is taking decision making authority from parents,' Kate Anderson, an attorney on the aforementioned cases warned on the call. Parents Joe and Serena Wailes are suing Jefferson County Public Schools after their 11-year-old daughter was allegedly made to sleep in a bed with a biological boy during a Governor's Ranch Elementary School field trip to Washington D.C. 'They're shifting decisions that should be in the hands of parents to themselves, and then they're hiding that information from parents, so they don't know that the school is making decisions behind their back, and that's dangerous.' 'You're hearing from each of these clients that they're experiencing really dangerous policies that are hurting kids in their districts,' she continued. Serena Wailes, the mother who is suing Colorado's Jefferson County Public Schools for putting a biological male in the same sleeping arrangements as her 11-year-old daughter, stressed how she felt betrayed by her school district. 'We trusted these people,' Serena Wailes told reporters on a call. 'They told us throughout the whole process that boys were going to be on one floor and girls were going to be on another, and at no point during this process did they ever say that that was going to be based on gender identity, and so we trusted that.' 'We trust our school district with our kids, and they have, they have failed us.' Your browser does not support iframes. The family from Littleton are now demanding the school (pictured) tell parents in advance the gender of people their children will be expected to share a bed with She described her surprise after learning about her daughter's situation. 'It was really shocking, because I'm downstairs in the lobby with the other moms, and I get this call from our daughter, who's upstairs and she's hiding in the bathroom.' 'Her voice is quivering, and she doesn't want to hurt the feelings of all the other kids in her room, but she's like, 'Mom, I don't feel good about this.'' 'So I had her come down and we went, we talked through it and had the chaperone come over, talk through it, and then found out it was true. And honestly, what I have to say is I feel deceived.' Then Michigan parents Dan and Jennifer Mead spoke up. They filed a lawsuit against the Rockford Public School District after discovering the school had been referring to their child using 'he/him' pronouns last year. Jennifer described how they sought academic help for their daughter through her school counselor, and they began sharing intimate details about he student and her academic and personal life. 'And all this time, they were lying to us, changing records. They were calling her by a male name, a masculine pronoun at school, and then when they would talk to us or send anything home, it was always her, you know, are given her given name.' 'It was shocking because we felt like we were deceived as well,' the mother said. They claim the school secretly 'socially transitioned' her before the parents caught on. Dan and Jennifer Mead are suing a Michigan public school district for using male pronouns for their eighth-grade daughter without their knowledge The lawsuit against the Rockford Public School District alleges that the school violated the parents' First and 14th Amendment rights The parents found out in October 2022 when a school psychologist inadvertently included the child's masculine name in one section of a report that was sent home. The eight-grader's name had been changed back to the birth name in the rest of the document, according to the lawsuit. Finally a teacher spoke up to address how she is taking action against Harrisonburg City Public School Board in Virginia for allegedly muzzling her ability to talk to parents about their kids' gender identities. She resonated with the parents' stories, and said she is victim to similar policies as an educator. 'This policy that I'm working under deceives parents and it hurts kids,' middle school teacher Deb Figliola said. 'It also requires me to lie.' Deb Figliola, a teacher in Harrisonburg, Virginia, claims she was asked to lie to parents about their own children The Virginian described the inner turmoil she felt as she was mandated to keep these crucial developments from student's parents. 'That policy was that we were to always affirm a student if they came into the building and said they wanted to be identified with a different name and a different pronoun, we were to always use those with them,' Figliola said. 'And we were to not ever tell the parents.' 'We're there for a snapshot. We bring a lot of expertise as teachers, but it's not enough to make those kind of lifelong decisions,' she said. 'Parents are the ones who are there after we're long gone from the picture, and parents are the ones who were there long time before any teacher was involved,' the teacher added. Shocking footage has captured the heartwarming moment an Aussie mum rushes to protect her baby after being woken by a massive earthquake. The 4.5 magnitude quake rattled the small town of Muswellbrook, near Newcastle, in Northern NSW, just before 6am on Saturday, September 7. A baby monitor captured the moment the Hunter Valley mum woke to a loud bang and violent shaking while sleeping next to her son. The clip showed the quick-thinking mother pull the toddler into her arms before she glanced around the room to check they were safe. 'Watch my mum instincts when an earthquake hits,' she captioned the video. 'We already had two earthquakes in the last two weeks so I was on edge. I was about ready to run to the bathroom if it went on for one more second.' Hundreds of viewers praised the woman's quick reaction. 'Nothing compares to mum instincts,' one person commented. A baby monitor captured the moment the Hunter Valley mum woke to a loud bang and violent shaking while sleeping next to her son (pictured) The clip showed the quick-thinking mother pull the toddler into her arms (pictured) 'Mum instincts kicked in fast,' another person wrote. 'Mum instincts are the best, I have woken up two times finding my son refluxing and choking. He was not making any sounds,' a second said. A third shared: 'You become an incredibly light sleeper when you become a parent'. Others expressed their relief that the woman and her son were not hurt. 'Glad you both [are] safe,' a third said. Dozens of properties in the surrounding suburbs sustained minor damage with many residents waking up without power. Just two weeks earlier, a magnitude 4.8 earthquake shook the town of Denman, around 20km south of Muswellbrook. It was the biggest earthquake in the area in 50 years, but smaller than the 5.4 magnitude earthquake that devastated Newcastle and killed 13 people in 1989. Muswellbrook Shire Council mayor Steven Reynolds said he was lying in bed when his house shook hard. 'I tell you what, I thought the roof was coming down on me,' he said. 'You couldn't miss it, it was big. We have the blasts here from the mines and I immediately knew it was nothing like that.' Hundreds of properties in the surrounding areas sustained minor damage from the quake (pictured debris seen on a footpath following the quake in Muswellbrook, in northern NSW) Unconfirmed reports were made of stock on supermarket shelves that fell to the floor (pictured) after a 4.8 magnitude quake struck Denham, in NSW Over 170km away, residents in Sydney reported feeling tremors from the quake. Unverified social media posts showed products that fell from supermarket shelves while some homes had cracks in the wall. While there were no reports of major damage to infrastructure, the NSW State Emergency Service received almost a dozen calls for minor damage. Australia has been hit by 16 quakes in the past week, with 12 earthquakes between magnitude two and three recorded. Around 100 earthquakes of magnitude three or more are recorded in the country every year, according to Geoscience Australia. Mountain View prison in Gatesville on September 19, 2019 Marjorie Kamys Cotera/For the Texas Tribune/Marjorie Kamys Cotera The day before Patrick Womack was found face-down and unresponsive in a hot prison cell in August 2023, he asked a correctional officer to let him take a cold shower so he could cool down. The officer said no, according to court documents. The reason: There werent enough guards at the H.H. Coffield Unit to watch him. Attorneys for the Texas Department of Criminal Justice who are trying to dissuade a federal judge from forcing the state to cool its un-air conditioned prisons argue in an ongoing lawsuit that the state already provides incarcerated people with unlimited access to cold showers, ice water and air-conditioned respite areas. Advertisement Article continues below this ad But current and former prisoners, advocates and a former guard claim the prison system isnt following through on those promises. In hearing testimony, documents and interviews with the Texas Tribune, they say a persistent staffing shortage leaves lock-ups without enough guards needed to mitigate against the heat inside un-air conditioned prisons, which reach well over 100 degrees during the summer. The prison systems critics say that leaves incarcerated individuals without access to respite, ice water or cool showers. The excuse is always we are understaffed, one of Womacks cellmates told investigators, according to court documents. The cellmate noted there was nothing unusual about prison guards denying an inmate a cold shower. This place aint for humans, the cellmate said. Of course people are going to die. Prison staff and inmates move through the Darrington Unit's main hallway on Wednesday, July 12, 2017. Jolie McCullough/Texas Tribune Texas inmates and nonprofit groups are suing the state over the blistering heat inside its prisons, asking an Austin judge to declare the conditions unconstitutional and require Texas to keep temperatures under 85 degrees Fahrenheit. Complying with such a ruling which could come at any time following a hearing last month could cost the state more than $1 billion, officials have said. Advertisement Article continues below this ad Bryan Collier, the executive director of TDCJ, admitted during a hearing last month that inmates are not necessarily consistently getting access to water. There are instances probably where we dont meet everything we are supposed to meet, Collier said. And state officials say understaffing is not a valid excuse for failing to follow protocols meant to protect prisoners. Teams of auditors, called strike teams, visit the prisons unannounced to ensure mitigation tactics are carried out. If they arent, the problem is immediately rectified, TDCJ spokesperson Amanda Hernandez said in an email. Judge Robert Pitman is expected to imminently decide whether the state must embark on the costly and time intensive project of installing air conditioning in all of its prisons. Currently, 66% of Texas prison beds are not in air-conditioned areas. The lawsuit comes after the Texas House last year committed to spending $545 million to install air conditioning, only to have the proposal shot down in the more conservative Senate. From 2001 to 2019, as many as 271 inmates may have died because of extreme heat, according to a 2022 study. The state has not reported a heat-related death in 12 years, but Collier acknowledged in court that high temperatures contributed to the deaths of three inmates last summer, even though heat was not listed as the primary cause of death in those inmates death reports. The department differentiates between deaths where heat was the primary cause of death and those where heat was a contributing factor. Theres no amount of respite rooms, ice water and cold showers that can keep people safe from triple digit temperatures, said Erica Grossman, an attorney who is representing prison advocacy groups. Even if they could implement them which they dont its not sufficient. Its not a complicated solution. Install air-conditioning. Advertisement Article continues below this ad As a judge considers whether Texas efforts to mitigate the indoor heat is enough, the people working and living inside scorching prison cells say they feel the impacts of understaffing compounding the physical conditions. About 24% of the 24,112 correctional officer positions in Texas prisons are vacant, Hernandez said. The agency is amping up its recruitment and retention efforts, holding job fairs across the state including in high schools and dramatically increasing correctional officers starting salaries and career development. But even more guards, some critics say, doesnt solve all of the problems the lack of air conditioning creates. Staff are being held to give inmates respite, but the staff arent getting respite themselves, said Jeff Ormsby, a former correctional officer who now serves as executive director of the American Federation of State, County and Municipal Employees Texas Corrections unit, a union that advocates for Texas correctional officers. Working in pods or wings with several hundred inmates, they dont get a break. Advertisement Article continues below this ad Scouring for water During the summer months, Marci Marie Simmons says she receives dozens of letters and phone calls from Texas inmates who describe miserable days in sweltering heat without cold water or respite. Simmons was incarcerated in Texas prisons from 2011 until 2021 and now leads the Lioness Justice Impacted Womens Alliance, a nonprofit organization that advocates for inmates. The group is one of the plaintiffs in the ongoing legal battle against the state, arguing the lack of air conditioning amounts to cruel and unusual punishment. Simmons said that when she was incarcerated, she would often scour for water, wait days for a cold shower and be denied respite because there werent enough guards to take inmates there. Simmons recalled officers quitting during the summer months, or saving all of their paid time off for the hottest days of the year. Advertisement Article continues below this ad They would joke about it and say, You know I dont work in August, Simmons said. And she didnt blame them for it. Nobody wants to work in an un-air conditioned metal and concrete building in full uniform, she said. Hernandez, the TDCJ spokesperson, declined to comment on the departments vacation policies. But she said staff shortages are not a valid excuse for not carrying out mitigation efforts. Strike teams The department investigates allegations that mitigation measures are not taking place by using information collected from strike teams who visit prisons unannounced every week and evaluate whether officers are compliant with heat protocols. If a problem is identified, it is rectified, Hernandez said. This year, strike teams have conducted 28 reviews and identified one issue, Hernandez said, though she did not specify the issue they uncovered. Inmates, meanwhile, have filed thousands of grievances, many of them about the failure to follow mitigation protocols. A mock prison cell built for a "Beat the Heat" awareness event held at the Capitol in Austin on March 12, 2019. Emree Weaver/Texas Tribune Rectifying those problems with insufficient staff is a tough problem to solve. Altee Johnson, who worked as a correctional officer from 2018 until 2020, said it was common for her fellow staff members to quit after working a few weeks on the job and that the shortages made it more difficult for her and her coworkers to do their required tasks, perpetuating the cycle of high staff turnover. Without enough staff around, Johnson said she was forced to stay overtime, sometimes working 16-hour shifts in hot temperatures. It was miserable, Johnson said. It was definitely inhumane. In 2022 and 2023, TDCJ staff filed close to 80 workers compensation claims related to the heat, according to court documents. Adding to the challenges, Johnson said, were that areas designated for respite were not large enough to accommodate everyone who wanted to cool down. Sometimes theyd have 30 to 40 women in the vestibule, where its only big enough for 10, she said. That created tension and fights. They would just get aggravated. Eventually, Johnson resigned. 'On the brink of failure' During the pandemic, TDCJ created mobile correction officer teams who travel to units that are understaffed, which are typically located in remote parts of the state. The department also began overstaffing prisons in urban areas and sending the extra staff to understaffed prisons. Prison staff in Houston, for example, travel to Beaumont, and Dallas staff might travel to Texarkana facilities. Sometimes staff are transported each day. Other times they are stationed in nearby hotels for four-day cycles. Weve adjusted our entire strategy on the operations of TDCJ, said Jeremy Bryant, the agencys director of recruitment. Bryant said he sees this as a temporary solution and hopes that doubling down on recruitment and retention will help solve the staffing crisis. Ormsby, the union executive, said officers dont like working in the facilities without air conditioning and that having to travel to those facilities adds an extra burden on staff who are already spread thin. They are putting people in vans and shipping them to work in these units, Ormsby said. They might make you travel two hours to Palestine to work a 12-hour shift in an un-air conditioned unit. At the same time, TDCJ staff said they are looking for ways to become more efficient. For example, the department has experimented with new perimeter security systems that use microwave technology and would not require an officer to man the fence. We are doing our best to fill these positions, but we are going to get to a point where theres no one to fill them with, Hernandez said. How can we do more with less staff? That has to be one of our focuses. Tona Southards, whose 36-year-old son died in a Texas prison during the June 2023 heat wave, falls to her knees as she leads a prayer circle outside the Texas Capitol on July 18. Southards and other prison rights advocates called for Gov. Greg Abbott to call a special session to install air conditioning in Texas prisons. Jolie McCullough/Texas Tribune The staffing shortage mirrors a nationwide pattern that was exacerbated by the COVID-19 pandemic, when fears of contracting the virus in communal settings coupled with strict isolation policies pushed thousands of corrections staff to quit their jobs. The number of people employed by state prisons fell to its lowest point in more than two decades in 2022, according to U.S. census data. As a result, the remaining correctional officers are often forced to work overtime, creating dangerous and sometimes violent conditions. We have a system on the brink of failure, John Wetzel, former Pennsylvania Secretary of Corrections, testified during a U.S. Senate hearing earlier this year focused on the nations correctional staffing challenges. And its a system we all need. Heat sensitivity scores Womack was 50 years old when he died last year inside of Coffield, a mens prison in unincorporated Anderson County. According to the official custodial death report, Womack died of hyperthermia due to serotonin syndrome. That syndrome, the report says, was caused by sertraline, a common antidepressant medication Womack was prescribed. Environmental heat was noted as a possible contributing factor, but not the primary cause of death. During last months court hearing, Susi Vassallo, an emergency medicine doctor who specializes in heat sensitivity, testified that the amount of sertraline in Womacks system would not have caused him to have a core body temperature of 107 degrees, as was reported in his autopsy report. Vassallo said she believes Womack died from a heat stroke. The heat index was 113, thats the cause of death here, Vassallo said, adding that had Womack been housed in air conditioning, he wouldnt have died. As part of a court settlement in 2018, TDCJ worked with medical professionals to create a heat sensitivity score to determine which inmates are placed in cool beds. That score is created and constantly updated using an algorithm designed by physicians at the University of Texas Medical Branch and Texas Tech University, using inputs from an inmates electronic health record. The algorithm is supposed to figure out who is at greater risk of heat sensitivity. But advocates and some medical experts say the algorithm is ineffective, leaving plenty of people sensitive to the heat in un-air conditioned cells. Despite being prescribed sertraline and having a history of mental health disorders, Womack did not have a heat sensitivity score. Heat sensitivity scores are calculated based on a multitude of factors, such as age, medical condition and prescriptions, Hernandez, the spokesperson, said. She added that having a prescription of sertraline would not in itself qualify someone for a heat score. Local residents living near one of Britain's most picturesque beaches have vented their fury over the actions of the local council - who they claim are using its pristine white sand to sell for profit. Residents and holidaymakers in Hayle, Cornwall, are unhappy over the actions of the local authority, with some even labelling their antics as 'disgusting'. Sophie Daniels, who lives in the area, started the petition because she felt that the sand is being 'mined for profit' and sold with no thought of the environmental repercussions. However, authorities say that the sand sold to local firms is being dredged as part of a legal requirement to keep 'a safe channel for fishing and sailing boats to navigate'. Ms Daniels said: In recent times, our once tranquil beach is suffering as tonnes of sand are [being] removed day and night, sold for profit with no thought of the environmental repercussions. Residents and holidaymakers in Hayle, Cornwall, say tonnes of sand has been taken from the beach 'day and night When MailOnline visited this week, we witnessed giant diggers scoop up tonnes of sand from the shore before loading it into large earth moving equipment A petition demanding an immediate stop to mining operations on Hayle Beach - named the best in the UK by the Sunday Times - has already received nearly 2,000 signatures 'Authorities justify the mining, claiming it is necessary for keeping the channel clear for fishermen. 'But the reality is clearly different. Excavation is taking place on the beach, far from the central channel they declare to keep clear. 'The beach is suffering as tonnes of sand is removed day and night, sold for profit with no thought of the environmental repercussions. Deborah Phillips, 58, a care support worker from nearby Helston said: It is pretty outrageous but I am sure it all comes down to money. I dont think they will listen to the petition. This is greed over people once again but the people behind it dont care, they are not bothered about the families who have been coming here for their entire lives What unintended environmental consequences is it going to cause in years to come? The petition demanding an immediate stop to mining operations on Hayle Beach has already received nearly 2,000 signatures. When MailOnline visited this week, we witnessed giant diggers scoop up tonnes of sand from the shore before loading it into large earth moving equipment. Locals Margaret Abbotts (left) and Deborah Phillips (right) have said said: The beach is for everybody and it should not be sold and 'it all comes down to money' Holidaymaker Terry Soar from Nottingham said: 'I think it's disgusting' Authorities say the sand is sold to local firms but is only being dredged as part of a legal requirement to keep 'a safe channel for fishing and sailing boats to navigate' Outraged local residents who signed the petition complained of late night excavation operations causing disturbance and accused authorities of doing environmental damage and stealing sand from the beach 'Our plea is simple: cease the sand mining immediately. Outraged local residents who signed the petition complained of late night excavation operations causing disturbance and accused authorities of doing environmental damage and stealing sand from the beach rather than dredging the channel. The beautiful coastal town of Hayle sits just five miles from St Ives on Cornwalls north coast and boasts over three miles of unspoiled coastline. Now a popular tourist destination, it was the most important mining port and home to the biggest steam engine manufacturers in the world in the early 1800s. Holidaymakers Terry and Lynn Soar from Nottingham have been regular visitors for over a decade. Mr Soar said: I think its disgusting they are taking the sand and selling it. Hayle Beach is what makes the whole town, it is lovely golden sand and it is completely unspoiled. I think its a really stupid move because holidaymakers are a really important part of Cornwalls economy and a lot of those people are coming for the beautiful beaches. If you lose them people wont come. The beautiful coastal town of Hayle sits just five miles from St Ives on Cornwalls north coast and boasts over three miles of unspoiled coastline Now a popular tourist destination, it was the most important mining port and home to the biggest steam engine manufacturers in the world in the early 1800s Mrs Soar added: I cant imagine what harm it is doing to marine life that might not come back. Lifelong Hayle resident Margaret Abbotts, 59, said: Dredging the channel is something they have to do to keep it clear and some people in this town get on their high horse about it. It is a shame any dredging is needed but if it protects the livelihoods of the fishermen who use the channel then I think it is necessary. But I am not happy if the beach is being dug up and sold. I have grown up visiting it over the years and everybody can see the changes over the years. The beach is for everybody and it should not be sold. Peter Haddock, Hayle harbourmaster, told Cornwall Live: 'Regular dredging is needed in four areas at Hayle Harbour to provide a safe channel for fishing and sailing boats to navigate. 'Dredging also maximises the flow of the tide to naturally flush the channel, maintaining the estuary's ecosystem as well as contributing towards managing flood risk. 'Hayle Harbour Authority is responsible for regular dredging under The Hayle Harbour Act 1989. 'Dredged sand is sold to local business, Padstow Sea Sand and Aggregate Ltd. Peter Haddock, Hayle harbourmaster, told Cornwall Live: 'Regular dredging is needed in four areas at Hayle Harbour to provide a safe channel for fishing and sailing boats to navigate A spokesperson for Hayle Town Council said a full council meeting was held on September 5. Cornwall Live reports during the meeting 'updates were received from the harbourmaster on behalf of Hayle Harbour Authority and representatives of the North Quay Development, who own and are responsible for that area'. It was confirmed that dredging in accordance with the 1989 Hayle Harbour Act is permitted and that the amount of sand 'removed from the channel is logged and closely monitored'. In a post shared on Facebook, the spokesperson said: 'Councillors recognise the need for a safe navigable channel to access the harbour but ultimately, they also have grave concerns about the possible long-term damage to the local environment and ecology and believe that the reinstatement of a routine sluicing regime would be more beneficial, and acceptable to the Hayle community. 'Hayle Town Council resolved to write to several stakeholders including Natural England, RSPB, Environment Agency, Cornwall Council and the local MP, Perran Moon, to advise them of the potential damage of the dredging, to seek their views, to share any information they may have including environmental reports, monitoring assessments, geomorphology reports and to work with us to help find a positive resolution to suit/benefit all parties and St Ives Bay. 'It was further agreed to write to the owners and the harbourmaster to request that they change from a dredge and remove policy to dredge and dune replenishment, and that they look to find ways to repair and/or automate the gates and equipment in order to reinstate sluicing.' A transgender paedophile will spend an extra 33 months in jail after attacking guards and threatening to place one warden's genitals in a blender. Marcia Walker, 51, who is deemed too dangerous for a women's prison after raping two young girls, left a series of vile voicemails threatening to kill and maim prison officers. She also phoned Crimestoppers from HMP Frankland in Durham known as Monster Mansion due to its many murderers, rapists and terrorists falsely claiming there was a bomb in the staff car park. A source at the prison said Walker is 'trouble' and must be kept isolated for everyone's sake. 'She makes life difficult for herself,' said the source. 'If she just tried to get on with people, her time inside would go a lot quicker.' Marcia Walker (pictured), 51, who is deemed too dangerous for a women's prison after raping two young girls, will spend an extra 33 months in jail after threatening to place a warden's genitals in a blender HMP Frankland, known as Monster Mansion for the number of killers, rapists and terrorists who are held there Walker's fellow inmates at the jail (seen from above) include terrorist Michael Adebolajo, who murdered soldier Lee Rigby, serial killer Levi Bellfield, who murdered schoolgirl Milly Dowler, and former police officer Wayne Couzens, who kidnapped, raped and murdered Sarah Everard Guildford Crown Court heard Walker sent shocking voice messages threatening to cut off a man's penis, place his genitals in a blender, cut the brakes on his car, burn down his house and place a bomb under his car. She sent further threatening voicemails, letters and emails to staff, including one in which she vowed to shoot a warden dead and others in which she made vile racist comments. On January 24, Walker phoned Crimestoppers from Category-A HMP Frankland, in County Durham, with the 'intention of inducing a false belief that a bomb was present in the staff car park' at the jail. And she physically assaulted two prison officers at Frankland by beating. She was charged with two counts of racially aggravated public disorder, for which she got 18 more weeks in jail. Two counts of assaulting an emergency worker got her an extra 31 weeks. Two charges of sending malicious communications led to an extra year of prison time. Walker also admitted communicating false information about the bomb with intent, for which she got six months. And for sending a communication threatening serious harm, she will spend an extra two years and three months locked up. Some of the sentences, handed down on August 20, were ordered to run concurrently, so they come to 33 months in total. One further charge of sexually assaulting a man was dropped. HMP Frankland is home to some of Britain's worst criminals, including terrorist Michael Adebolajo, who murdered soldier Lee Rigby on the streets of Greenwich, serial killer Levi Bellfield, who murdered schoolgirl Milly Dowler, and former police officer Wayne Couzens, who kidnapped, raped and murdered Sarah Everard. Walker was originally jailed for 13 years in 2003 after raping two girls, one of whom was aged four. Formerly known as Mark Walker, she is legally recognised as female by the prison authorities but has been in a long-running dispute over access to gender realignment surgery. In 2021, Durham Crown Court heard Walker attacked guards after they objected to her having razor blades. She claimed that being unable to shave herself worsened her gender dysphoria. When prison officers entered her cell, she spat at one and told him: 'I have Covid.' The court heard that Walker was already angry that copies of National Geographic magazine sent into jail by a charity had been confiscated from her cell because they contained pictures of naked children. During interview, Walker had insisted she had no sexual interest in the images in the magazine, adding: 'They cannot take my razors from me.' Fiona Lamb, for Walker, said at the time: 'The defendant has had quite an unpleasant experience being in custody. I'm sure no one enjoys it, but because of the defendant's personal circumstances it has been very difficult for her.' Despite her decision to identify as a female, prison bosses decided Walker would pose a risk if moved to a women's jail and should be kept in isolation. Other inmates were said to be 'furious' because they were kept in their cells for 45 minutes so Walker could wash and eat alone. A source said: 'She has to be treated as a woman but cannot be moved to a female prison because she poses a risk. 'And, as she's legally a woman, she must be kept apart from male inmates.' The Prison Service said: 'Transgender prisoners do not receive special treatment and have access to the same facilities as male prisoners.' Lucy Letby's murder trial at Manchester Crown Court was one of the longest in British legal history, lasting ten months and culminating in 110 hours of deliberation by a jury of eight men and four women who eventually convicted her of murdering seven newborn babies and attempting to murder six more. There has followed a second trial, in which she was found guilty on another attempted murder charge, along with two unsuccessful appeals which failed to overturn those verdicts, for which the 34-year-old nurse is now serving the rest of her life behind bars. The entire process, which spanned almost two years, saw two different juries and four of the most senior judges in the land conclude that Letby was one of the most prolific serial killers of modern times a view shared by police, prosecutors and many of Letbys colleagues at the Countess of Chester Hospital. Yet its aftermath has seen a small but growing army of sceptics ranging from tin-hatted conspiracy theorists to respectable statisticians and medical experts decide that much of the evidence against her is deeply flawed. Some now argue shes the victim of a grotesque miscarriage of justice. Here, as the chorus of divisive scepticism grows, is a forensic dissection of the crucial areas of evidence the case hinged on presenting the arguments for guilt and innocence for each one. Today, in Part One of his compelling analysis, Guy Adams covers Letbys suspicious searches on Facebook for the parents of children she was accused of harming, the confessional jottings she made on notepaper, the suspicious alterations to medical records and much else besides to help you make up your own mind about the verdict. Controversial statistics Case for guilt A chart presented twice to the jury detailed all 25 suspicious events, including seven deaths and a number of sudden collapses, involving newborn infants on the Countess of Chester Hospitals neonatal unit over a 13-month period starting in June 2015. They were plotted against the shift patterns of all 39 nurses employed there. The table revealed that Letby was on duty every single time one occurred. No other colleague was around on more than seven occasions. Prosecution barrister Nick Johnson KC argued she was the one constant presence when babies were taken ill. And after Letby was taken off the ward, he noted, deaths reduced sharply. The table doesnt contain information about a further nine babies who had died between the start of 2015 and the end of the period covered by the chart. Lucy Letby was convicted of murdering seven newborn babies and attempting to murder six more, she was then found guilty on another attempted murder charge in a second trial However, the charts creator, expert witness and former paediatrician Dr Dewi Evans, says thats because those deaths were not suspicious. Instead, they involved four children born with a congenital problem, one who was asphyxiated at birth, and four who died from infection. Thanks to evidence shared at the Thirlwall Inquiry, which started this week, we now know a little more about the deaths not on the chart. It seems Letby was present at four of the five that occurred prior to May 2016 (there was no data shared about the later ones). In other words, adding them to the table might not have helped her much at all. Case for innocence Critics strongly disagree. They argue that because the chart covers a relatively short period and omits several deaths and collapses that occurred during the relevant timeframe, it is statistically invalid and potentially very misleading. Recent history certainly offers a warning about the dangers of relying on such data in court. Shortly before Letbys trial, a paediatric nurse from the Netherlands, Lucia de Berk, was freed from jail after it emerged her 2004 conviction on seven murder and three attempted murder charges had been based on erroneous analysis of statistics. This case prompted the Royal Statistical Society to issue a paper titled Healthcare Serial Killer or Coincidence which warned that unexpected clusters of deaths generally should not be taken as definitive evidence of misconduct in criminal cases. One of its authors, Richard Gill, emeritus professor of statistics at Leiden University in the Netherlands, now believes Letby has been a victim of a similar miscarriage of justice to that of de Berk. If you want my odds, I think there is less than a one in a hundred thousand chance shes guilty, he claims. John OQuigley, a professor of statistical science at University College London, has told reporters: In my opinion there was nothing out of the ordinary statistically in the spike in deaths, and all that the shift chart shows is that when Letby was on duty, Letby was on duty. Such concerns prompted 24 experts to write to ministers voicing concerns about Letbys conviction. Their letter read: Possible negligent deaths that were presumed to be murders could result in an incomplete investigation of the management response to the crisis. A signatory, Professor Jane Hutton of Warwick University, told the BBC: The work behind the stats presented to the jury appears not to have been done in the way that it should be. Lucy Letby's murder trial at Manchester Crown Court was one of the longest in British legal history, lasting ten months and culminating in 110 hours of deliberation by a jury Her suspicious Facebook searches Case for guilt Letby repeatedly trawled Facebook looking for the parents of babies she was accused of harming. Data obtained by police revealed that shed made such searches at least 31 times, often late at night. Frequently, she would attempt to view profiles on the anniversary of a childs death. One grieving mother was looked up on nine separate occasions, including late on Christmas Day. Another had her profile viewed the moment Letby woke up after the night shift on which a child she allegedly murdered had died. Prosecution barrister Nick Johnson KC argued that the habit revealed voyeuristic tendencies, alleging she took pleasure in her murderous handiwork and enjoyed observing the trauma it had caused families. Case for innocence Letby denied any sinister motive for her social media activity, saying she regularly attempted to find Facebook pages of people she met during her daily life. That much does seem to be true: the same police data shows that she made a total of 2,287 Facebook searches during the two-year period that was the focus of the case, looking up everyone from work colleagues to old school and university friends, to people she met at her Salsa class. A mere 1.3 per cent of those involved bereaved parents. Or at least the bereaved parents at the centre of her trials. I was always on my phone, she testified, denying any fascination with victims or their grief and instead claiming to be motivated by general curiosity. Odd behaviour Case for guilt Witnesses also accused Letby of making strange and inappropriate efforts to spend time with grieving parents. One, a shift leader, told the court how she had to repeatedly tell Letby to stop going into the family room where the parents of a dying infant, Baby C, were cradling their son. At the time, Letby was supposed to be caring for a different child in a separate nursery. Lucy went into the family room a few times and I asked her to come out and leave that family, the shift leader testified. Baby Cs parents said Letby at one point walked in carrying a ventilated basket and told them: Youve said your goodbyes, now do you want to put him in here? The father recalled: This comment shocked us. My wife said: Hes not dead yet. Another witness, the mother of Baby I, said Letby was smiling as she bathed her dead child, and at one point offered to take a commemorative photo of the infants corpse. She kept going on about how she was present at the first bath and how [Baby I] had loved it, the mother said. I wished shed just stop talking. Then came Child P, a triplet who collapsed in June 2016. Shortly before his death, Letby astonished colleagues (who thought he would make a full recovery) by predicting hes not leaving here alive, is he? She then dressed the body, took photographs for a memory box routinely handed to bereaved families, and commiserated with the parents, who recalled: She was in pieces, almost as upset as we were. Prosecutors alleged that such incidents show Letby getting a thrill from the suffering of victims. Case for innocence Letby insisted her unusual and sometimes animated behaviour towards bereaved parents had been either wrongly interpreted or mis-remembered by witnesses. She did accept visiting the mother and father of Baby C at some point but claimed I dont recall the shift leader having to pull me back. Letby further accused the parents of mis-remembering the incident with the ventilated basket, saying: I dont think I ever accepted definitely thats what I said. As to the other interactions, the nurse did not accept that she behaved in an abnormal fashion in front of parents, but argued that she was instead attempting to help the couples process grief, saying at one point: I was trying, in that awful situation, to [help them] have some positive memories. A chart presented twice to the jury detailed 25 'suspicious events', including seven deaths involving infants on the Countess of Chester Hospital's neonatal unit The creator of the chart, former paediatrician Dr Dewi Evans, says a further nine babies' deaths were not recorded in the table because they were not suspicious Hidden trophies Case for guilt When police raided Lucy Letbys home, they found a treasure trove of documents that had been improperly removed from her workplace. Under her bed was a carrier bag containing a printed blood gas reading for Child M, an infant she was later convicted of attempting to murder. A colleague who took that reading testified it had been disposed of in the units confidential wastepaper bin. This bag also contained a paper towel on which a list of emergency drugs administered to Child M had been written, along with 31 shift handover sheets. Another bag held a further tranche of handover sheets including four that covered dates in June 2016 when both Child O and Child P were killed. There was a keepsake box with roses on it containing confidential paperwork from her first professional shift. Other medical records were found in a bin bag in her garage and in her childhood bedroom at the family home where she grew up. In total, some 257 handover sheets were recovered, of which 21 included the names of babies she was alleged to have harmed. Some others are understood to include names of children now at the centre of further, ongoing police inquiries. On her phone, detectives found a photo of a sympathy card shed sent to the parents of Child I, plus an image of a thank you letter received from the parents of twins she was later accused of harming, Child E and Child F. According to the prosecution, Letby hoarded such material because, like many serial killers, she got a thrill from compiling a little collection of souvenirs. Case for innocence Letby argued that all 257 documents found at her homes were merely items she had inadvertently come home with in my uniform pocket at the end of a shift. Shed decided against disposing of them because that she had difficulty throwing things away. In court, Letby denied fishing out Child Ms blood pressure reading from a workplace bin to take home (as alleged by a colleague) saying I cant recall when asked how it had ended up under her bed. Apropos the images of cards on her phone, she told police: I often take pictures of any cards I have sent, even birthday cards anything like that. I often take pictures of them. It was upsetting losing (Child I) and it was nice to remember the kind words that I hoped Id shared with that family. Doctored medical records Case for guilt Letby was repeatedly accused of making fraudulent nursing notes which were false, misleading and designed to cover her tracks. On several occasions, the court heard claims that she had altered timings of critical events to distance herself from an infants deterioration or death. In the case of Child H, for example, Letby was at one point seen by a registrar attempting to revive the critically ill baby using a neopuff resuscitator. Yet notes she later filed suggested that she was instead carrying out observations on a different baby at the time. Elsewhere, Letby twice claimed to be in a different room when another baby, Child D, suffered collapses. In fact, witnesses said, this child was in her care. She also confessed in court that an unsigned blood gas reading related to Child D, who died from an air embolism, was in her handwriting. Then came the case of Child E, who died in the early hours of August 4, 2015, after losing a third of its blood. Child Es mother recalled finding Child E in acute distress at 9pm, bleeding around the mouth and making a horrendous sound while Letby was faffing around, not doing anything. Concerned, the mother phoned her husband. Phone records put this call at 9.11pm. Letby later filed notes claiming the infant was first seen bleeding around 10pm, which could excuse the fact that emergency care wasnt administered until it was too late. The mother recalled feeling fobbed off by Letby, who persuaded her to leave the ward by playing things down, saying Trust me, Im a nurse. Case for innocence Letby argued that Child Es mother was mistaken about the timing, saying, I disagree with that, when asked whether the incident had actually unfolded an hour earlier than her notes claimed. She also denied telling the mother to leave the ward, but said she might have advised her to get some rest. Asked about Child H, Letby said she could not say from memory exactly what I was doing when (the jury found her innocent of one charge and could not reach a verdict on others related to this infant). The failure to sign Child Ds blood gas reading was meanwhile an error that happens from time to time. When cross-examined about a host of other disputed incidents that appear to have been left unrecorded, or where paperwork distanced her from being responsible for a child who died or suffered a collapse, she either denied wrongdoing or said she couldnt remember details so: I cant answer that. Her confession Case for guilt Police who searched Letbys house found a handwritten sheet of paper headlined NOT GOOD ENOUGH along with several densely written Post-it notes. Covered by largely unpunctuated text, they contained such phrases as I AM EVIL I DID THIS and I killed them on purpose because I am not good enough to care for them and I am a horrible evil person. The sheets contained the names of triplets, two of whom had died in Letbys care, along with such phrases as There are no words. I am an awful person I pay every day for that, I cant breathe. I cant focus. Kill myself right now, Overwhelming fear/panic, Ill never have children or marry. Ill never know what its like to have a family. NO HOPE. According to the prosecution, Letbys notes amounted to a private confession, offering a window into the tortured soul of a serial killer who had realised that the net was closing in on her. Case for innocence The notes, seemingly written when Letby had been suspended from duty at the Countess of Chester amid fears about the number of deaths on her watch, also contained such phrases as Why me?, I havent done anything wrong, Police investigation slander discrimination victimisation. Letbys barrister, Ben Myers KC, argued they should be seen as the anguished outpouring of a young woman in fear and despair when she realises the enormity of whats being said about her. In court, Letby claimed that writing notes was her way of processing emotions, saying: I felt at the time if Id done something wrong and I didnt know Id done that I must be such an awful evil person, if Id made mistakes Id not known. Asked why she wrote I did this, she added: I felt I must be responsible in some way. According to The Guardian newspaper sources close to the case claim the notes were written on the advice of her GP and the Countess of Chester Hospitals Head of Occupational Health, Kathryn de Beger, who believed that writing down feelings would be a good way to cope with extreme stress. Yet Letby failed to mention this during hours of police interrogations, or while giving evidence, so it was never tested in court. Vice President Kamala Harris insisted on being the last person in the room when President Joe Biden made an important decision, but a new report indicates she does not question his leadership during major international crises. Harris did not break with Bidens decision to withdraw U.S. forces from Afghanistan, even though her national security advisor Philip H. Gordon warned her that the plan could have disastrous consequences, according to Washington Post columnist David Ignatius, citing anonymous sources. That news matches a Wall Street Journal report that Gordon had advised Harris and the Biden administration to leave behind a residual force to prevent the Taliban from rapidly taking over the government. But Harris ultimately sided with the president. Harris told CNN she was the last person in the room when Biden made his decision about leaving Afghanistan and that she was comfortable with it. National Security insiders say Harris backs the president on all of his foreign policy decisions The vice president appears not to have challenged Biden on foreign policy, as the president prides his many years of service in the Senate Foreign Relations Committee. Harris' deference to Biden is strikingly different than when Biden was vice president for President Barack Obama. Biden famously questioned Obama's decision to launch a mission to kill Osama bin Laden in Pakistan and also advised him against keeping troops in Afghanistan. Harris has also backed Bidens positions on the war in Ukraine, as the federal government has spent over $175 billion in aid, weapons, and ammunition for the country. Harris, however, hasnt been convinced that it is a good idea to allow Ukraine to use long range missile strikes into Russia, the report notes, even though Biden is considering it. Putin warned the United States that they would be at war with Russia if they allowed Ukraine to use long range weapons, and threatened a military response. Harris has also backed Biden on the Israeli-Palestinian conflict, advisors say, noting that the vice president believes that Israel has a right to defend themselves but should take more action to reduce civilian casualties. Kamala Harris salutes as she boards Air Force 2 Although some viewed the vice president as more considerate of the Palestinian plight than Biden, she carefully threaded the needle in her speech to the Democratic National Committee by throwing support for Israel's right to defend themselves. Ultimately, Ignatius concludes, Harris would continue the traditional bipartisan foreign policy consensus which would be challenged if former President Donald Trump returned to office. Trump has vowed to negotiate with Ukraine and Russia to end the war, if he is reelected and restore a maximum pressure campaign on Iran. I think its in the U.S. best interest to get this war finished and just get it done. All right. Negotiate a deal, he said during the ABC News debate on Tuesday. Harris has spoken about the decision making process with Biden during an interview with CNN in April 2021. It is often the case that as I will ask his opinion about things, he will ask my opinion and through that process I think that we arrive at a good place. And ultimately, of course, he is the President and he makes the final decision, she said. ABC News debate moderator Linsey Davis made a stunning admission about their attempts to 'fact check' Donald Trump during his debate with Kamala Harris. Republicans were furious at ABC News moderators David Muir and Linsey Davis for refusing to fact check Harris on her lies about Trump's views on IVF. In a new interview, Davis says that it was a conscious decision to do the fact checks after seeing how Trump and Joe Biden performed in the CNN debate in June. 'People were concerned that statements were allowed to just hang and not [be] disputed by the candidate Biden, at the time, or the moderators,' Davis said. Davis even anticipated Trump's comments on abortion and IVF and said it 'was an obvious thing to get on the record'. ABC News debate moderator Linsey Davis made a stunning admission about their attempts to 'fact check' Donald Trump during his debate with Kamala Harris Republicans were furious at ABC News moderators David Muir and Linsey Davis for refusing to fact check Kamala Harris on her lies about Donald Trump 's views on IVF She then admitted that they tried but failed to get the candidates on the record every time they claim they told a lie. The anchor, who hosts a show on ABC News' streaming outlet, admitted social media makes her aware of how tough it is as a black woman covering the first black woman to run as a major presidential candidate to stay unbiased. 'There is a stereotype that I am acutely aware of that I can't be unbiased covering this moment,' she told the LA Times. 'And the anonymous Instagram people serve as reminders every day.' Muir and Davis repeatedly attempted to fact check Trump during the debate on issues such as the Capitol riot and a claim about migrant crime. However, Harris incorrectly stated that Trump was against in vitro fertilization during the debate. The former president stated that he has spoken out in favor of IVF when it has faced bans at the state level. Former White House Press Secretary Ari Fleischer wrote on social media: 'ABC is making a huge mistake trying to fact check this live. Theyre only proving how biased they are. Harris fabricated an attack on Trump over IVF. ABC sat there and said nothing'. A Trump campaign account pointed out what the debate moderators wouldn't: that Harris wasn't telling the truth. Davis even anticipated Trump's comments on abortion and IVF and said it 'was an obvious thing to get on the record' The anchor, who hosts a show on ABC News' streaming outlet, admitted social media makes her aware of how tough it is as a black woman covering the first black woman to run as a major presidential candidate to stay unbiased 'Kamala is LYING again. President Trump has said he wants to make it easier for mothers and fathers to have babies, including supporting IVF in every state', they wrote. Linsey Davis claimed that Trump was lying when he said that states are allowing for post-birth executions. Muir said Trump claimed falsely that immigrants were eating pets in the town of Springfield, Ohio. Harris was not fact checked by either during the debate. The vice president was apparently satisfied with the result, as she sat down with an ABC affiliate for an interview Friday. In her first solo interview since she was nominated, Harris spoke to Action News in Philadelphia. She discussed the economy and mentioned that both she and Tim Walz own firearms. Muir and Davis repeatedly attempted to fact check Trump during the debate on issues such as the Capitol riot and a claim about migrant crime Trump frustrated social conservatives by adopting a more progressive line on IVF, or in vitro fertilization. He declared that he would make the expensive procedure free for Americans. The former president has further distanced himself from the issue by reportedly ordering the party to tone down abortion language at the Republican National Convention. Earlier this spring, Trump told his advisors that he was leaning toward endorsing a 16-week national abortion ban with exceptions for rape, incest, and the life of the mother. However, he reportedly reversed course after looking over some polling and started saying that abortion was something that should be decided at the state level. Also, the former president has repeatedly voiced his objection when state's have ruled too harshly on the abortion question. He has denounced Florida's 6-week ban, calling it a 'terrible mistake,' and during an interview with NBC on Thursday. He added that women in Florida needed more time in order to determine whether or not they wanted an abortion. Trump signaled that he could vote to end Florida's six-week abortion ban when it comes up in a referendum later this year, as he continues his delicate dance around a key election issue. In an exclusive interview with DailyMail.com he previewed an upcoming announcement on his voting stance by saying that he did not think six weeks was enough time. But he avoided committing to using the presidential veto if a nationwide federal ban arrived on his desk as president even though his running mate said he would. In office, Trump reveled in being the most pro-life president in history, taking credit for ending federal protections for abortion by installing the conservative justices on the Supreme Court who overturned Roe v Wade. This time he is trying to keep both sides happy on one of the most divisive issues in the election by saying it is up to states to decide their own laws. The former president has further distanced himself from the issue by reportedly ordering the party to tone down abortion language at the Republican National Convention This prompted outcry from some conservatives, including those at the National Review, where they published an article entitled 'Trump Stabs Florida Pro-lifers in the Front.' In an effort to clean up the situation, Leavitt said that the former president 'simply reiterated that he believes six weeks is too short.' Trump revealed that he would vote no on the measure that would preserve the six-week ban in Florida. As the final weeks of the presidential campaign grind on and Trump tries to regain some of the losses he has incurred since Harris took over for President Joe Biden, he will face the immense challenge of winning over new, more liberal, voters while placating his base. A farmer who shot dead a father-of-three he wrongfully accused of stealing avocados and sheep from his sprawling rural property has been jailed for life. John Gerard Benny Della Franca, 67, shot Tony Ditri, 40, twice at a rubbish tip in Pemberton, 300km southwest of Perth, on August 27, 2021. Della Franca was sentenced to life behind bars in the Supreme Court of Western Australia on Friday. He will be eligible to apply for parole in 20 years. The farmer murdered the landscaper after growing increasingly paranoid that locals were stealing avocadoes, sheep and cattle from his property. Della Franca confronted Mr Ditri while he was emptying his lawn clippings into the public tip across the road from Della Franca's farm. Mr Ditri denied the accusations before Della Franca pulled out a shotgun and shot him once in his chest and arm and again in his back. He moved the landscaper's body to his farm briefly before returning to the tip to tie several fishing nets to the back of Mr Ditri's car before driving it toward the Warren River. Della Franca had intended to make it look like Mr Ditri had 'gone missing in a fishing misadventure', state prosecutor Brett Tooker told the court. John Gerard Benny Della Franca, 67 (pictured) was sentenced to 20 years behind bars in the Supreme Court of Western Australia on Friday over the murder of Tony Ditri However, the car got bogged, forcing Della Franca to walk 15km back to his farm where he put Mr Ditri's body in a fertiliser bag before he placed the bag into an apple crate and drove the body to an unattended farm where he used run his sheep. The court heard Della Franca dug a large hole around a metre-deep to bury the body at the farm. Despite being arrested on August 28 and later admitting to shooting Mr Ditri, Della Franca repeatedly told police he had dumped the victim's body in the Warren River. Police discovered Mr Ditri's body around two weeks later following a large-scale search. Della Franca had previously been charged with Mr Ditri's murder four days after he was last seen, on September 1, 2021. Mr Ditri (pictured right) denied stealing when he was confronted by Della Franca on August 27, 2021 while he was emptying lawn clippings at a local rubbish tip Mr Tooker told the court Della Franca hadn't shown any regret for his actions. 'He was only thinking about himself,' the prosecutor said. 'There is no evidence of genuine remorse. He is concerned only with himself.' Justice Bruno Fiannaca accepted that Della Franca did not confront Mr Ditri with the intention of murdering him but had decided to shoot after he denied stealing. The judge said victim impact statements had revealed the landscaper was a sorely-missed husband and much-loved father to three young children. 'They grieve the fact he (Mr Ditri) will be missed as a husband, father, son, uncle and sibling,' Justice Fiannaca said. '(His wife) is broken and struggles to face the world every day. She is still angry and her children suffer daily because of what you have done.' Della Franca will be eligible for parole in 20 years, after his 87th birthday. A young Australian widow has shared the heart-stopping moment she watched her husband fall off a cliff during a freak rock climbing accident in Canada. Emma Heritage watched from just metres away as her husband Daniel, 28, lost his footing and slipped off a mountain in the Banff National Park on October 5, 2022. The Adelaide couple had embarked on the trip of a lifetime when Daniel fell during a rock climbing expedition in the Canadian Rockies. Ms Heritage said she felt the rope that connected them suddenly slacken which prompted her to turn around and see Daniel fall. 'He landed near where I was, it just happened, he didn't yell or make any noise,' the devastated widow told The Advertiser. Ms Heritage said there was a 'lot' of blood coming from Daniel's mouth and that he very quickly lost consciousness after the fall. She was able to call emergency services from where she was standing and stayed with her husband until paramedics arrived. Ms Heritage said she knew Daniel was dead when first responders said they planned on getting her down from the mountain before him. Adelaide woman Emma Heritage (left) has detailed for the first time the horror moment her husband Daniel (right) fell while rock climbing in the Canadian Rockies Ms Heritage witnessed the horror moment Daniel (pictured) slipped and fell off a cliff 'I think that was probably one of the most heartbreaking moments for me because I had to step away from him,' she said. Ms Heritage was made to relive the trauma in the days following the accident as the Coroner relied on her account as the only witness. The coroner's report needed to rule out 'foul play' specifically. To make matters worst, Ms Heritage discovered their travel insurance did not cover accidental death, meaning she would need tens of thousands of dollars to get Daniel's body back to Australia. She said her 'biggest worry' was getting her husband back to his family but without a $12,000 deposit no funeral home would take him. Luckily, Mr Heritage's mother was able to immediately pay the deposit. Ms Heritage (right) said she knew Daniel (left) was dead when first responders said they planned on getting her down from the cliff before him Ms Heritage was required to pay $8,000 to fly her husband back to Adelaide and another $15,000 for his funeral. Her twin sister, Jessica, raised $42,830 of the $50,000 needed to afford the arrangements with a GoFundMe campaign. 'The death was very traumatic for Emma as she witnessed the accident and held him in her arms as he passed,' Jessica wrote at the time. 'Please continue to pray for Emma and our families as we navigate this lifelong grief.' When Ms Heritage was finally able to fly back to Australia she felt conflicted about leaving the place she last saw her husband alive. Ms Heritage said that she returned to the spot where it happened in 2023 and was left frustrated and confused as to how her husband could have died there She returned to the exact location where Daniel had died in 2023 and even re-climbed the same cliff to try to understand how he had lost his footing. 'I re-climbed the place where it happened. I thought it would be really emotional and I would be a puddle of tears but it was actually [frustration that I felt] when I climbed above where he fell,' Ms Heritage said. She explained the frustration came from not being able to understand why Daniel had fell when the climb was well within their skill level. Ms Heritage has since tried to stop fixating on how the tragedy occurred, saying she has come to terms with his death and will simply continue to miss him. A hard-working Aussie couple have lost their beloved cat and their family home after an iPad ignited while on charge and triggered a fire. The intense blaze has prompted a fire chief to reiterate the warning that devices should not be left plugged in while no one is at home. The young family is also reiterating that message, after the Apple charger and iPad destroyed their home and caused the death of their cat. The fire erupted at the couple's home in Jarrahdale, about 45km southwest of Perth, at about 1pm on Thursday. Jarrod and Java King, their three-year-old daughter and their pet dogs were not physically injured, however their cat was killed. The house was destroyed when the iPad, left charging in their bedroom, ignited. 'It can happen so quickly, it's pretty scary stuff,' Mr King told 9News. The fire engulfed the bed and destroyed the house. Perth couple Jarrod and Java lost their home after a charging iPad triggered a horror house fire (the couple are pictured holding the damaged Apple device) The couple had been charging the iPad (pictured) with an Apple cable in their bedroom 'It all seemed to go pretty quickly, it was sort of within about half an hour, everything was gone,' he said. 'It's something you think is so normal and it can do so much damage.' They say they had purchased the new device only about one year ago, and were using the Apple charger which came in the box. Their daughter's toys were destroyed. West Australian Department of Fire and Emergency Services spokesman Mark Hayes said he personally charged his phone near the kitchen sink, so a water source was close by should the phone overheat and ignite. 'Know what you've put on charge and turn it off before you leave the house,' he said. 'Don't leave anything on charge at all while you're not home.' Their daughter's toys were destroyed in the blaze at their Jarrahdale home (pictured) There are lithium ion batteries in millions of devices across Australia, including rechargeable vapes, laptops, phones and tablets, gaming consoles, e-scooters and camping equipment. 'All types of batteries present risks, however Li-ion battery failure can be particularly catastrophic, due to the flammable and volatile liquid electrolyte solution within a Li-ion battery,' a report by the ACCC in 2023 stated. There is no single consolidated national database of lithium ion-related fires, because each state has it's own fire service. However, there were more than 200 lithium ion related battery fires in New South Wales in 2023. Using a charger not made by the device manufacturer, and overcharging the device, seriously increases the risk of a fire. Tens of millions of mobile phone users could get higher bills if the tie-up between Vodafone and Three goes ahead, the competition watchdog has warned. The firms, which announced the 15billion deal last year, will be forced to address issues highlighted in a probe by the Competition and Markets Authority (CMA). It also flagged concerns about reduced levels of customer service, as well as a substantial lessening of competition by cutting the number of UK mobile network operators from four to three. A merger between Vodafone and Three would create the UKs largest mobile firm and will serve around 27million customers, surpassing EE and Virgin Media O2. Vodafone and Three claimed the merger was vital to fix the UKs dysfunctional mobile market, with the firms lining up 11billion of digital infrastructure investment. Tens of millions of mobile phone users could get higher bills if the tie-up between Vodafone and Three goes ahead (stock image) The firms will be forced to address issues highlighted in a probe by the Competition and Markets Authority (CMA) But the CMA will now make a ruling on December 7. It also said it had doubts about the companies promised investment package. It comes as an expert warned that 6G internet connectivity was not as imminent as some telecom firms claim. The expert also claimed it could be at least a decade away. Eddie Ball, of the University of Sheffield, said the UKs infrastructure would not support a network that could be 100 times faster than the existing 5G. Google set up a 'nefarious' project codenamed Jedi as its digital advertising business came under threat, a US court heard. Bosses knew internet publishers wanted to 'keep Google at bay' by using a new way to sell ads, emails allegedly show. Executives expressed fears that Jedi set up to undermine the new sales system, which made publishers rely on Google less would be seen as 'nefarious' and 'self-serving', but it went ahead. In an antitrust trial in Virginia brought against Google by the US Department of Justice, government lawyers allege the firm controlled the market for online display advertising and was anti-competitive, which it denies. Google owns the technology used by most publishers to sell ad space, the main system they use to buy that space, and the biggest exchange where auctions are held to buy and sell the adverts. An antitrust trial in Virginia has been brought against Google by the US Department of Justice Google CEO Sundar Pichai testifies before the House Judiciary Committee in 2018 Government lawyers allege the firm controlled the market for online display advertising and was anti-competitive, which it denies. The Department of Justice wants Google to be forced to sell off part of its business The exchange, AdX, takes a 20 percent cut of each advertising dollar. The complex case is being heard in a federal court in Alexandria, Virginia by Judge Leonie Brinkema, without a jury. The Department of Justice wants Google to be forced to sell off part of its business. The case continues. Cross-Strait event celebrating Mid-Autumn Festival held Xinhua) 09:20, September 14, 2024 FUZHOU, Sept. 12 (Xinhua) -- Over 200 people from both sides of the Taiwan Strait participated in an event on Thursday to celebrate the upcoming Mid-Autumn Festival, one of China's most important traditional holidays, in Fuzhou, the capital city of Fujian Province. Song Tao, head of both the Taiwan Work Office of the Communist Party of China Central Committee and the Taiwan Affairs Office of the State Council, met with major Taiwan guests attending the event, including Andrew Hsia, vice chairman of the Chinese Kuomintang. It is a tradition for Chinese people to reunite with the whole family and celebrate the festival, Song said. "We will uphold the one-China principle and the 1992 Consensus, resolutely oppose 'Taiwan independence,' actively boost the cross-Strait flow of personnel as well as exchanges and cooperation in various areas, and continue deepening the integrated development across the Strait," he said. The compatriots across the Strait are all Chinese and close as family, Hsia said, calling for cherishing the opportunities of peaceful development, building consensus and forming future-oriented visions, thereby boosting the positive development of cross-Strait relations. Guests from Taiwan hailed the mainland's relevant policies to advance cross-Strait integrated development and facilitate cross-Strait travel, and expressed their hope for peaceful cross-Strait relations. (Web editor: Tian Yi, Liang Jun) A woman fell into an uncovered drainage hole on Thursday on the Southwest Side and suffered a knee injury, according to the San Antonio Fire Department. mbbirdy/Getty Images A woman strolling through a Southwest Side neighborhood fell through an exposed drainage hole on a sidewalk, according to local news reports. The San Antonio Fire Department told KSAT-TV that the incident occurred on Thursday at the corner of Brunswick Boulevard and Packard Street when an unidentified woman fell 6 feet into a drainage hole, injuring her knee. The report states the woman was rescued from the hole. Advertisement Article continues below this ad Neighbors in the area told KSAT-TV that uncovered drainage holes are an ongoing issue in this area, adding that people have been removing the coverings. A local business owner said one of his employee almost fell into an uncovered drainage hole last week. The fire departments spokesperson said a city crew was called to the scene and welded a new drainage hole cover, the report states. A San Antonio Public Works Department statement confirmed that uncovered drainage holes have been an issue in this neighborhood, noting that four covers had to be replaced recently. Advertisement Article continues below this ad It appears a non-authorized individual removed multiple manhole covers in this neighborhood, the department told KSAT-TV. We have searched the area and replaced and locked down the missing city drainage covers. Residents are encouraged to call 311 if they find any missing covers. An army drummer who marched with the famous Irish Guards wolfhound mascot Seamus has been discharged after testing positive for cocaine. Ashley Dean was dismissed by bosses after tests came back positive for the Class A drug. Dean regularly marched with the wolfhound, formally known as Turlough Mor. The mascot has been seen being stroked by the Irish Guards' Colonel of the Regiment - the Princess of Wales. He leads important parades including the annual St Patrick's Day march, in which service personnel are presented with shamrocks by celebrities including Catherine. Irish Guards drummer Ashley Dean handling the regiment's mascot Irish Wolfhound Seamus. Dean has been discharged from his post for taking cocaine The annual St Patrick's Day parade at Mons Barracks. An army spokesperson said the use of drugs in the prestigious regiment was 'unacceptable' A source told the Sun that the army is keen to remove those who fail compulsory drug tests speedily, given the reputational risks involved given the battalion's contact with important figures such as royal family members. 'He would have been around the royals and foreign leadership figures he would have been meeting them', the source said. It added that kind of behaviour 'brings a bad name to the battalion'. 'Being in such a prestigious regiment, he should know better.' Catherine, Princess of Wales, who is Colonel of the Regiment, at the St Patrick's Day Parade at Mons Barracks, Aldershot Founded in 1900, the Irish Guards is one of the Foot Guards regiments of the army. Nicknamed the 'Micks' or 'the Fighting Micks', they are one of five groups that can be called up to 'troop the colour' during the King's birthday parade in June. An Army spokesperson said drug use within the armed forces is 'unacceptable' and 'incompatible with military service'. They added: 'Any service personnel caught taking drugs can expect to be discharged. 'We have a number of measures in place to prevent drug use including compulsory drug testing.' An internal investigation by the Secret Service confirms multiple catastrophic security breakdowns took place ahead of the attempted assassination of Donald Trump. Thomas Matthew Crooks, 20, fired eight bullets at the crowd, striking Trump's ear and hitting three rally attendees, one fatally, on July 13 in Butler, Pennsylvania at a rally. Officials, in a new report, have called the entire operation to protect the former president 'alarmingly slipshod' and its communications system having 'significant weaknesses'. Much has already been revealed about the haphazard communication between local police but the investigation uncovered that Secret Service had no access to real-time updates by local police. When nearby authorities were contacted about a suspicious man showing up at the rally it was not heard on Secret Service Radio, which is used by the service instead of the Military-supported systems used to protect the president and vice president. An internal investigation by the Secret Service confirms multiple catastrophic security breakdowns took place ahead of the attempted assassination of Donald Trump Officials, in a new report, have called the entire operation to protect the former president 'alarmingly slipshod' and its communications system having 'significant weaknesses' that led to the president getting shot at The counter-snipers who eventually took Crooks out were initially told to send photos via text message to just a single official with the Secret Service, meaning an all points bulletin could not have been sent out. This lax activity despite the fact that the police had seen Crooks carrying a range finder and said he was behaving strangely. No one with the Secret Service was then able to hear about the authorities attempt to find Crooks after he was spotted when Trump started his speech and never secured the roof of the AGR building he used to fire away, senior government officials told the Washington Post. The investigation allegedly went beyond the shooting, however, with the revelation that Secret Service dragged its feet on increasing security for Trump during the campaign, despite an Iranian plot to kill American political candidates. American leaders like Senator Richard Blumenthal, who is on the Senate Homeland Security and Governmental Affairs Committee, have said that they expect a bombshell from the investigation's findings. 'I think the American people are going to be shocked, astonished, and appalled by what we will report to them about the failures by the Secret Service in this assassination attempt on the former president,' he said Thursday. Already, heads have begun to role within the organization after disgraced Director Kim Cheadle resigned following the shooting. The Secret Service confirmed Assistant director of the Office of Protective Operations Mike Plati resigned ahead of the report's release Friday, while senior executive John Buckley and a senior, unidentified Pittsburgh-based agent are set to retire. Thomas Matthew Crooks, 20, fired eight bullets at the crowd, striking Trump's ear and hitting three rally attendees, one fatally, on July 13 in Butler, Pennsylvania at a rally In August, a whistleblower is claiming that the lead Secret Service agent in charge of Donald Trump's deadly Butler, Pennsylvania, rally was 'inexperienced' and 'failed to implement appropriate security protocols.' This past week, a bombshell congressional report claims would-be Trump assassin Thomas Crooks was incapacitated by a local cop before he was killed by a Secret Service sniper. Two months after Crooks shot the former president's ear at a rally in Butler, Pennsylvania, a preliminary report from Rep. Clay Higgins offered a differing narrative to the official one pushed by the FBI. While it was initially claimed that Crooks was shot in the head within seconds by a Secret Service sniper, Higgins' report claimed it was actually a local SWAT operator who stopped the gunman's hail of bullets. The congressman said the local cop's shot 'hit Crooks' rifle and fragged his face/ neck/ right shoulder area from the (gun) stock breaking up', which meant Crooks was unable to keep firing before he was killed. It comes amid mounting scrutiny on the FBI and Secret Service's investigations into the shooting, weeks after Higgins also revealed Crooks' body was mysteriously cremated with approval from the FBI after just 10 days. A bullet clipped the former president's ear while two others were critically wounded and former firefighter, Corey Comperatore, tragically lost his life while heroically defending his family from the incoming fire. Trump recovered from his wounds and continued on with his campaign, appearing at the Republican National Convention two days later. JK Rowling last night called for taxpayers' money to be 'withheld' from a rape charity after a trans woman quit as boss of a support service for victims. Mridul Wadhwa stepped down after a damning review found the Edinburgh Rape Crisis Centre (ERCC) which she headed had hindered victims' access to biologically female counsellors. A report commissioned by umbrella body Rape Crisis Scotland (RCS) condemned the centre for insisting that traumatised rape victims, who can be as young as 12, must specify if they do not want support from someone born a man. Campaigners had called for Ms Wadhwa, who is biologically male but identifies as female, to be removed and yesterday she quit after three years in the job. Writing on X, Ms Rowling said 'government funding for Rape Crisis Scotland should be withheld if a single-sex service can't be guaranteed, because that's what the overwhelming majority of female survivors want and need'. JK Rowling last night called for taxpayers' money to be 'withheld' from a rape charity after a trans woman quit as boss of a support service for victims Mridul Wadhwa stepped down after a damning review found the Edinburgh Rape Crisis Centre (ERCC) which she headed had hindered victims' access to biologically female counsellors She added: 'This problem starts at the governmental level. Scotland's ruling party, the SNP (for which Mridul Wadhwa stood as a council candidate), has embraced gender ideology wholeheartedly, dismissing all evidence that the most vulnerable women are suffering as a result.' The author questioned why RCS boss Sandy Brindley who once called Ms Wadhwa an 'amazing sister' is refusing to quit. Ms Rowling said: 'The government continues to fund a service dominated by ideologues; vulnerable women have been denied help; and Brindley and Wadhwa continue to draw their salaries.' Ms Wadhwa resigned after the report, by charity sector consultant Vicky Ling, found her to be domineering and said she 'did not understand the limits on her role's authority, (or) when to refer decisions to trustees'. She was portrayed as incompetent, overseeing an organisation with systemic failures. Yesterday the board of the ERCC said it, together with Ms Wadhwa, had 'decided that the time is right for a change of leadership'. The review was triggered after an employment tribunal found ex-ERCC employee Roz Adams had been constructively dismissed over her 'gender-critical beliefs'. Although rape crisis centres are autonomous, they sign up to the standards of RCS. RCS said it was 'extremely concerned' that for around 16 months, ERCC 'did not provide dedicated women-only spaces, as required by the national service standards'. ERCC's board said: 'We are committed to putting things right and implementing the recommendations in the report.' The Scottish Government awarded funding of 6million to RCS for 2022-25. Writing on X, Ms Rowling said 'government funding for Rape Crisis Scotland should be withheld if a single-sex service can't be guaranteed, because that's what the overwhelming majority of female survivors want and need' The Scottish Government's Equalities Minister Kaukab Stewart (pictured) said: 'We continue to fund ERCC to support survivors of rape and sexual assault, as we do for rape crisis centres across the country' Ms Brindley was asked yesterday whether she would quit. On her behalf, a spokesman said: 'RCS takes any concerns about poor practice within services for survivors extremely seriously. 'We will now be working with ERCC to ensure the recommendations of the review are implemented.' Ms Wadhwa was contacted for comment. Equalities Minister Kaukab Stewart said: 'We continue to fund ERCC to support survivors of rape and sexual assault, as we do for rape crisis centres across the country.' In 2024-25, ERCC was awarded 405,899 through the government's Delivering Equally Safe fund and 384,200 of Covid emergency funding to cut waiting lists. University to end in-person lectures for 'most' students A decision by an Australian university to ditch face-to-face lectures with students will mark 'the death of campus life', furious staff members have said. Adelaide University announced this week it will ditch in-person lectures for 'most students' when the campus launches in January 2026. Traditional face-to-face lectures will be replaced 'by rich digital learning activities' which will be 'self-paced' and 'self-directed'. Courses will have a 'common digital baseline' and digital learning is expected to make up a large portion of coursework by 2034. 'These activities will deliver an equivalent learning volume to traditional lectures and will form a common baseline for digital learning across courses, providing a consistent experience for students,' the University of Adelaide said in a statement. 'These asynchronous activities will be self-paced and self-directed, utilising high-quality digital resources that students can engage with anytime and anywhere.' Activities such as tutorials and workshops however, 'may be delivered on-campus to create a rich cohort experience, or in instances where digital delivery provides the best outcomes for students, through the online learning space'. Adelaide University is a merger of the University of Adelaide and the University of South Australia, combining the state's two largest universities. Adelaide University will not offer in-person for 'most students' when it launches in 2026 (pictured is the University of Adelaide) Traditional face-to-face lectures will be replaced 'by rich digital learning activities' which will be 'self-paced' and 'self-directed' (pictured, students attend a lecture in Melbourne) Division secretary of the National Tertiary Education Union's (NTEU) South Australia branch, Dr Andrew Miller, said moving lectures online has made staff 'furious'. 'We were promised the new university would be co-created with staff, students and community stakeholders,' Dr Miller told The Guardian. 'This decision sidestepped that commitment. Co-creation means giving agency and empowerment to collectively build the university.' Dr Miller claims staff were not properly consulted on the decision and that tutors should be allowed input on learning programs. He said flexibility between online learning and face-to-face learning was better for students, some of whom benefit more from one or the other. National president of the NTEU, Dr Alison Barnes, told the publication that the decision will mark the 'death of campus life'. Dr Barnes said students could miss out on critical feedback that they could normally ask staff in person about after face-to-face lectures. Online learning does not facilitate the same kind of easy access, she added. Some students at the University of Adelaide (pictured) said face-to-face lectures allowed them to ask lecturers questions in-person and motivated them to get out of bed Some students who are currently studying at the University of Adelaide said they felt uncomfortable with the change. 'Face-to-face lectures are a really good motivation to get people out and at uni [and to] have that separation of home and school,' one first-year student told The Advertiser. 'It's good to be there, you can ask questions to the lecturers, you can go up to the lectures. You dont have to email and wait six days for an email back.' An Adelaide University spokesperson said modern students required flexibility and that online learning is the best provider of that. 'Universities have been increasingly responding to student needs for flexible delivery over the years,' the spokesperson told Honi Soit, the University of Sydney's student newspaper. 'Lectures are passive learning activities that can be delivered online to maximise flexibility for students without impacting learning quality.' Daily Mail Australia has contacted the University of Adelaide for comment. Human remains recovered from a remote part of a Arizona mountain range have been identified as that of a teen who went missing five months go. Peoria Police confirmed that the remains of 16-year-old Bradley Klose were found in Deem Hills Recreation Area on Thursday. Klose was last seen on April 8 in Peoria after he left his place of walk and was spotted on surveillance cameras leaving on foot. Investigators said he was on the autism spectrum and that his family were concerned for his safety as it was 'not typical behavior'. In a statement to AZFamily, his family said: 'This is a heartbreaking end to the search for Bradley.' Bradley Klose was last seen on April 8 in Peoria after he left his place of walk and was spotted on surveillance cameras leaving on foot Peoria Police confirmed that the remains of Klose were found in Deem Hills Recreation Area on Thursday 'Our family is in mourning and kindly asks for privacy as we work through this difficult time. 'Though this is not the outcome we had hoped for, we praise God for answering prayers and shining a light on Bradley. 'We would like to thank all of our friends, neighbors, the community and the Peoria police Department for not giving up on the search.' Police are handling the investigation into his death with no suspects wanted in connection. Officials told the outlet that there does not appear to be any foul play or suspicious circumstances regarding his death. A statement from authorities said: 'This is obviously not the outcome that everyone was hoping for, and we would like to extend our condolences to Bradleys family who has since been notified of his passing. 'We would also like to thank the community for their concern and assistance.' Police are handling the investigation into his death with no suspects wanted in connection Since news of his passing was announced, social media users left tributes to the teenager. One person posted: 'I hate this update. I was praying for a happy ending. He went to my kiddos school. RIP Bradley prayers for his family.' Another added: 'I am so sorry to hear this news. I'm sure it brings closure to the family, but I cannot imagine what these folks are going through. 'As a father of a child on the spectrum, this is my worst fear. RIP Bradley, and may the Klose family rest easier at night now. You'll be in our families thoughts and prayers.' A San Diego homeless shelter has been forced to pay $43,000 to a homeless man who was wrongly told that he would not be able to live with his emotional support cat and then told he also needed to show documentation for a service dog. The man 'provided a copy of a letter from his medical provider to support the request' to live with his two pets, but the shelter insisted on further proof. The Rescue Mission, a non-profit homeless shelter and recovery center that has been helping homeless people in the area since 1955, asked for the man to give proof of the dog's service training. Such documents are not a requirement under California law, nevertheless the man was kicked out of the 12-month residential rehousing program the following day despite having nowhere else to go. A San Diego homeless shelter has been forced to pay $43,000 to a homeless man who was wrongly told that he would not be able to live with his emotional support cat and then told he also needed to show documentation for a service dog Together with the handing over of tens of thousands of dollars, the Rescue Mission will now have to revise its animal policy whilst it staff are to take part in a training program about the rights of disabled people. The Mission does not have to admit wrongdoing. 'Whether it's for a visual impairment or ongoing anxiety, assistance animals play a critical role in helping Californians navigate their disabilities,' Kevin Kish, director of the California Civil Rights office, who took on the man's case, said in a statement. 'Their use to assist those with disabilities in their homes is protected under the law, regardless of the animal's size, breed, or weight.' A 2022 state law made it easier for homeless people to bring their emotional support animals to shelters and public places. 'Federal and state law fair housing laws have for a very long time protected people with disabilities. And part of that is reasonably accommodating them, including with animals, support animals and service animals,' Kish said. Together with the handing over of tens of thousands of dollars, the Rescue Mission will now have to revise its animal policy whilst it staff are to take part in a training program about the rights of disabled people Support animals are often confused with service animals however the two are actually different. Service animals are trained for specific tasks. Emotional support animals on the other hand provide comfort. 'But anyone can have an emotional support animal. And there isn't actually a certification process. And for this reason. I think there's a lot of confusion,' Kish explained to KPBS. Vice president of programs at the Mission, Paul Armstrong, has declined to comment specifically on the settlement but insisted the non-profit does accept all animals at several locations although there are some caveats at the downtown location. 'Due to the facility constraints and the nature of our 12-month residential program we provide reasonable accommodations for emotional support and service animals,' Armstrong told the San Diego Tribune. Rescue Mission, a non-profit homeless shelter and recovery center, has been helping homeless people since 1955, asked for the man to give proof of the dog's service training 'We understand the importance of animals in people's lives and try to honor that,' Armstrong added. The man, who was represented by the Legal Aid Society of San Diego, has not been publicly identified. Disabled residents are usually allowed emotional support animals, although some people may need to show documentation explaining why it is needed. Some shelters do have rules that prohibit pets and it can prevent them from being allowed to stay. Other have more relaxed policies including O Lot, a camping area close to Balboa Park. The shelter has 65 dogs, 42 cats, a bird and a guinea pig - however a whole new set of problems has now emerged after six litter were born. Drivers caught using their phone behind the wheel will no longer receive mercy from police in one Australian state who until recently were only handing out warnings. Motorists in South Australia will soon be fined $556 and docked three demerit points if they are spotted using their phones by mobile phone detection cameras. Police have been trialling the new cameras throughout 2024 and have warned drivers they will be fined following a three-month grace period. The grace period officially ends on Tuesday, September 19. The cameras are part of a $16million plan to reduce road deaths in SA with the first first mobile phone detection devices introduced in January. In a two-month trial earlier this year 71,044 drivers were caught using their phones while driving, with a secondary trial catching another 31,000 motorists in July. South Australian motorists will soon be slugged with a $556 fine and three demerit points if they are caught using a mobile phone while driving (pictured) Traffic Services Branch Superintendent Darren Fielke said the results of the trials had been disappointing. 'The cameras recorded at least one distracted motorist driving with their mobile phone less than every two minutes - this shows the extent of the issue relating to distraction we have on our roads,' Superintendent Fielke said. 'We are giving South Australian drivers ample opportunity to change their driver behaviour and stop using a mobile phone while they are driving through this grace period. 'Distraction, including mobile phone use, is a significant contributor to crashes of all types on our roads. 'Drivers who are not getting the message need to change their driving behaviour very quickly, or theyll lose their licence, which in some cases could be their livelihood, or worst of all kill themselves or another innocent road user.' Kamala Harris served up word salad in a softball one-on-one interview with an ABC affiliate Friday -- just days after the network was ripped for failing to fact check her at the debate. Harris sat for an 11-minute chat with WPVI, a Disney owned-and-operated affiliate station in Philadelphia, after national ABC moderators Linsey Davis and David Muir were accused of bias in her faceoff with Donald Trump on Tuesday. Anchor Brian Taff asked Harris to describe one or two areas where she's different from the president in Johnstown Friday. Instead of offering a policy difference, Harris stated: 'Well, I'm obviously not Joe Biden' and 'I offer a new generation of leadership', adding that things once taken for granted cannot be overlooked anymore. She then finally tried to explain her plans to help families raise children and alleviate the cost of child care. Kamala Harris served up word salad in a softball one-on-one interview with an ABC affiliate Friday after the network's moderators were ripped for failing to fact check her at the debate 'For example, another plan that I have that is a new approach is to expand the child tax credit to $6,000 for young families for the first year of their childs life because that is obviously a very critical stage of development of child, and so my approach is about new ideas, new policies that are directed at the current moment,' she said. 'And also, to be very honest with you, my focus is very much on what we need to do over the next 10, 20 years to catch up to the 21st century around, again, capacity but also challenges.' She also failed to offer a coherent, concrete answer when Taff asked how she was bring prices down. 'Well, I'll start with this. I grew up as a middle class kid. My mother raised my sister and me. She worked very hard. We as Americans have beautiful character. We have ambitions and aspirations and dreams. But not everyone necessarily has access to the resources that can help them fuel those dreams and ambitions'. Harris used a question about the former president's appeal and how she would speak to his supporters to criticize Trump and his leadership style. 'I also believe that I am accurate in knowing that most Americans want a leader who brings us together as Americans and not someone who professes to be a leader who is trying to have us point our fingers at each other,' she said. The vice president suggested that her support from Republican officials, including former Vice President Dick Cheney and his daughter, former Rep. Liz Cheney, is a result of people being 'exhausted' with Trump. 'I think people are more willing now, in light of the hate and division that we see coming out of Donald Trump to say, "Hey, lets put country first" and I think that just makes us stronger and more healthy as a country,' she said. Harris sat for an 11-minute chat with WPVI, a Disney owned-and-operated affiliate station in Philadelphia Republicans were furious at ABC News moderators David Muir and Linsey Davis for refusing to fact check Kamala Harris on her lies about Donald Trump 's views on IVF She offered a few answers when asked to share one thing she would like people to know about her they don't yet know. 'Probably it's not very different from anybody watching right now,' she said. 'I love my family. One of my favorite things that I lately have not been able to do is Sunday family dinner. I love to cook.' Harris also said her best friend from kindergarten 'is still my best friend.' Trump, his running mate Sen. JD Vance and other Republicans have criticized Harris for largely avoiding media interviews or interacting on the record with reporters who cover her campaign events. She and her running mate, Minnesota Gov. Tim Walz, gave a joint interview to CNN last month, which was similarly criticized for failing to give real answers. Her campaign recently said she will begin to do more local interviews, and the National Association of Black Journalists announced Friday that some of its members will interview Harris on Tuesday in Philadelphia. It comes after Republicans were furious at ABC News moderators Muir and Davis for refusing to fact check Harris on her lies about Trump's views on IVF. The vice president is rumored to have close ties to Disney executive Dana Walden and Harris and Davis are alumna of the same sorority. Davis even anticipated Trump's comments on abortion and IVF and said it 'was an obvious thing to get on the record' Davis says that it was a conscious decision to do the fact checks after seeing how Trump and Joe Biden performed in the CNN debate in June. 'People were concerned that statements were allowed to just hang and not [be] disputed by the candidate Biden, at the time, or the moderators,' Davis said. Davis even anticipated Trump's comments on abortion and IVF and said it 'was an obvious thing to get on the record'. She then admitted that they tried but failed to get the candidates on the record every time they claim they told a lie. Muir and Davis repeatedly attempted to fact check Trump during the debate on issues such as the Capitol riot and a claim about migrant crime. However, Harris incorrectly stated that Trump was against in vitro fertilization during the debate. The former president stated that he has spoken out in favor of IVF when it has faced bans at the state level. Former White House Press Secretary Ari Fleischer wrote on social media: 'ABC is making a huge mistake trying to fact check this live. Theyre only proving how biased they are. Harris fabricated an attack on Trump over IVF. ABC sat there and said nothing'. A Trump campaign account pointed out what the debate moderators wouldn't: that Harris wasn't telling the truth. Linsey Davis claimed that Trump was lying when he said that states are allowing for post-birth executions. Muir said Trump claimed falsely that immigrants were eating pets in the town of Springfield, Ohio. Harris was not fact checked by either during the debate. The vice president was apparently satisfied with the result, as the ABC affiliate interview was her first since the CNN chat. Harris has since challenged Trump to a second debate, which both CBS News and Fox News have offered to moderate. Trump has insisted that the pair will never debate again. Walz and Vance will tussle in New York City on October 1, with CBS News' Norah O'Donnell and Margaret Brennan moderating. Most Australians are no doubt familiar with the dreaded sound of flapping wings right before a nasty peck to the head while unknowingly walking by a magpie nest. There have been more than 980 magpie attacks reported to Magpie Alert in 2024 as of Monday, as well as more than 100 injuries. With swooping season under way, Bird Life Australia national public affairs manager Sean Dooley revealed that he'd been swooped many times despite being a bird expert. 'I'm out birdwatching a lot in spring. I probably went 20 years without being swooped once, but four or five years ago I got whacked a couple times, it can happen to all of us,' Mr Dooley said. He said being swooped was 'genuinely terrifying at times' and 'can result in some terrible injuries'; however, understanding the behaviour of magpies is a great first step towards avoiding being attacked. 'It's very easy to think these birds have just gone crazy and they hate everybody, but we know from all the research in almost 100 per of cases it's only the male magpies that swoop,' he said. 'Even then it's only about 10 per cent that swoop...and it's only in that window when there are baby chicks in the nest.' Mr Dooley said negative experiences with people shaped magpies' perceptions, with the birds able to remember up to 30 faces within their neighbourhood and 'stereotype' people. Alarming figures have revealed there have been more than 980 magpie attacks reported to Magpie Alert in 2024 as of Monday '(It can) have flow-on effects for other people in the area... magpies will start swooping people who you look like,' he said. Magpies only swoop within 100m of their nest, with Mr Dooley advising people to move 'quickly and calmly out of the danger zone', emphasising there is 'no need to panic'. Many injuries reported from magpie swooping stem from people's reactions, Mr Dooley said, with people crashing their bikes as they panic. 'Just walk calmly out of that swooping zone...and the swooping will stop,' he advised. 'Walk back the way you've come until you can make sure that you're out of the swooping zone. If people know they're just defending their nest, they know the magpie isn't going to keep chasing them. 'About the worst thing you can do is panic, run around and scream and try and retaliate.' Bird Life Australia national public affairs manager Sean Dooley (pictured) advised people to stay clear of magpies during swooping zone and urged people not to panic Mr Dooley said other measures such as eyes or spikes on the back of a helmet could 'work sometimes' but was 'really hit and miss - excuse the pun'. 'It can help but it's not foolproof,' he said. 'If you are swooped, it does help if you've got say sunglasses or something that you can put on to protect your eyes just in case. 'Best advice is once you're swooped, see where the magpie has gone to... keep an eye on that magpie. It might mean they're less likely to go again because they know you're watching it... you're more able to avoid it if you can see it coming rather than a stealth attack.' Despite more than 980 swoopings reported this year, the number of weekly swoops compared with the six-year average has dropped by 87 per cent, according to Magpie Alert. 'We're not at peak magpie swooping, and can't quite compare to this time last year, but it certainly doesn't seem to be as high as the last couple years,' Mr Dooley said. Despite the number of attacks this year, the number of weekly swoops compared with the six-year average has dropped by 87 per cent (pictured a magpie swooping a bicycle rider) 'It may be the weather - and we can't confirm this as yet - but it's been a bit of a warmer winter in a lot of areas.' Mr Dooley also said there was a peak in swooping reports in 2021, which could have been because of the pandemic. 'It may actually just be because of lockdown, people were out and about in their neighbourhoods a lot more than usual... [That is] settling back down to normal now,' he said. Around 35,000 pupils at primary and secondary schools across England will be unable to take their smartphones into class with them after the managing group running the institutions imposed a smartphone ban. The Ormiston Academies Trust (OAT) says it is introducing the rules because of the 'overwhelming' relationship between excessive smartphone usage and poor mental health amongst the young, according to its boss. Tom Rees, chief executive, says his teachers have seen 'huge and real concerns' about how their pupils are using their phones - with a 'clear correlation' between mental health issues and heavy use of social media. It came after Eton banned smartphones and gave pupils Nokia 'dumbphones', while Ark Alexandra Academy in Hastings has taken the altogether more drastic step of imposing a total phone ban on its grounds. And in Northern Ireland, 10 schools are to trial the use of lockable phone pouches to stop youngsters from being distracted in class - after a school in Hove rolled them out at the start of the new term. Pupils at more than 40 schools run by an academies trust will be banned from using their smartphones during the day (stock photograph) Tom Rees, chief executive of the Ormiston Academies Trust, said there was 'overwhelming' evidence linking excessive smartphone use with poor mental health among the young Recent studies have suggested a fifth of British teenagers have a 'problematic' relationship with their mobile phone (stock photograph) Poll Should smartphones be banned in schools? Yes No Should smartphones be banned in schools? Yes 968 votes No 57 votes Now share your opinion OAT already bans smartphones at its other schools, which include primary, additional needs and alternative provision schools. Its plan will affect eight of the group's secondary academies in the first instance, with the remaining 24 secondaries following suit after consulting with parents. Mr Rees told The Guardian, which first reported on the plan: 'Not all mobile phone use is equal and the relationship between that and adolescent mental health, we think, is overwhelming. 'There is a responsibility for society to respond and a responsibility for schools to make it harder for children to access inappropriate content through the school day and restrict the draw of social media.' Images published by the newspaper show how pupils' smartphones are placed into plastic boxes labelled with teachers' names and locked away in a wooden cabinet in a school office. Mr Rees added that immediate access to smartphones was ultimately proving a distraction to malleable young minds. He said: 'There's evidence that tells us that even if your phone is in the same room, your brain is leaking attention... That is impacting young people's ability to learn. An increasing distraction is catastrophic for the process of learning.' A British study published last month suggested a fifth of teenagers have a 'problematic' relationship with their phone - and that those who use their device to excess are more likely to have anxiety, depression or insomnia. The authors, a group of experts from King's College London, say many young people are desperate to wean themselves away from their phones - and suggest turning off notifications or even leaving phones in another room. OAT's move comes as governments around the world mull over whether to impose restrictions on young people's access to the internet. On Tuesday, the Australian government promised to introduce legislation this year to enforce a minimum age for children to access social media. Prime Minister Anthony Albanese said the government would soon trial age verification technology with a view to banning children from opening social media accounts. The line would be drawn between the ages of 14 and 16. The Netherlands has banned phones, tablets and smartwatches from classrooms, while the French government is trialling the surrender of smartphones by pupils until the end of the school day. Technology Secretary Peter Kyle said he was 'looking very closely at the Australian experience'. He told BBC Radio 4: '(Smartphone usage) is making some young people increasingly vulnerable. We don't have yet much evidence about what action makes a big difference in response or is indeed effective. 'So I'm looking very closely at the Australian experience and I'm open-minded. I would do anything to keep young people safe.' In February, the Department for Education (DfE) issued guidance which said 'we owe it to our children to do what we can to remove distractions and enable them to be fully present and engaged in the classroom'. 'We also owe it to our pupils to keep them safe at school,' then Education Secretary Gillian Keegan said, adding one in five pupils had experienced bullying online while one in three said phones are used in the majority of lessons without permission. However, the guidance is just that - advice that schools are not legally required to follow, meaning many have done little to combat phone use in class. Australian prime minister Anthony Albanese (pictured) has pledged to introduce legislation limiting young people's access to social media Other countries are also considering legislation that could see smartphone access banned in classrooms (stock photograph) But some schools have already taken action to combat phone use. Ark Alexandra Academy has vowed to use airport-style metal detector wands on pupils to ensure they aren't using phones - with the threat of confiscation for a month looming over any child who dares to bring their device in. School bosses have suggested concerned parents who want to know their child's whereabouts tag their young ones with GPS trackers instead - but the move has not impressed some. One concerned single parent told the Argus: 'My daughter is in year nine and has special educational needs. 'She sometimes has trouble getting to and from school and my concerns are I won't be on the end of the phone to give her some reassurance. 'I think it's horrifying. My daughter has never been told off for having her phone on her. It's very disempowering both for her and for me.' Rhys Spiers, executive principal of Ark Alexandra, said it had been left with no choice but to impose a ban because of the size of the school. He said: 'We explored various options to safely store students' phones on site during the school day, but the operational challenge of securing nearly two thousand phones daily meant these approaches were not practicable.' And Fulham Boys School in west London has banned students from having phones on the premises. Headmaster David Smith said in a letter to parents pupils were being barraged with up to 200 messages or notifications a day. Texas Sen. John Cornyn speaks at a round table during an event held at The Heights Theater touting the Small Business Administration legislation known as the Save Our Stages Act that benefitted area venues during the pandemic Friday, Jul. 29, 2022 in Houston, TX. Michael Wyke / Contributor United States Senator John Cornyn speaks with reporters during the Texas GOP convention at Henry B. Gonzalez Convention Center on Friday, May 24, 2024, in San Antonio, Texas. Josie Norris/San Antonio Express-News U.S. Sen. John Cornyn isnt on the ballot this year, but that doesnt mean hes not campaigning hard this fall. The Texas Republican was in Ohio last week helping U.S. Senate candidate Bernie Moreno campaign, and earlier in the month he made a stop in Philadelphia to help Republican Dave McCormick, who is trying to win a Senate seat in Pennsylvania. And Ill be looking for more opportunities to travel and help candidates where it is helpful, Cornyn said this week on a call with reporters. Advertisement Article continues below this ad That travel is in addition to about $25 million Cornyn said hes raised to specifically help Republicans nationwide as the GOP inches closer to retaking control of the U.S. Senate. Cornyn is also one of three Republicans in the running to be the GOP leader in the Senate next year. U.S. Sens. John Thune, R-S.D., and Rick Scott, R-Fla., are the other two. If Republicans pick up enough seats in November, that could put Cornyn in a position to be the first Senate majority leader from Texas since Lyndon B. Johnson. Earlier this year, Senate Republican Leader Mitch McConnell, R-Ky., announced he would not seek another term in the position. A Senate majority leader has vast influence over the national agenda by deciding which bills can even make it to the floor of the Senate for a vote. Advertisement Article continues below this ad Cornyn, a 72-year-old Houston native, said the nature of the race for Republican leader is not a typical campaign. Most of the work is done in one-on-one conversations with Republican members of the Senate who will vote by secret ballot at some point after the November election on whom they want as the leader. Cornyn said hes picked up some early commitments from members but is trying to grow that. Millions of the money hes raised comes from oil interests in Texas. Paul Foster, chairman of Western Refining in El Paso, Kelcy Warren, chairman and CEO of Energy Transfer Partners, and real estate developer Ross Perot Jr. and his wife Sarah Perot are the top donors to Cornyns political action committee that is supporting the other candidates. All gave at least $300,000 to the Cornyn Victory Committee. Thune announced earlier in the week he was sending $4 million from his campaign account to the National Republican Senatorial Committee to help elect other Republicans around the nation. Scott, meanwhile, is one of the Senates wealthiest members. He is reported to have committed to maxing out his donations to the NRSC this year to help Republicans take back the majority. Advertisement Article continues below this ad Scott also has Texas ties. He earned his law degree at Southern Methodist University, practiced law in Dallas and later got into the hospital industry in Texas, where he built his fortune. Currently, Democrats hold the majority in the Senate by one seat, plus have Vice President Kamala Harris to break ties in Senate votes. Republicans are already in a good position to flip one seat in West Virginia, where Sen. Joe Manchin, a Democrat, is not seeking reelection. Former President Donald Trump carried the state easily in 2016 and 2020. Tight races in Montana and Ohio, states Trump won in 2020, are considered two other potential pickups for the GOP. But Republicans are bracing for tight races in Florida and Texas. U.S. Sen. Ted Cruz is facing a strong challenge from Democrat Colin Allred. Public polling shows Cruz leading Allred, but Cornyn said he expects it to be one of the most expensive races in Texas history because of how much national Democrats are trying to help Allred. Advertisement Article continues below this ad Cornyn says hes ready to help Cruz however he can, and is determined to make sure a Democrat doesnt win a Senate seat in Texas for the first time since the 1990s. An anti-vaxxer who called for a violent attack on Professor Sir Chris Whitty to turn him 'into a vegetable' and the 'execution' of political figures faces jail after being convicted of terrorism. Patrick Ruane, 55, was found guilty of two charges of encouraging terrorism at the Old Bailey on Friday. The conspiracy theorist had sent a series of Telegram posts advocating the use of weapons, guns and explosions. He was cleared of collecting information useful to a terrorist, included a manual entitled Anon - How To Make A Jolly Roger, which was said to provide viable instructions on making Semtex. The jury deliberated for seven hours and 21 minutes to reach guilty verdicts by a majority of 10 to two. Anti-vaxxer Patrick Ruane (right) who called for a violent attack on Professor Sir Chris Whitty to turn him 'into a vegetable' faces jail after being convicted of terrorism Judge Richard Marks KC adjourned sentencing until November 8 and granted Ruane conditional bail, but stressed that his position was 'very serious'. Prosecutor Julia Faure Walker said it was likely the Crown would argue Ruane had specifically targeted individuals, such as Sir Chris, the chief medical officer for England, and the chief executive officer of Pfizer. Ruane had replied to a post about Sir Chris, saying: 'The weakest point of the scull (sic) is the back of the scull (sic) and all it would take is riding a bike very fast and whacking target over the back of head with a rounders bat but a mace (a piece of metal ball and chain) would be way better which if done right would turn said target into a vegetable for the rest of its life.' And in response to the creator of the AstraZeneca vaccine getting a standing ovation at Wimbledon, he commented: 'It's a shame there was not a sharp shooter to take that f****** POS out.' Ms Faure Walker had told jurors that Ruane was 'angry, frustrated and upset' about lockdown policies and the vaccine rollout when he posted messages to thousands of Telegram users in 2021. He believed conspiracy theories about a 'hidden agenda' and was particularly focused on manufacturers of the Covid vaccine and those who administered jabs, she said. In one post, Ruane wrote: 'I'm all for hunting them down and f****** executing them where they stand as too many people have f****** died and it's not going to stop until we start killing them back.' When someone posted about not allowing a 'satanist puppet' to come near his children with 'that poison jab kill shots', the defendant encouraged others to 'find where they live put a kill squad together and shoot' them in their beds. In response to another post, he advocated burning 'all the big pharmas offices manufacturing plants and infrastructure' to the ground. The court heard Ruane referred to executing politicians, saying the manufacture of ammunition for sniper weapons 'could end corrupt politicians and Davos scum in one shot'. Ruane, an audio producer who worked in films, posted images of Semtex and when asked about delivery, wrote, 'why deliver when you can make it yourself?' jurors were told. Ruane was said to be 'angry, frustrated and upset' about Covid lockdown policies and the vaccine rollout when he posted the inflammatory messages on Telegram. Pictured: Anti-vaxxers clash with police in 2021 Britain's Chief Medical Officer for England Chris Whitty, pictured in 2020, became a target for Ruane and his views on Covid policies He called for an 'IRA play book' to be implemented after then-prime minister Boris Johnson extended powers for a further period. The defendant also suggested destroying 5G communications, saying in one post: '5g towers are easy, Semtex, easy to make recipies (sic) on the www.' Ms Faure Walker had told jurors that the posts spanned many months and encouraged serious violence and disruption designed to influence the government or intimidate a section of the public. Ruane's posts reached a 'very large audience' through two Telegraph chat groups, one of which had 18,000 users and the other 8,000, she told jurors. She suggested some of those who viewed messages could 'have a range of susceptibilities', adding the posts could have spread more widely by being forwarded on by others. The defendant's electronic devices were seized when police went to his flat in November 2021. When he was interviewed by police, he referred to making 'props' for a film, but did not elaborate on why he needed instructions to make explosives for that. He told police he had lost his business during the last lockdown and was drinking a lot. Ruane, of Paddington, west London, had denied the charges against him and claimed his film work gave him a reasonable excuse for having the manual with Semtex instructions. Following the verdict, acting commander Gareth Rees, from the Met's Counter Terrorism Command, said: 'Our investigation found large numbers of posts by Ruane where he was encouraging others to carry out extremely violent acts, all because he didn't like the government's response to the pandemic. 'This wasn't idle chit-chat online - he was encouraging people to seriously injure or kill others, suggesting who to target. 'This case should serve as a warning that if you're encouraging people to commit acts of terrorism, then we will investigate. 'Where we find evidence of this, then there are very serious consequences, something that Ruane is now facing.' Stepping back from royal duties in 2020, Prince Harry gave up much in exchange for personal freedom: the cosy trappings of Frogmore Cottage, financial security, and the reassurance of the Met Police's Royalty and Specialist Protection command. But reports suggest he may have also given up something else as he and Meghan Markle fled to sunny Montecito: a close circle of pals. Reports suggest the Duke of Sussex is increasingly lonely in California and counts on a former royal protection officer, to whom he is now thought to pay thousands of pounds a day for security, as his 'best friend'. David Langdown served as a close protection officer with the Met for 16 years and was assigned to Harry for around a decade - accompanying Harry on his infamous trip to Las Vegas where he had a pool party surrounded by bikini-clad women. But even as the Duke's wild side was tempered with age, 'Langers', as he is known, has been a consistent presence at his and Meghan's side. And after retiring from the public sector he has continued to work for the Duke, becoming one of his closest confidants. David Langdown has been at Harry's side for more than a decade - seen here accompanying the Prince out of a Soho nightclub after a night out in 2011 He became Meghan's protection officer as she and Harry courted and after they wed - seen here at the Duchess' back in New York in 2019 And he was in the front seat of a Range Rover that whisked Harry and Meghan away from Frogmore Cottage in 2022 Langdown accompanied Harry on his infamous 2012 trip to Las Vegas, where he enjoyed the company of bikini-clad women and a game of strip billiards Harry is said to be lonely on the other side of the Atlantic, with his 40th birthday bash expected to largely feature Meghan's Hollywood pals on its guestlist. It is not known whether Langdown will be among those attending, or whether he will accompany the Duke on the holiday he is reported to be taking with friends to mark the end of his fourth decade on the planet. But the ex-Met copper, who is believed to have gotten his start as a beat constable in north London's Stoke Newington, is thought to be a regular visitor to Los Angeles in his role as US Operations Director at private security firm Broadstone Risks. He has been pictured alongside Harry at many key moments in his life in both a public service and mercenary capacity. 'Langers' was alongside Harry as he left a Soho nightclub in 2011; he also joined the Duke on the infamous Las Vegas trip that saw Harry photographed completely naked after playing strip billiards. Mr Langdown was also seen with Meghan after she and Harry courted, accompanying the couple on their first royal trip to Northern Ireland in March 2018 before they were married two months later. He was behind Meghan when she stepped out in New York for a baby shower a year later, noticeably pregnant with young Archie. Mr Langdown is believed to have retired from the Met in the summer of 2022, months after he received the Royal Victorian Medal from Queen Elizabeth II for services to the royal family. Months later, he was in the front seat of a Range Rover transporting Harry and Meghan from Frogmore Cottage having begun his work with Broadstone, a firm set up by former Met officer Peter Miles. It is reported to have protected the likes of Beyonce and Jay-Z as well as pop star Ariana Grande. The firm describes Mr Langdown as having a career 'distinguished by profound commitment and unparalleled expertise' during which he guarded Queen Elizabeth II, the then-Prince Charles and the Duke and Duchess of Cambridge, now the Prince and Princess of Wales. 'Bringing his vast experience and insights to Broadstone Risks in 2022, David now significantly contributes to the companys international operations,' its website reads. 'His focus on royal and government-level protective services enriches Broadstone Risks with a depth of expertise rarely found in the field.' He is an omnipresent force at the Sussexes' engagements, seen here behind Meghan in 2022 at an Invictus Games launch event in Dusseldorf, Germany David Langdown pictured with Prince Harry in London in March 2023. He is believed to primarily work out of California 'Langers', as he is known among friends, became a police officer in the early 1990s and retired in 2022 (pictured in Nigeria as part of the Sussexes' entourage in May) Where the Sussexes go, 'Langers' follows: seen here over their shoulder as they visited Colombia in August A senior security source told MailOnline in 2022: 'Dave is a lovely guy and a very experienced royal protection officer who worked for Harry for many years. 'Harry clearly trusts him - so much so that he accompanied Meghan on her baby shower in New York. He was also with Harry in Vegas.' And since then, he has been an omnipresent force at Harry and Meghan's back: ever present, but never the focus of attention. He lingered at Meghan's shoulder at a 2022 Invictus Games launch event in Dusseldorf, and was at the Duke's back in London in March last year. More recently, Mr Langdown was seen maintaining a watchful eye over the Sussexes as they undertook a quasi-royal tour of Nigeria in May - sternly monitoring the visit from behind a pair of horn-rimmed glasses. And as the pair touched down in Colombia for another tour - one that was criticised for costing south American taxpayers 45,000 - the minder wasn't far behind. Sources say Harry and Mr Langdown are 'thick as thieves', with the security guard relocating his family to California. One source told the Sun: 'The guard is an English guy who moved to Montecito with his family. He and Harry are very close, very tight. As thick as thieves.' But his presence does not come cheap. A security source told the Mirror in 2022 that while Mr Langdown 'is the best of the best' and his relationship with Harry goes back 'donkey's years', the bill for his services is 'certainly...thousands of pounds a day'. Harry is said to spend his days doing school runs and going on bike rides with his security staff, with growing resentment at the Sussexes' public image in contrast to that of his brother and sister in law, the Prince and Princess of Wales. One old friend last month told The Times how Harry is now a 'very angry boy', adding: 'Anyone who knows him feels he'd rather be top of the pops here with everyone loving him, as they do with William and Kate.' The Sussexes and Broadstone were contacted for comment. Footage has emerged showing the disturbing moment police finally caught up with Dominique Pelicot - dubbed the 'Monster of Avignon' after admitting he drugged and then allowed multiple strangers to rape his wife - when he was caught 'upskirting'. The 71-year-old is currently on trial at the Vaucluse Criminal Court in Avignon, where he is one of 51 defendants. Paris Match magazine has published disturbing images of Pelicot being caught taking videos of women under their skirts in a supermarket in Carpentras, south-eastern France. Filmed on September 12, 2020, the footage shows the white-haired grandfather wearing a mask as he places a camera below the skirts of victims picked at random in the Leclerc store. 'No, no, no,' is a woman's reaction when Pelicot is confronted by a security guard, who says 'four clients' have made a complaint. Footage has emerged showing Dominique Pelicot - dubbed the 'Monster of Avignon' - being caught by supermarket security guards 'upskirting' female shoppers. The caption reads: 'We were following him on camera, that's four times!' Pelicot was confronted by a security guard during the incident on September 12, 2020. The caption reads: 'He was filming under your dress' The caption relates how Pelicot had been apprehended after being caught filming female 'customers in a supermarket in Carpentras' Voici les images exclusives de linterpellation de Dominique Pelicot quand il filmait sous les jupes de clients d'un supermarche, le 12 septembre 2020 a Carpentras. Sans lintervention du vigile, ce jour-la, laffaire dite des viols de #Mazan naurait pic.twitter.com/nV89DRlQm1 Paris Match (@ParisMatch) September 13, 2024 Pelicot, dressed in red shorts and a grey T-shirt, is then told to 'not move' because the police are on their way. The grandfather is told he is 'disgusting' and that the women would be asked to testify against him to the authorities. Pelicot is also ordered not to erase the videos until police arrive ensuring that there is sufficient evidence for a prosecution. He was originally fined 100 the equivalent of around 85 for upskirting, as a part of a plea-bargaining process, but then detectives found other incriminating images on his devices. It was while in custody that Pelicot reported a hard drive, hidden under a printer at his home in Mazan, near Avignon, which contained a file called 'Abuses'. Gisele Pelicot arriving at court to her husband's trial in Avignon. Pelicot has been accused of drugging and then allowing multiple strangers to rape his wife between 2011 and 2020 It classified the nickname and telephone numbers of alleged rapists, together with some 3,800 photos and videos of his wife Gisele Pelicot, now also 71, being raped, between 2011 and 2020. Detectives have listed a total of 92 rapes committed by 72 men, 51 of whom have been identified. Pelicot's sex ring involved advertising for 'sex partners' on an online forum called 'Without Her Knowing' on the coco.fr site, which has since been shut down. Of the 83 men involved, 51 aged between 26 and 73 were identified and arrested by the police. Caroline, 45, told the Avignon court on Friday how she believed her father had drugged her too, after police showed her photos of her lying unconscious on a bed in her mother's underwear Caroline and her two brothers David and Florian had enjoyed an idyllic childhood and for most of their lives were blessed with a stable and happy family life Pelicot is said to have sedated his wife by putting Temesta a powerful anxiolytic into her evening dinner. Alleged rapists involved in the case include civil servants, ambulance workers, soldiers, prison guards, nurses, a journalist, a municipal councillor, and truck drivers. Fourteen of the other defendants have admitted rape, while the rest deny any wrongdoing. Last week, Pelicot's legal team insisted he was not well enough to give evidence because of abdominal pain and a suspected bladder infection. He briefly appeared in court on Wednesday morning, but defence barrister Beatrice Zavarro confirmed on Thursday that he was 'still ill' and would not be showing up. Pelicot has been vomiting and fainting in his prison cell, where he has been on remand since 2020, in between visits to hospital for treatment and tests. Ms Zavarro's submissions were accepted by Roger Arata, the trial judge, who said: 'He requires medical treatment and will be unable to attend court for the rest of this week at least.' Mr Pelicot's own daughter, Caroline Darian, 46, described him in court as 'one of the greatest sexual predators' of recent years. Pelicot - dubbed the 'Monster of Avignon' - is said to have sedated his wife by putting Temesta a powerful anxiolytic into her evening dinner She said he secretly photographed her in the nude, along with her two sister-in-laws. In a separate case, Pelicot has been charged with raping and murdering a 23-year-old estate agent in Paris in 1991. He has admitted one attempted rape in 1999, after DNA testing proved a case against him. The Avignon aggravated rape case is due to last until December 21. The last ballot has been cast as polls close in the NSW council elections - but Sydney Lord Mayor Clover Moore spent Saturday afternoon apologising after a rival's campaign signage was removed and replaced with her own. Independent candidate Yvonne Weldon took to social media on Saturday to allege one of Ms Moore's volunteers had taken her corflutes away in Redfern, hidden them in a car and replaced them with signage in support of Australia's longest-serving lord mayor. Ms Moore, who is fighting to retain the keys to Sydney Town Hall after 20 years in the role, apologised for the incident. 'I'm sorry this occurred. This absolutely should not have happened. I'm told the volunteer was instructed to rectify and replace immediately,' Ms Moore said in a statement. Ms Weldon, an Indigenous candidate, said the incident was disappointing, unacceptable and 'emblematic of why we need change'. The elections were already dramatic having been marred by a 'monumental stuff up' which saw 140 Liberal candidates across 16 councils miss out on nomination due to a shocking administrative error in which they weren't nominated in time. Several councils are facing an unavoidable shift to the left after the Liberals' headline-grabbing blunder in which 140-odd endorsed candidates were not nominated. Elections have been axed entirely in 14 council areas due to a lack of candidates. These areas have been declared uncontested areas and candidates will be elected unopposed. Clover Moore apologised to a rival candidate for councillor after her poster was removed from near a Redfern polling booth and replaced with one of Ms Moore's A supporter of Indigenous candidate Yvonne Wheldon found her poster inside someone's car after it was taken down and hung it back up Elsewhere, 140,000 voters in Sydney's fast-growing southwest had the chance to weigh in on the well-publicised fight between Liverpool's Liberal mayor and the Labor state government. Labor had attempted to address alleged serious maladministration and dysfunction in the council and defer elections, only to run out of time due to the mayor's legal challenges. The absence of the Liberals will also likely result in Penrith, Camden, Northern Beaches and Blue Mountains councils shifting left, although the Liberals could win seats in Parramatta. Vote counting for the 2024 NSW local election is under way after the last ballot was cast at 6pm on Saturday and will continue for four hours before resuming on Monday. A third of eligible voters had cast their votes ahead of time as more than five million people made their choice across 128 councils. 'Our staff will have a very long day today after starting early, and are about to start a long night of counting,' acting NSW Electoral Commissioner Matthew Phillips said. The 78-year-old Ms Moore is among the 37 mayors up for election and political analyst Ben Raue cautioned against putting too much stock in recent reports she might not retain her job. Placards are shown during NSW local council elections day at Bankstown Public School NRL player Will Smith poses for a photo during NSW local council elections day at Redfern Town Hall in Sydney 'If you look at Clover's vote, it's tended to bounce up and down,' the founder of the Tally Room election website told AAP. 'It's hard to see who would be the one to beat her.' Earlier Local Government Minister Ron Hoenig urged residents to get up to speed with candidates so they could make an informed decision, with councils spending more than $22 billion a year on services and infrastructure. The first council poll since the national housing crisis took hold was also a test as to whether affordable dwelling policies could succeed at the local level. More than 50 candidates - including Ms Moore - have taken a pledge with pro-development group Sydney YIMBY. David Borger, who chairs a pro-development alliance that includes Sydney YIMBY, said it was outrageous so many were lining up to block new homes 'in the middle of the worst housing crisis we've ever seen'. Not every area was forced to the ballot box, with rural residents in Cowra, Junee and Berrigan enjoying an election-free Saturday after the number of nominations matched or fell short of available seats. Albanian migrants looking to avoid being deported were told to pull their own teeth out in order to be transferred to a more easily-escaped hospital. Two men held at Colnbrook Immigration Removal Centre near Heathrow having come to the UK illegally were given the advise on a TikTok call with someone who claimed to have escaped the unit in 2018. The migrants are due to be returned to Albania in accordance with its returns agreement with the UK, allowing Albanian nationals found in the UK illegally to be repatriated. They were also advised on how to smuggle pliers into the removal centre and which jobs available to detainees would allow the best opportunity to escape. However, there is no evidence to suggest that the proposed methods would work although the men have seemingly been able to illegally smuggle a mobile phone inside the facility previously. Albanian migrants in line to be deported were advised to pull out there own teeth in order to be transferred to a more easily-escaped hospital Two men were seen speaking on TikTok Live, from a cell at Colnbrook Immigration Removal Centre (above) near Heathrow, to another Albanian who claimed to have escaped the centre in 2018 They were also advised on how to smuggle pliers into the removal centre and which jobs available to detainees would allow the best opportunity to escape In a live TikTok exchange seen by The Telegraph, four Albanians understood to be convicted criminals spoke in detail about the layout of Colnbrook and its security measures. Speaking to those on camera, using a profile with the name 'Xhovani', a man with an account nicknamed 'The Sting' advises his compatriots to pull teeth out in order to be moved to hospital, adding that he escaped in 2018 - though here is no public record of an Albanian escaping the centre that year. He said that this method would allow the men to 'disappear' past CCTV. However, it seemed that the two sides of the conversation disagreed on the level of security at Colnbrook, suggesting it may have been made more secure in the last six years. Colnbrook is one of the Home Office's seven immigration removal centres which hold illegal migrants and foreign criminals before they are deported. In the year to March 2024 - the first full year reported since the UK signed a deal with Albania to return illegal migrants to each other - roughly 3,000 Albanians were returned under the fast track returns agreement. 'The Sting' told the men in line to be deported: 'As young boys, you have paid lots of money getting into the UK and its not good at all to be sent to Albania.' He also suggested volunteering for jobs in the kitchens or taking out rubbish as better options for a would-be escapee, but saying they would have to do the work properly for a few days 'to get the trust' of security officers. Their conversation, on TikTok's Live feature which allows people to chat to other users in front of their viewers, ended with one of the men suggesting they continued the discussion in private to go through plans 'properly'. TikTok's community guidelines prohibit any promotion of criminality, and the social media site told The Telegraph it relied on 'relevant authorities' to flag accounts which might be used by people in prison or detention centres. It added: 'We review and will take appropriate action if we have sufficient information indicating violations of local laws.' A spokesperson from the Home Office confirmed that no detainees have escaped from custody recently, adding that staff are 'thoroughly trained and strict protocols are in place' to guard against such events. A police dog that suffered a deep cut to her leg when she was injured by brick-throwing rioters in Southport has been been forced to retire. The animal, named Zoe, required dozens of stitches after she suffered a laceration amid scenes of violence involving hundreds of people on July 30. Mersey Police Dogs said Zoe had recovered and was now 'fit and well', but added the force would 'never ask our dogs to do something they no longer want to do'. The violent unrest in Southport came a day after three young girls were fatally stabbed in the town, with more than 50 police officers injured during the disorder. On Friday evening, Mersey Police Dogs posted on its X account: 'We are sad to announce that following the violent disorder in Southport, PD (Police Dog) Zoe is to retire from service. Mersey Police Dog Zoe (left) has been taken out of service after brick-throwing rioters in Southport caused her to suffer a deep cut to her leg, while Quga is recovering after she suffered burns to her back leg 'Zoe is fit and well but we will never ask our dogs to do something they no longer want to do. 'Thank you for your bravery and service, we wish you all the happiness in retirement.' Following the disorder, Merseyside Police said a dog named Ike was 'recovering after sustaining a cut mouth and swelling to his front leg' and another, named Quga, had 'suffered burns to her back leg'. The Thin Blue Paw Foundation, a charity supporting retired and serving police dogs, revealed in a post that Quga had been bitten, strangled and kicked by an offender in July during a separate incident. A social media post on X said at the time of the riots said: 'Last night three police dogs from Merseyside suffered injuries on duty whilst assisting with the violent disorder that took place in Southport. 'PD Zoe and PD Ike sustained leg injuries from bricks thrown at them, and PD Quga who was bitten, strangled and kicked by an offender at the beginning of this month at a separate incident, suffered further injuries yesterday evening, with burns to her back leg. The Thin Blue Paw Foundation revealed the injuries endured by Zoe (left) and Quga (right) In a post from July, Mersey Police Dogs paid tribute to six dogs injured during the violence 'Thank you for your bravery PDs Zoe, Ike and Quga, we're wishing you a speedy recovery.' The post has been viewed nearly 400,000 views and more than 1,000 comments of support. One wrote: 'This is extremely disturbing and upsetting to hear. 'Wishing them all a speedy recovery and thanking their Handlers for their bravery and professionalism.' Another said: 'Service dogs do an amazing job. People throwing bricks at them?! Rabid and awful behaviour. Hope they recover well.' Mersey Police Dogs also thanked supporters who donated treats and toys in the aftermath of the Southport riots Merseyside Police added that a fourth police dog, named Repo, was spared injury after its handler kicked petrol away. Mersey Police Dogs said it had 'no words for how our officers and dogs were targeted' during the disorder, adding 'our police dogs demonstrated extreme bravery and did all they could to assist colleagues under a sustained and violent attack'. In an update on September 3, the dogs section said Quga had 'finally been reunited with her handler after a slow recovery'. In a post on social media, Mersey Police Dogs added: 'Following a trip to the vets she has been given the all clear and will hopefully be back fighting crime and hunting down criminals soon.' Asda workers are furious over their bosses' decision to play repetitive unlicensed music in store in an alleged cost-cutting move. The supermarket bosses' have swapped their in-store radio for music from unsigned artists which are not licensed- meaning no royalties have to be paid. Staff have launched a petition calling for the 'mentally draining' music to be changed. Staff across the UK flocked to an Asda Reddit forum to express their anger with the new music choice by supermarket, which employees said plays on both day and night shifts. One comment dubbed the new unlicensed music 'corny' and demanded 'real music back' from bosses. After listening to one of the new tracks in store, another said: 'this is literally the worst song I've ever heard'. Disgruntled staff launched a petition in July to ask the supermarket to revert back to their previous radio Asda says it will not replace self-service tills with manned units - but will ensure the remaining old-fashioned checkouts are readily staffed more often An angry shopper also said the songs were an 'instant headache' and most were 'absolutely shocking'. For staff, the songs play on a loop everyday, which led one employee to describe the radio as 'a form of torture.' Another said: 'I'd rather listen to the souls of the damned screaming at me for six hours.' Staff on the Reddit forum have speculated that the change is a cost-cutting measure at Asda, which currently has a multibillion-pound debt with one user commenting that the supermarket 'won't pay the fee to play decent music.' Companies must pay a license to play commercially released music in order to cover the cost of royalties to copyright holders. The supermarket bosses' have swapped their in-store radio for music from unsigned artists which are not licensed- meaning no royalties have to be paid. Users took to Reddit to show their anger over the new changes to Asda's music Previously, Asda had its own in-store radio, 'Asda Radio' which launched in 1991 and was previously known as Asda FM. Disgruntled staff launched a petition in July to ask the supermarket to revert back to their previous radio. With more than 600 signatures, the petition states: 'Asda workers across the nation are getting sick of the royalty free AI generated music. 'It is hindering concentration and causing immense stress for our Asda retail workers. For example, our Asda plays the same 20 songs throughout the day and I know this from working just 12 hours a week. ' I can't imagine how irritating it must be for those working full time.' The statement added: 'Now I leave Asda feeling worked up because I'm exhausted not only from physical work but also mentally drained from listening to whatever they're playing now.' It comes as Asda seeks to turn around its financial performance, managing huge debts and tumbling sales in the past months. An Asda spokesman told The Telegraph: 'We made this change in February to align with our other sites including depots and in-store cafes. 'We appreciate that colleagues have different tastes in music and we always welcome feedback when making decisions about how our stores operate, including the music played on Asda Radio.' An estimated 5,700 prisoners will be released early as Prime Minister Sir Keir Starmer defends the controversial policy. Sir Keir, who is in the United States, blasted the inaction of the previous government for leaving the criminal justice system in such a perilous situation. Critics have said the new Labour government is determined to lock up right wing protesters involved in anti-immigrant protesters. Sir Keir lashed out at former prime minister Rishi Sunak in the Commons, repeating claims he had no choice but to cut the amount of time prisoners would serve behind bars amid urgent efforts to tackle the jail overcrowding crisis. His comments were in response to accusations from Reform UK's leader that Sir Keir was presiding over a two-tier justice system. One inmate was arrested by police moments after he was released from jail. Your browser does not support iframes. One inmate, pictured, was arrested by police moments after he was released from prison One of the most serious offenders to be released early is Lawson Natty who supplied a machete used to kill 14-year-old Gordon Gault in Newcastle in November 2022 Gordon died in hospital six days after he was attacked with a blade in an ongoing feud Gordon's mother, Dionne Barrett, has described herself as 'sickened' by the news Nigel Farage described 'some extraordinary celebratory scenes outside Britain's prisons, where in some cases serious career criminals were released' after pictures emerged of an inmate being sprayed with frothing bottles of bubbly by friends as they left jail. Tuesday's exodus of 1,700 prisoners from jails across England and Wales came after Justice Secretary Shabana Mahmood announced plans in July to temporarily cut the proportion of sentences which inmates must serve behind bars from 50% to 40% as the Ministry of Justice (MoJ) said overcrowding had pushed jails to the 'point of collapse'. At Prime Minister's Questions on Wednesday, Mr Farage said: 'This to make way for, yes, rioters, but equally those who have said unpleasant things on Facebook and elsewhere on social media. 'Does the Prime Minister understand there is a growing feeling of anger in this country that we are living through two-tier policing and a two-tier justice system?' Sir Keir hit back, telling MPs: 'I'm angry to be put in a position of having to release people who should be in prison because the last government broke the prison system. 'The prime minister was repeatedly warned that he had to adopt the scheme that we put in place.' Referring to reports on Alex Chalk's pleas to enact the scheme when in post, he said the former justice secretary 'said if we don't do it we will have to get down on our knees and pray'. Police chiefs also 'made it absolutely clear' to Mr Sunak before the election that he 'needed to take action', he said - in reference to a letter police chiefs sent him in June urging him to put the plan in motion immediately as prison overcrowding was hampering officers' ability to do their jobs. Your browser does not support iframes. Sir Keir Starmer has been criticised over the early release scheme although he placed the blame on the previous government 'That's how bad it was. He (Mr Sunak) delayed and increased the risks,' Sir Keir added. Prisons watchdog Charlie Taylor has warned the scheme is 'risky' and it was 'inevitable' some prisoners released early would reoffend and end up back behind bars. Some who are homeless on release could be temporarily placed in taxpayer-funded budget hotels if there is not enough space in bail hostels and other community accommodation typically used for offenders. The number freed is in addition to the around 1,000 inmates normally released each week. Jay Blades' wife said that 'the world got the best parts of my husband' in a post to Instagram at the end of her 18-month marriage in May. Yesterday, Blades' was charged with engaging in controlling and coercive behaviour against his estranged wife, Lisa Zbozen. The Repair Shop presenter, 54, appeared at Kidderminster Magistrates' Court on Friday West Mercia Police launched an investigation on May 3, the day after Ms Zbozen posted a message on Instagram stating that their marriage had ended after 18-months. In the post to Instagram in May Ms Zbozen said that the end of her marriage was 'really raw' and that she was 'incredibly sad about the whole thing.' The 43-year-old fitness instructor said: 'I dont think Ive ever cried so much. 'I wanted my husband to love me & keep me safe, and I would love him right back...' In the aftermath of the marriage breakdown, Ms Zbozen wrote that she was 'crying as I type this I can't believe this even real'. Jay Blades and Lisa Zbozen married at a beachfront villa in Barbados on November 22, 2022 Blades's wife Lisa Zbozen, a fitness instructor, posted this photograph on Instagram on Friday She said: ' The world got the best parts of my husband...' Yesterday, Ms Zbozen shared an article about Blades' charge to her 158,000 followers to her Instagram story. The couple married in the grounds of a beachfront villa in Barbados on November 22, 2022. The charge sheet against Blades revealed the charges related to a period between January 1, 2023 just 40 days after their wedding - and September 12 this year. The presenter will appear at Worcester Crown Court for a plea and trial preparation hearing on October 11. Jay Blades' found fame on BBC restoration programme The Repair Shop which sees members of the public take worn-out family heirlooms to be restored by a team of experts starring on the show since its launch in 2017. In 2022, a special one-off episode to mark the BBC's centenary saw Blades and the repair team visit the King, when he was still the Prince of Wales, at Dumfries House in Scotland. During The Repair Shop: A Royal Visit, a bracket clock and a piece made for Queen Victoria's Diamond Jubilee by British ceramics maker Wemyss Ware were both fixed and the broadcast later won a daytime Bafta TV award. Jay Blades (left) has been charged with offences against his estranged wife Lisa Zbozen (right) BBC presenter Jay Blades is pictured driving near Ironbridge in Shropshire on May 23 MailOnline understands the BBC is currently further reviewing its schedules. The broadcaster does not have a blanket policy about removing content from iPlayer but this is thought to be unlikely in the case of Blades at the moment. A BBC spokesperson said: 'It would not be appropriate to comment on ongoing legal proceedings.' Blades was last on air on The Repair Shop on Wednesday The show was nominated for the National Television Awards, which took place on the same night. The Repair Shop won the award for best daytime show at last year's event - but was beaten this year by The Chase. News of the case emerged the day after The Repair Shop's social media account announced 'an exciting new live tour' for the show, featuring Blades. BBC star Jay Blades appeared at Kidderminster Magistrates' Court on Friday (file image) In 2022, a special one-off episode to mark the BBC's centenary saw Jay Blades and the repair team visit the King, when he was still the Prince of Wales, at Dumfries House in Scotland Blades appeared in a Channel 4 documentary last month about his friendship with actress Dame Judi Dench, called 'Dame Judi and Jay: The Odd Couple' The Repair Shop - Secrets From The Barn tour 2025, is due to see Blades and other experts from the show appearing around the country from February, including in Newcastle, Birmingham, Sheffield and Salford. Tickets are on sale for about 40. Also today, Buckinghamshire New University (BNU) revealed Blades had resigned from his role as its chancellor 'with immediate effect', having been appointed in May 2022. A statement from BNU thanked the furniture restorer - who studied criminology and philosophy there as a mature student - for 'his loyal service to the university Blades was made an MBE by Charles in May 2022 for services to craft and in recognition of his work promoting heritage craft and restoration in the UK. He was later invited to the King's Coronation at Westminster Abbey in May last year. Blades is a trustee of the Heritage Crafts Association, a charity which aims to safeguard craft skills, of which the King is patron. And Blades appeared in a Channel 4 documentary last month about his friendship with actress Dame Judi Dench, called 'Dame Judi and Jay: The Odd Couple'. Blades also presented 'Jay Blades: The West End Through Time', a series that aired on Channel 5 in July about the history of London's West End. In a statement, West Mercia Police said: '54-year-old Jason Blades of Claverley in Wolverhampton has been charged with controlling and coercive behaviour. 'He appeared at Kidderminster Magistrates Court this morning (Friday, September 13) and has been bailed to appear at Worcester Crown Court on Friday 11th October.' Pope Francis shared his views on Donald Trump and Kamala Harris in a rare move Pope Francis accused both US Presidential hopefuls as being 'against life', describing them as 'one who discards migrants and one who kills children' in a rare voicing of his political views. The 87-year-old pontiff's comments on Donald Trump and Kamala Harris came as he returned to Rome after an epic 12-day tour of the Asia-Pacific. Francis has warned US voters they will need to cast their ballots 'according to their conscience' and decide who is 'the lesser evil'. The Pope had defied health concerns to connect with thousands of believers in Indonesia, Papua New Guinea, East Timor and Singapore. It was Francis's longest trip in duration and distance since becoming head of the world's nearly 1.4 billion Roman Catholics more than 11 years ago. Pope Francis has accused both US Presidential hopefuls as being 'against life' in a rare voicing of his political views The 87-year-old pontiff's comments on Donald Trump and Kamala Harris came as he returned to Rome after an epic 12-day tour of the Asia-Pacific Francis has warned voters they will need to decide who is 'the lesser evil' between the pair In a long and spirited discussion with journalists onboard the papal plane, Francis seemed divided over Trump's anti-immigrant policies and Harris's support for abortion rights. 'Both are against life. The one who discards migrants and the one who kills children. Both are against life,' he said. Former president Trump has promised to round up illegal immigrants and deport them as he seeks a return to the White House in the looming November election. 'Both are against life: Pope Francis has shared his views on Donald Trump and Kamala Harris When in Rome: Pope Francis was back to work as usual on Saturday morning to meet pilgrims in St Peter's Basilica at the Vatican Young followers were amongst the crowd that turned out to greet Pope Francis who has recently returned from his Asia Pacific tour He also paved the way for a 2022 US Supreme Court ruling that overturned Roe v Wade, the 1973 ruling that made abortion a national right for women - a right that Harris has pledged to restore. 'One has to choose the lesser of two evils. Who is the lesser evil? That lady or that gentleman? I don't know. 'Everyone [will] have to think and make this decision according to their conscience,' Francis said. In Washington, Karine Jean-Pierre, spokeswoman for President Joe Biden - himself a Roman Catholic - said that 'obviously the pope speaks for himself, and I don't have any more comments.' 'I have not spoken to the president about the pope's specific comments on this coming election,' she said. During his flight back to Rome, Francis also rejected media speculation by saying he would not travel to Paris in December for the reopening of Notre-Dame Cathedral, which was partially destroyed by a fire in April 2019. He also deplored a lack of progress in negotiations to end the war in Gaza. 'Forgive me for saying so but I don't see any progress being made towards peace,' he told reporters. The Argentine pope's epic four-nation voyage was believed by some to be foolhardy after years of health issues, from knee pain and sciatica forcing him to use a wheelchair to recent bouts of flu and bronchitis. But the voyage clearly energised the pope - who nevertheless at times struggled to keep his eyes open during late-night liturgical readings, or to appear engaged during formal military parades. Pope Francis greets East Timor's President Jose Ramos-Horta during his tour of Asia-Pacific Thousands of Catholic followers turned out in East Timor to catch a glimpse of the Pope Pope Francis greeted Archbishop of Singapore cardinal William Goh on Friday The Pope also met Edwin Tong, minister for culture of Singapore, during his whistle-stop visit In a lively, final inter-religious meeting in Singapore on Friday, Francis joked with young people in the audience, urging them to respect other beliefs, avoid being 'slaves' to technology and to escape their comfort zones. 'Don't let your stomach get fat, but let your head get fat,' the pope said, raising a laugh from the crowd. 'I say take risks, go out there,' he said. 'A young person that is afraid and does not take risks is an old person.' The papal leader took part in an interreligious meeting with young people at the Catholic Junior College in Singapore Francis was treated to a dance performance at the Catholic Junior College in Singapore Thousands in Singapore join in prayer as the Pope presided over a mass on Thursday Pope Francis waved and smiled from his wheelchair as he met young people in Singapore Bishops and priests at the St.Francis Xavier Retreat Centre in Singapore eagerly met the Pope Pope Francis waves to the thousands who arrived at the National Stadium in Singapore The trip marked Francis's longest in duration and distance since becoming head of the world's nearly 1.4 billion Roman Catholics more than 11 years ago. Pictured: Followers in Singapore But neither the pace - 16 speeches and up to eight hours of time difference - nor the heat, nor multiple meetings forced any rescheduling of Francis's international odyssey. On a trip that took him to the outer edges of the Catholic Church's world, the pope delivered a sometimes uncomfortable message for leaders not to forget the poor and marginalised. In Indonesia, the world's most populous Muslim-majority state, he visited Jakarta's Istiqlal Mosque to deliver a joint message against conflict and climate change. In sweltering Papua New Guinea, one of the Pacific's poorest and most troubled nations, he donned a Bird of Paradise headdress in a remote jungle village where he told inhabitants to halt violence and renounce 'superstition and magic.' Addressing political and business leaders, he said the country's vast natural resources should benefit the 'entire community' - a demand likely to resound in a nation where many believe their riches are being stolen or squandered. And in staunchly Roman Catholic East Timor, nearly half the population, or about 600,000 ecstatic believers, showed up in the tropical heat to a celebration of mass on the island's coast. During his last leg in Singapore, Francis called for migrant workers - who provide cheap labour in the affluent city-state and elsewhere around the world - to be treated with dignity. 'These workers contribute a great deal to society and should be guaranteed a fair wage,' Francis said. Sandra Ross, 55, a church administrator in Singapore, said she was still 'feeling the warmth and joy' after attending a mass led by the pope. 'I was deeply touched by Pope Francis's courage and dedication to his mission, despite his health challenges. His spirit and enthusiasm are truly inspiring,' she said. The pope will have a short interim before a four-day trip to Luxembourg and Belgium starting on September 26. Hispanic Heritage Month Dancer at Houston Farmers Market. Daniel Ortiz As Hispanic Heritage Month nears, Houston, home to one of the largest Hispanic populations in the U.S., is gearing up for a month-long celebration of Latino culture, history and contributions. What began as a week-long event in 1968 expanded into a 30-day observance in 1988. The celebration kicks off on Sept. 15, marking the independence of several Latin American countries. Heres a look at how Houston will honor Hispanic Heritage Month this year: Advertisement Article continues below this ad Hispanic Heritage Month at Bagby Park To celebrate Hispanic Heritage Month, Bagby Park is unveiling "You Can't Say Houston, Without Us (Shaping The Future Together)" by Urias Merino. Co-Create Strategies/Midtown Houston Midtown Houston invites visitors to pose in front of the newly wrapped "Midtown" sign in Bagby Park, featuring the design You Cant Say Houston Without Us (Shaping The Future Together) by local artist Urias Merino. Celebrating Hispanic icons like Selena Quintanilla and Gonzo247, the wrap will be on display through Oct. 16. When: Through Oct. 16 Where: Bagby Park, 415 Gray Advertisement Article continues below this ad Hispanic Heritage Celebration at Childrens Museum Houston Childrens Museum Houston celebrates Hispanic Heritage Month. Courtesy of Children's Museum Houston The Children's Museum Houston kicks off Hispanic Heritage Month with a day full of performances, crafts, and activities. Highlights include DIY pinata-making, bilingual weaving workshops, mariachi performances, storytime with Univision and a Fiestas Patrias grito reenactment. When: Sept. 14: 9 a.m.-5 p.m. Advertisement Article continues below this ad Where: Childrens Museum Houston, 1500 Binz Details: Included with general admission; cmhouston.org HOUSTON PROFILES: 10 Houston Latinos you should know about H-Town Agave Festival Arnaldo Richards Picos hosts the H-Town Agave Festival and Mexican Independence Day. Becca Wright Pico's first annual H-Town Agave Festival celebrates agave spirits, Mexican cuisine and festive music. Guests can enjoy cocktails, authentic food, DJ Big Reeks and giveaways. The celebration continues on Sept. 15 with a Mexican Independence Day Mariachi Brunch from 10 a.m. to 5 p.m., featuring live music and special dishes. Advertisement Article continues below this ad When: Sept. 14: 1 p.m. Where: Picos, 3601 Kirby Dr. Grito Festival at POST Houston Post Houston hosts the Grito Festival. Elizabeth Conley/Staff photographer POST Houston invites the community to celebrate National Hispanic Heritage Month at the Grito Festival. The event will feature a curated indoor market by Mercado de las Americas from 11 a.m. to 6 p.m., showcasing Latin-inspired vendors and artisans. Enjoy live mariachi and Aztec dance performances, a free salsa class and live Cumbia music by Son Bayou on the Skylawn from 8-10 p.m. The night wraps up with a screening of Once Upon a Time in Mexico in the Z Atrium from 8:15-10 p.m. Advertisement Article continues below this ad When: Sept. 14: 11 a.m.-10 p.m. Where: POST Houston, 401 Franklin Hispanic Heritage Month Celebration at The Houston Farmers Market Cultural dancers at Hispanic Heritage Month Celebration at Houston Farmers Market. Daniel Ortiz The Houston Farmers Market is kicking off Hispanic Heritage Month with a full-day celebration featuring performances from Mixteco Ballet Folklorico and Houston Aztec Dance, live music from Shekere and a free salsa class with Ruby Rivera of Texas Salsa Congress. Guests can also explore local vendors, enjoy face painting and savor delicious food from Underbelly Burger and Alamo Tamales. When: Sept. 15: 11 a.m.-6 p.m., Where: The Houston Farmers Market, 2520 Airline Dr. Fiestas Patrias at Traders Village Traders Village celebrates Hispanic Heritage Month with live mariachi performances and family fun. Traders Village Traders Village will host a Fiestas Patrias celebration featuring mariachi music, ballet folklorico performances, Aztec dancers and a selection of food and drink vendors. Visitors can enjoy the festivities while exploring the market. When: Sept. 15: Noon- 5 p.m. Where: Traders Village, 7979 N. Eldridge Pkwy El Grito at Miller Outdoor Theatre Miller Outdoor Theatre celebrates Mexican Independence Day with the Grito ceremony and a performance by Cana Dulce y Cana Brava. Courtesy of Miller Outdoor Theatre Miller Outdoor Theatre will celebrate Mexicos Independence Day with a performance by Cana Dulce and Cana Brava. The event will showcase the music, poetry, dance and traditional attire of Veracruz, Mexico, offering an immersive cultural experience for attendees. When: Sept. 15: 7:30 p.m. Where: Miller Outdoor Theatre, 6000 Hermann Park Drive Hispanic Heritage Fiesta The City of Stafford is hosting its first-ever Hispanic Heritage Fiesta. Simone Hogan/Getty Images/iStockphoto The City of Stafford will host its inaugural Hispanic Heritage Fiesta, a two-day festival featuring live performances, food trucks and family-friendly activities. The celebration kicks off at Stafford Centre and continues the next day at the Stafford Municipal School District. When: Sept. 20: 5-11 p.m., Sept. 21: 10 a.m.-4 p.m. Where: Stafford Centre Festival Grounds, 10505 Cash Rd.; Stafford Municipal School District, 1633 Staffordshire Rd. Mexico en el Corazon Mexico en el Corazon returns to Discovery Green. Johnny Than Mexico en el Corazon returns to Discovery Green with over 50 performers, including mariachi musicians and ballet folklorico dancers, featuring the Guadalajara Folkloric Ballet, Mariachi Juvenil Colotlan, and charro flourish champion Jesus Ortiz. When: Sept. 20: 7-10 p.m. Where: Discovery Green, 1500 McKinney Astros Hispanic Heritage Weekend Astros fans dressed up for Hispanic Heritage Night at Minute Maid Park. Karen Warren/Staff photographer Fans can celebrate Hispanic Heritage Weekend with the Astros as they face the Angels. Friday includes Happy Hour, fireworks and a Jose Altuve Jersey replica giveaway. Saturday offers the Hispanic Heritage Street Festival and a sugar skull bobblehead giveaway. On Sunday, the first 10,000 fans will receive a Los Astros wearable flag. When: Sept. 20-22 Where: Minute Maid Park, 501 Crawford Viva Latin America Orchestra Director, Glenn Garrido, leading the Houston Latin American Philharmonic. Houston Latin American Philharmo The Houston Latin American Philharmonic will present its annual Viva Latin America concert to kick off Hispanic Heritage Month. This gala concert features guest artists Maria Conchita Alonso and Carlos Cueva. When: Sept 21: 8 p.m. Where: Wortham Theater Center, 501 Texas Festival de la Salsa Yifei Li and Christine Herrera complete a salsa move upon the conclusion of a song during El Festival De La Salsa in Houston. ( Mayra Beltran / Houston Chronicle ) Mayra Beltran/Houston Chronicle Attendees can look forward to an exciting night of rhythm and flavor at Discovery Green. The event features salsa music, dancing, Latin food and an immersive celebration of Latin culture. When: Sept. 22: 1-10 p.m. Where: Discovery Green, 1500 McKinney Details: $12 and up, free for ages 12 and under; elfestivaldelasalsa.com Hispanic Heritage Month Festival Harris County Precinct 4 is holding the annual Hispanic Heritage Month Festival. Courtesy Office of Commissioner Lesley Briones, Precinct 4 Hosted by Harris County Precinct 4 Commissioner Lesley Briones, the Hispanic Heritage Month Festival at Bayland Park will feature cultural performances, local food trucks, family fun and a community resource fair. When: Sept. 28: Noon-4 p.m. Where: Bayland Park, 6400 Bissonnet Sugar Land's Hispanic Heritage & Dia de los Muertos Sugar Land marks 125 years of Hispanic heritage with a Dia de los Muertos exhibit at the Heritage Museum. Sugar Land Heritage Foundation This year marks the 125th anniversary of Hispanic heritage in Sugar Land. The Sugar Land Heritage Museum is celebrating with a Dia de los Muertos exhibit featuring altars honoring ancestors who helped shape the city's history. When: Oct. 15-Nov. 9: 10 a.m.-5 p m. Monday thru Saturday Lib Dem leader Sir Ed Davey has arrived at his party conference in Brighton on a jetski, in his latest stunt. Sir Ed led the Lib Dems to their best electoral result in the party's current form since they were established in 1988 with 72 seats. Before the 2024 election the Lib Dems had only 15 MPs. The Lib Dems replaced the Scottish National Party as the third largest force in Westminster, taking advantage of the Tory Party's collapse. Sir Ed was joined on the water by four of his new MPs, including Pippa Heylings from South Cambridgeshire; Josh Barbarinde, from Eastbourne; Anna Sabine from Frome and East Somerset and Alison Bennett from Mid Sussex. Sir Ed Davey arrived at this weekend's Liberal Democrat Party Conference on board a jetski The party leader continued a long list of daring stunts which propelled his part into third place in Westminster with 72 seats Addressing reporters before the conference began, Sir Ed said: 'People are absolutely ecstatic about the historic result the Liberal Democrats won. '72 MPs makes us the biggest Liberal or Liberal Democrat parliamentary party for over 100 years. 'The third party again, and the strongest third party for over 100 years. So we want our voice to get heard.' The result meant that they are the third largest party in Parliament behind Labour in government, and the Conservatives who won 121 MPs. Sir Ed added: I think you'll hear at sunny Brighton us talking about the health service and care every single day. 'We made that the centre point of our manifesto at the election and we're going to keep doing that. That's what people voted for when they voted Liberal Democrat.' The Liberal Democrat autumn conference will continue in Brighton until Tuesday. The party's chief whip, Wendy Chamberlain, who is the MP for North East Fife aid the public wants her party to hold the new Labour government to account. She said: 'One of the benefits of our historic general election result is that we have returned to our former position of third party in the House of Commons. And frankly we aim to take a very different approach to the SNP and the Conservative Party. 'I think what people want to see is MPs working together to try and secure a fair deal for the whole of the UK not trying to divide us. 'Being the third party is a big responsibility. We have a far greater ability to affect change now than we did in the previous Parliament. 'We want to be a constructive opposition. We want to be local champions. We want to do things differently.' Sir Ed performed a string of daredevil stunts during the two month-long General Election campaign, including a risky bungee jump. Three days before polling day he challenged voters to take the plunge and 'Vote Liberal Democrat!' after jumping from a crane attached to a bungee cord. Earlier stunts saw him on a roller coaster and he fell off a paddle board during his energetic campaign. Sir Ed screamed 'Vote Liberal Democrat !' while performing a bungee jump three days ahead of polling day The bungee jump was the most spectacular stunt performed by the politician during the campaign He called on voters to 'take a leap of faith' and support his party Sir Ed said he had never done a bungee jump before Sir Ed has admitted his non-traditional approach to campaigning is a bid to get voters' attention. 'We can marry having a bit of fun with some serious messages,' he said last month. 'When I fell off a paddleboard in Lake Windermere, yeah everyone thought it was a laugh, but actually it was making a serious point about sewage. 'If you do it the traditional way, you make a speech at a lectern, you might get a tiny bit of coverage but people aren't that engaged with it. 'I think that by taking a slightly different approach with a bit of humor, a bit of emotion -- you can get people's attention.' Ahead of polling day, Sir Ed has previously been on a water slide, a rollercoaster, and fallen off a paddleboard on Lake Windermere The Lib Dem leader has also completed both land-based and water-based assault courses in a string of eye-catching events Sir Ed rode a rollercoaster called 'Colossus' at Thorpe Park, Surrey, after promising to do so at his party's manifesto launch A Springfield, Ohio, woman who sparked rumors about Haitian migrants eating pets says she is filled with regret and insists she never intended to target the Haitian community. Erika Lee, 35, spoke out after she warned locals in a Facebook group that her 'neighbor informed me that her daughter's friend lost her cat', only to find the pet strung up 'from a branch' outside the home of a Haitian family. But Lee now admits that she had no firsthand knowledge of the claims, and the neighbor referenced in the post, Kimberly Newton, revealed that she also heard the story from an acquaintance and not her daughter. But before the confusing back-and-forth was resolved - with police also insisting no reports have been filed over pets being eaten - the rumor went viral. 'It just exploded into something I didn't mean to happen,' Erika Lee told NBC News - after the wild conspiracy even found its way into Donald Trump's presidential debate material. Pictured: Springfield, Ohio, where wild rumors have spread about migrants eating cats and dogs Erika Lee, 35, admitted that she heard the rumor of Haitian migrants eating cats in her town through her neighbor Kimberly Newton (pictured), who heard it through a friend, who heard it from the alleged cat own. Lee's Facebook post sparked panic across social media after screenshots were circulated around X, in which she warned Haitian migrants were hanging cats 'from a branch like you'd do a deer for butchering, and they were carving it up to eat.' 'I've been told they are doing this to dogs, they have been doing it at Snyder Park with the ducks and geese,' she continued, claiming to be 'told that last bit by rangers and police.' 'Please keep a close eye on these animals,' she signed off the post. The post caught the eye of conservatives, with many also seizing upon footage of an American woman who allegedly stomped on a cat's head before eating the animal in a wild video that was falsely attributed to the Haitian migrant community. Lee now says she never expected her post to 'get past Springfield', and has since deleted the post as she did not anticipate it sparking a national rumor. Conservatives also seized upon footage of an American woman who allegedly stomped on a cat's head before eating the animal in a wild video that was falsely attributed to the Haitian migrant community Lee first admitted to Newsguard that she heard the rumor of Haitian migrants eating cats in her town through her neighbor Kimberly Newton, who heard it through a friend, who heard it from the alleged cat owner. Newton, when asked, said she was 'not sure Im the most credible source.' 'I dont actually know the person who lost the cat,' she told NewsGuard, a company that counters misinformation. 'I dont have any proof.' She said the cat's owner was 'an acquaintance of a friend'. Newton originally heard it from her friend, who had heard it from a 'source that she had' before she told Lee, who then posted about it. Newton also clarified that her source was not through her daughter, like Lee claimed. The town of Springfield, Ohio (pictured) has become a flashpoint in the presidential race, with the baseless rumor even making it into the hands of JD Vance and Donald Trump While city officials said they had no evidence that Springfield pets were in danger, locals say it's a problem online. 'In response to recent rumors alleging criminal activity by the immigrant population in our city, we wish to clarify that there have been no credible reports or specific claims of pets being harmed, injured, or abused by individuals within the immigrant community,' Springfield officials said. Despite Ohio authorities stressing that there are no reports for them to even investigate, the rumor circulated widely enough that both men at the top of the Republican ticket echoed it. JD Vance mentioned the rumor on his X account, writing: 'Months ago, I raised the issue of Haitian illegal immigrants draining social services and generally causing chaos all over Springfield, Ohio. Reports now show that people have had their pets abducted and eaten by people who shouldn't be in this country. Where is our border czar?' A day later, Trump would reiterated similar statements during the debate, which was broadcasted to 67 million Americans. The post took off to national heights and even landed on the debate stage, where Republican nominee Donald Trump (pictured at the debate) claimed the rumor as fact, despite moderators saying officials have found no evidence. The debate was broadcasted to 67 million people Lee was 'shocked' to see what she shared on Facebook make it all the way to the former president. 'Honestly, it blew me away,' she told NewsGuard. 'I didn't think that any of this would explode to the presidency.' Despite her 15-minutes of fame, she says she hasn't 'really been following the news much on it at all.' 'Ive only really seen it like on Facebook, what things pop up on my news feed, or what other people have shared on things that they have read up on,' she said. She also reiterated that all she was trying to do was inform people,' and wasn't saying 'Haitians as a whole [are] bad.' A federal-style 5,000-square-foot historic house hit the market for only $125,000 in Maine - roughly a quarter of the state's current average home price. The only catch? It's an absolute wreck after an unwanted visitor occupied the Paris Hill home years ago, turning the entire floor they resided on into a hoarder's den. 'It was kind of a hoarder situation,' Fred Greenwood, a listing real estate agent, told Bangor Daily News. Beneath the dirt, the huge red-house, known as the Amos Armsby House, is filled with character and charm. With red wood surrounding the exterior, original doors, a grand staircase and beautiful fireplaces, the home built in 1812 surely catches the eye. A huge historic 5,000 square-foot home in Maine is on the market for only $125,000 - a quarter of the state's current average home price The only catch is that the home is a complete wreck and remains un-livable But an abundance of issues plague the property that sits on almost two acres of land. With no plumbing, heating or serviceable wiring, the vintage structure is un-livable. But around three years ago, it was taken over by new owners who had big plans to save the house from disintegration. They began taking down plaster, walls and ceilings that posed as a threat. They removed clutter and trash that was building up for years. 'They must have taken away, I don't know, maybe a dozen dumpsters and stuff,' Greenwood added. In the wintertime, the house has views of New Hampshire's Presidential Range. Western Maine mountains can also be seen A pair of new owners were the most recent buyers of the home with a goal to save it - but the job was too grand and needed professional help However, the job proved to be too large in scope. The owners called it quits and are attempting to pass it on to the next hopeful house flipper who has the money to give it the love it needs. Sitting close to the charming village of Paris Hill's Historic District, the striking building has four bathrooms and nine bedrooms. It even has views of New Hampshire's Presidential Range in the wintertime as well as the western Maine mountains. It's surrounded by stone walls and shade trees. The previous owners left a camper on the property, which is included in the offer. Even though it lies next to Paris Hill, which is on the National Register of Historic Places, there are no restrictions. The next buyer can do whatever they please to the property. Some areas of the home still remain in-tact including a spacious sunporch with original wood and brick A grand staircase is one of the biggest attractions of the house and have beautiful original wood, but still needs restoration to a certain extent Original wood trim, a spacious sunporch and six brick fireplaces live in the home. One fireplace even has two cooking ovens - both built-in. Another option for renovations could include tearing the building down and keeping savable details to incorporate into a new structure, Greenwood added. After relisting the property last week, Greenwood noted that he's seen quite a lot of interest and hopes the piece of history finds the perfect buyer. But someone has to be up for the challenge. Amos Armsby, a housebuilder and veteran of the War of 1812, constructed the home that year. He was also considered a 'house-wright' - a person that builds wooden houses and is often called upon to repair them, according to The Old House Life. Though the historic structure has loads of potential, there is no working heat, plumbing or serviceable wiring The 212-year-old house has gained more attention after being re-listed last week and is looking for the perfect buyer He only occupied the house for three years. In 1815, he sold the home to a cabinet maker, Joseph Lindsey. Since then, the home has been bought and sold over and over. The grand-daughter of a previous owner told The Old House Life that her grandmother loved the house - but she did hear noises. There have been people who say the home, which is more than 200 years old, is haunted. Either way, the house is filled with potential and its town of more than 5,000 residents offer amenities such as a country club, library, community club and an enormous village green. A 42-year-old man has been sentenced to five years in jail for raping a 14-year-old girl down an alleyway in Rotherham 21 years ago. Waleed Ali, from Scunthorpe, Lincolnshire, was sentenced immediately after jurors found him guilty of raping a girl under 16 years old at Sheffield Crown Court on Friday, the National Crime Agency (NCA) said. He had previously been convicted of raping and indecently assaulting another girl, 13, in the same alleyway in early 2003 following an investigation by South Yorkshire Police. His latest conviction was a result of the NCA's Operation Stovewood probe into historic allegations of abuse in Rotherham, Yorkshire, between 1997 and 2013 - the UK's largest child sexual exploitation inquiry - which saw seven men jailed for a total of 106 years this week. Ali was arrested and interviewed in September 2021 after a woman told Operation Stovewood officers that she had been attacked when she was 14 years old and had not reported it before. Waleed Ali, 42, from Scunthorpe has been sentenced to five years in jail for raping a 14-year-old girl down an alleyway in Rotherham 21 years ago Ali was sentenced at Sheffield Crown Court (seen) immediately after jurors found him guilty of raping a girl under 16 years old on Friday Ali was in his early 20s and living in Rotherham when he spotted the victim sitting alone at a water fountain and forced her down a nearby alleyway to rape her 'away from public sight' (stock image above) Ali was in his early 20s and living in Rotherham when he spotted the victim sitting alone at a water fountain in the town centre one day between March 2003 and March 2004, the NCA said. He and a group of men approached the girl and he asked her to go into a nearby alleyway with him and when she refused Ali grabbed her by the arm and tried to force her to her feet. The girl was 'intimidated' by the men and went into the dark alleyway where Ali raped her 'away from public sight'. During the 2021 interview he told officers that he felt 'sick' at their questions and denied the crime. Senior investigating officer, Stuart Cobb, said: 'After speaking with the victim, National Crime Agency officers meticulously identified corroborating evidence. 'For 21 years the victim suffered in silence but her brave testimony combined with our investigative work has ensured her attacker faced justice. 'I urge anyone who is a victim of child sexual abuse, no matter how long ago the abuse occurred, to seek support and, if they feel ready, report it to the police, who have officers specially trained to investigate such cases.' On Thursday and Friday, seven men were jailed for committing child sex abuse offences against two young girls in Rotherham during the 2000s as part of Operation Stovewood, the Crown Prosecution Service (CPS) reported. The abuse started when one of the girls were as young as 11 and Sheffield Crown Court heard how the defendants regularly picked up the victims in their cars and gave them cigarettes, alcohol, cannabis and money. The girls would then be assaulted, forced to perform sexual acts or raped. Operation Stovewood was set up in the wake of the landmark Jay Report which found in 2014 that at least 1,400 girls were abused by gangs of men of mainly Pakistani heritage in Rotherham between 1997 and 2013. Ali's conviction came as a result of Operation Stovewood, which saw seven men (pictured) jailed for a total of 106 years this week. Top row (L-R): Abid Saddiq, Mohammed Amar, Mohammed Siyab, Mohammed Zameer Sadiq. Bottom row (L-R): Ramin Bari, Tahir Yassin, Yasser Ajaibe Dozens of people have been convicted as a result of the operation, which the NCA says is the single largest law enforcement operation of its kind ever undertaken in the UK. Last year, the NCA announced that new allegations would be handled by South Yorkshire Police rather than Operation Stovewood, saying it had identified more than 1,100 children involved in the exploitation between 1997 and 2013 - almost all girls. The agency said it remains committed to seeing its current investigations through to the end of the criminal justice process, which is anticipated to continue into 2027. Previous estimates have put the cost of Operation Stovewood at around 90million. The NCA said anyone who has been sexually abused as a child can report to police by calling 101 or visiting a police station. Something is LOOM-ing over Donald Trump's presidential campaign. Yes, I'm talking about conspiracy theorist/race-baiter/MAGA roadie/evil-eyebrow aficionado Laura Loomer. This woman could put a kid with a nut allergy into anaphylactic shock from a country-mile away and should be nowhere near a man who wants to be president. Yet, this 9/11 'Truther,' who dubbed herself a 'proud Islamaphobe,' (make sense of that!) was seen disembarking Trump's plane in Philadelphia before Tuesday's ABC News debate, joined his team backstage at the event and then again in Shanksville, Pennsylvania on September 11! Loomer is so looney tunes that even Space-laser lover Marjorie Taylor Greene called her out for being 'appalling and extremely racist.' Well, Don. With good pals like Laura, who needs the Presidency, huh? Because that's sure how this is starting to look. With seven weeks to go to Election Day, there isn't a damaging distraction that Trump won't grab with both his tiny hands. By Friday night, Trump was belated rowing back from The Loomonster. Something is LOOM-ing over Donald Trump 's presidential campaign. Yes, I'm talking about conspiracy theorist/race-baiter/MAGA roadie/evil-eyebrow aficionado Laura Loomer (above, left). Now, disavow claims about 'cat-eating.' Honestly, what is up with the GOP ticket's feline obsession? (Above) Cat-meme posted on Donald Trump's Truth Social account 'I disagree with the statements she made but, like the many millions of people who support me, she is tired of watching the Radical Left Marxists and Fascists violently attack and smear me,' Trump posted on Truth Social. Good. Now, disavow claims about 'cat-eating.' Honestly, what is up with the GOP ticket's feline obsession? J.D. Vance once claimed that our great nation was being run by 'a bunch of childless cat ladies who are miserable at their own lives and the choices that they've made and so they want to make the rest of the country miserable, too.' Meow! Then, at the debate, Trump threw kerosene on an unverified, third-hand Facebook yarn out of Springfield, Ohio about a 'neighbor's daughter's friend' who lost her kitty and found it dangling from a tree ready to be eaten by Haitian migrants. One might assume it would be a good idea to vet a flammable story like this. Instead, Trump, made it the cherry on top of his migrant-crime sundae. 'They're eating the dogs. They're eating the cats. They're eating the pets of the people that live there,' he said of Springfield's alleged Haitian cat-ibals. Look, I can't say that Loomer planted that ridiculous line in Trump's 'very, very large brain,' but I'll bet she didn't pry him off that scratching post either. If there's anyone on a fast track to cat-ladydom, it's Loony Loomer. (You can smell the soiled Fresh Step from here!) But for the life of me, I can't figure out why Trump seems to be along for the ride. Over the Feder-line Britney Spears's youngest son that she shares with Kevin Federline, Jayden, turned 18 this week. How quickly he grew! I'm talking about Big Daddy Kevin's love handles, of course. The former Justin Timberlake backup dancer is now the size of a small Oldsmobile. Britney Spears's youngest son that she shares with Kevin Federline, Jayden (above, left in 2013), turned 18 this week. The former Justin Timberlake backup dancer is now the size of a small Oldsmobile. DailyMail.com exclusively reported this week that Spears's paid K-Overfed an estimated $5 million in child support payments over the last 17 years. Now that Jayden is 18 that gravy train has stopped, which is actually good for K-Fat. He's had enough gravy. Nepos on parade Hunter Biden used daddy's title to trick foreign boobs into giving him lucrative lobbying contracts and $80,000 diamonds. Jack Schlossberg relied on his Kennedy blood to score a gig cosplaying a political correspondent at Vogue. And now Ella Emhoff gets to play runway model because of her beloved, step-Momala. Ella Emhoff gets to play runway model because of her beloved, step-Momala. Our armpit-haired hipster dominated New York Fashion Week with creative looks such as an I Heart NY T-shirt (that very well may have ripped off a homeless man in Times Square) and a dainty floral Tory Burch dress that showcased her heavily tatted legs. Burch please. Poo Lighter There goes my hero. Another rockstar couldn't keep his drum stick in his skinny jeans. Foo Fighters lead vocalist Dave Grohl admitted this week that he has, 'recently become the father of a new baby daughter, born outside of my marriage.' Now, Dave's ex from the 90's, punk rocker Jenniefer Finch, re-emerged to defend him, claiming Grohl's actually a great family man. He better be - because he's got two! Shannon Dull NFL great Shannon Sharpe has finally fessed up after his Instagram account livestreamed two grunty minutes of a man and woman who sounded like they were having a tough time changing a heavy tire. 'I wasn't hacked it was me being a healthy, active male,' he admitted Wednesday night. 'I wasn't hacked it was me being a healthy, active male,' he admitted Wednesday night. 'Obviously, I'm embarrassed,' he said. 'People count on Shannon.' Hey, Shannon... what's truly mortifying is referring to yourself in the third person. Statue of Limitations When I saw a new statue of Mrs Doubtfire in Northern Ireland, I thought, 'I didn't know Robin Williams was Irish.' Then, it dawned on me. When I saw a new statue of Mrs Doubtfire in Northern Ireland (above), I thought, 'I didn't know Robin Williams was Irish.' That's supposed to be Queen Elizabeth II. Her late Majesty is portrayed next to a stiff Prince Phillip (who looks like an ungreased Tin Man) and a couple of corgis (which may be cats in dog drag). Swift-thinking Taylor Swift put on her sparkliest pair of big girl pants and bravely came out on Instagram to endorse Kamala Harris. How courageous and convenient. Her post hit moments after Harris gave Trump a sharp cackling at Tuesday's debate. Miss Americana doesn't back losers. Better keep catching those balls, Travis. Smeared in her signature scarlet lipstick and clutching her emotional support cat, TayTay penned 'I've done my research, and I've made my choice.' Then, she went on to praise, Wily Tim Walz for standing up for 'IVF.' Taylor Swift put on her sparkliest pair of big girl pants and bravely came out on Instagram to endorse Kamala Harris. How courageous and convenient. I wish someone would fact check this childless catlady's post (although, NOT the ABC News debate moderators). While Representative Swift (D-IVA) is Insta-praising a fibbing Walz's make-believe fertility journey, Trump has actually come out in favor of publicly subsidized IVF. It seems, Taylor, your 'research' is as shoddy as your romantic track record. Musky Elon is at it again, offering his billionaire sperm to a fellow member of the three-comma club. In an odd post a few hours after T-Swizzle endorsed Mamala, Musk offered to make her childless no more, posting on Twitter: 'Fine Tayloryou winI will give you a child and guard your cats with my life.' Fortunately for Travis, $250 billion can't buy rizz! A 34-year-old man suspected of killing a Long Beach teen in March was arrested on Friday. Troy Lamar Fox was already in custody for an unrelated weapons violation when he was identified by Long Beach Police as the suspect who fatally shot 17-year-old Briana Soto as she walked home from work. Soto was just outside her familys home on the evening of March 26 around 8:30 pm when she was shot while on the phone with her mother. The teens family heard the gunshots outside their E. 11th St. home - rushing her to the hospital where she eventually succumbed to her injuries three days later. The suspect was caught on security footage moments after the shooting dressed in dark clothing with a mask covering his face. Briana Soto, 17, was fatally shot just steps away from her family's home on March 26 Troy Lamar Fox, 34, suspected of killing a Long Beach teen in March was arrested on Friday Long Beach Police investigated DNA, video and cell phone evidence before identifying Fox as the suspect who is believed to be involved in two other incidents on April 9 and July 7. On April 9, officers responded to a shots-fired call where a suspect, believed to be Fox, discharged a firearm in the direction of three juveniles and one adult in a vehicle. On July 7. cops arrived on the scene after a report of firearms inside of a vehicle. During the incident, officers located Fox and a juvenile suspect and later learned they were both prohibited possessors. Two firearms were recovered on the suspects and four firearms were recovered from the vehicle. Fox had an outstanding warrant for his arrest issued in November 2023 for violation of his parole terms. Following investigation into Sotos case, on September 11, detectives presented evidence to a Los Angeles Superior Court Judge and obtained an arrest warrant for Fox for the murder of the Long Beach teen. The suspect was caught on security footage moments after the shooting dressed in dark clothing with a mask covering his face Loved ones are still reeling over Sotos untimely death remembering the teen as a kind and lively spirit On September 13, cops took custody of Fox from the Los Angeles County Sheriffs Department and booked him into the Long Beach City Jail. Fox is currently being held on $2 million bail. Briana Soto was just 17 years old when she was tragically taken away from her family by a violent individual willing to commit such a reprehensible and brazen act of violence, said Chief of Police Wally Hebeish in a statement following the arrest. This suspect has demonstrated a willingness to inflict harm on others and we will be working closely with our partners throughout the criminal justice system to ensure Briana and her family receive the justice they deserve, the statement continued. Loved ones are still reeling over Sotos untimely death remembering the teen as a kind and lively spirit. Angel Guevara, the victims neighbor, told KTLA5. We all know her. She was just a sweet girl. When I heard she died, I was very sad. Michelle Fierro, another neighbor said: It kind of made me self-aware. Im around her age. She was about to graduate high school, so I feel that her story really impacted everyone around us. It was her siblings who found her with their mother, so I couldnt even imagine what they had to go through seeing her on the ground like that, Fierro continued. The motive behind the shooting still remains unclear. Locals who frequent a California tourist hotspot in the heart of Los Angeles National Forest are terrified that their family getaway destination will be eventually destroyed by wildfires blazing across the state. Eduardo Flores, 32, has spent summers in the East Fork of the San Gabriel River with his family since he was young, and this summer was no exception. As Southern California experienced historic triple-digit temperatures, Flores and his family had driven from South LA to the East Fork area to cool off. Flores recalled his trip to the area was disrupted on July 19 after finding themselves being evacuated as The Fork Fire erupted. The Fork Fire burned 301 acres of land and was contained nine days later on July 28, according to The California Department of Forestry and Fire Protection. Locals who visited the East Fork of the San Gabriel river were evacuated earlier in July as The Fork Fire erupted 'It was scary. It was unexpected because we always come and nothing like that had happened,' Eduardo Flores, 32, who was visiting at the time, said of the evacuation Flores and his family returned to their usual vacation spot on September 7 and the very next day, The Bridge Fire broke out. Flores told the SFGate: 'It was scary. It was unexpected because we always come and nothing like that had happened.' The manager of the San Gabriel Mountains National Monument for Angeles National Forest, Matthew Bokach, said an estimate of 3,000 to 5,000 people were in the area when the fire broke out, reported SF Gate. Bokach told the outlet: 'When youve got something like that, my nightmare scenario has always been that the canyon is completely packed, no one can get in or out, and we have a fire break out on a slope right above the river, 'People are gonna panic, theyre not going to be able to really get anywhere, either on foot or by car,' he continued. An estimate of 3,000 to 5,000 people were in the secluded area in the San Gabriel mountains when the fire broke out The blaze destroyed at least 33 homes in the Wrightwood and Mt. Baldy areas as firefighters have now been able to reach a containment of three percent up from several days of zero percent 'Thats always been sort of my biggest nightmare in the East Fork, and its not an infeasible possibility.' Currently, no accidents of injuries have been reported. The Bridge Fire has spread across nearly 53,000 acres, reaching three percent containment Friday morning after several days at zero percent. The blaze, originated in the Angeles National Forest on Sunday afternoon, and has destroyed at least 33 homes in the Wrightwood and Mt. Baldy areas, according to CBS News. Cal Fire have said firefighters were able to contain more of the fire over Thursday night, aided by cooler temperatures and increased humidity. The Fork Fire burned 301 acres of land and was contained nine days later on July 28 Evacuation warnings have been ordered across the Los Angeles County and the San Bernadino County with multiple care shelters and animal shelters available However, spokesman for the San Bernardino County Fire Department, Eric Sherwin, warns that the fire 'still presents a very real threat' despite the ease in temperatures, CBS News reported. Officials said that on Tuesday a man was arrested in connection with the Line Fire, which is burning in the foothills of San Bernardino National Forest spanning more than 36,400 acres, according to NBC News. Evacuation warnings have been ordered across the Los Angeles County and the San Bernadino County with multiple care shelters and animal shelters available. Clover Moore has claimed victory as Sydney Lord Mayor for an historic sixth term. In the NSW local government elections on Saturday, the 78-year-old independent politician overcame what she called 'the toughest campaign' of her career. Moore claimed victory on Saturday night at the Arthouse hotel in Sydney's CBD, beating nine other candidates to secure the keys to the Sydney Town Hall. Moore's challengers in the lord mayoral race included the Liberals, Labor, the Greens and independent Yvonne Weldon. 'What a campaign it has been,' Ms Moore said. 'I think the toughest campaign I've ever had. There was a really concerted campaign to try and get rid of me.' Ms Moore said she was underwhelmed by her opponents. 'I didn't think any of the other candidates had anything to offer,' Moore said. Clover Moore (pictured) claimed victory on Saturday night at the Arthouse hotel in Sydney's CBD, beating 9 other candidates to secure the keys to the Sydney Town Hall Clover Moore is pictured celebrating with supporters on Saturday night at at the Arthouse hotel in Sydney's CBD 'They said we need a change and fresh ideas. I listened very carefully to hear what the fresh ideas were and there weren't any.' 'There was a sense of real desperation about trying to get me out of Town Hall. That was expressed in the candidates' forums, which I think were pretty nasty. 'No one really talked about fresh ideas or new policy, they were just attacking me. It was a pretty weird campaign, actually.' Ms Moore said affordable housing, action on climate change, Chinatown and Oxford Street would be among her priorities. The 78-year old independent politician overcame what she called 'the toughest campaign' of her career Earlier on Saturday, Ms Moore apologised after a rival's campaign signage was removed and replaced with her own. Independent candidate Yvonne Weldon took to social media on Saturday to allege one of Ms Moore's volunteers had taken her corflutes away in Redfern, hidden them in a car and replaced them with signage in support of Australia's longest-serving lord mayor. Ms Moore, who is fighting to retain the keys to Sydney Town Hall after 20 years in the role, apologised for the incident. 'I'm sorry this occurred. This absolutely should not have happened. I'm told the volunteer was instructed to rectify and replace immediately,' Ms Moore said in a statement. Ms Weldon, an Indigenous candidate, said the incident was disappointing, unacceptable and 'emblematic of why we need change'. A Labour MP has posted an image of a man he claimed attacked his constituency office with an egg. Neil Coyle, MP for Bermondsey and Old Southwark said the man, who he described as a 'Gollum lookalike' threw eggs at the building. The online claim has prompted a police investigation. Gollum is a character in the Lord of the Rings trilogy who is obsessed by a gold ring. Mr Coyle posted a grab from CCTV footage on the social media microblogging site formerly known as Twitter. The Metropolitan Police would like to speak to this man in connection with an alleged egg attack on the constituency office of Labour MP Neil Coyle on Friday afternoon in Bermondsey, south east London He said the attack happened at 4pm on Friday, September 13. The suspect is believed to have thrown several eggs at the office which is on Jamaica Road in Bermondsey. Mr Coyle wrote: 'Can anyone in Bermondsey, Southwark or Rotherhithe identify this Gollum lookalike please? Police are looking for him after his silly attack at my office on Jamaica Road at 4pm today which shocked local people whod come to see me, a volunteer and my hardworking team.' Mr Coyle told the Evening Standard: 'Staff and members of the public were in the office and were shocked and horrified. The guy could have been throwing anything in people should not be exposed to this sort of thing. There will have to be a review of safety as a result and it could have been something more sinister and these acts are a threat to democracy. The guy is clearly a plonker but there is a more serious side to this issue, thats why I have put out the appeal. I dont know if he is local, he didnt say anything during the attack then legged it.' Scotland Yard said: 'Police were called at 16:07hrs on Friday, 13 September by a person reporting that eggs had been thrown at an MPs office in Jamaica Road, SE16.There were no reports of any injuries. No arrests and enquiries into the circumstances continue.' A Florida woman charged with killing her husband and burying his body under their backyard firepit has been convicted of second-degree murder. Laurie Shaver sobbed as the jury handed down a guilty verdict for the 2015 murder of her husband, Michael Shaver, on Friday reported WFTV. The six jurors deliberated for just five and a half hours before convicting the mother following a week long trial. Prosecutors said Laurie killed her husband at their home in Claremont, just west of Orlando, in November 2015 with a gunshot to the back of his head. Michael's body was not discovered until 2018, when officers were called to conduct a wellness check on the missing Walt Disney World employee. His remains were buried under a firepit. Laurie Shaver (pictured) sobbed as she was convicted of the 2015 murder of her husband Michael's body was not discovered until 2018, when officers were called to conduct a wellness check and his remains were buried under a firepit (pictured) Laurie maintained her innocence throughout the trial claiming her then-7-year-old daughter shot her father while Michael was beating her. She also claimed her ex-boyfriend, Jeremy Townsend, shot Michael a second time and disposed of his body. However, physically evidence indicates that Michael was only shot one time, reported WESH. Officials also said Laurie took over Michael's identity after he was dead and sent text and Facebook messages pretending to be him. Laurie's daughter, now 15-years-old, testified in her mother's defense on Thursday claiming she shot her father to protect her mother. Laurie maintained her innocence throughout the trial claiming her then 7-year-old daughter shot her father She also claimed her ex-boyfriend, Jeremy Townsend, shot Michael (pictured) a second time, despite physical evidence indicating he was only shot once 'And I grabbed her, and I grabbed her gun. And I went back out, and I went to the door. The door was already open. And he had my mom on the ground still. Still laying on the ground. Still kicking her. And I shot him,' the daughter said. Townsend testified for the prosecution saying he had never even met Michael. Michael's family cried tears of joy as the verdict came down nearly a decade after he was murdered. 'Elation. Elation,' his sister Stacie Turner told local news outlets. 'We were very happy. This was a long time coming.' Michael was a Disney World employee and officials alleged Laurie pretended to be him after his death sending text and Facebook messages as him 'I don't think there was a detective with the sheriff's office back in 2018 to 2020 that didn't touch this case,' prosecutor Nick Camuccio said. 'And all of the CSI people on this case, truly top-notch effort on all of them.' Laurie faces a minimum of 25 years in prison, and her sentencing date has been scheduled for November 25. Her defense attorney said they plan on appealing the conviction. Three Hays County middle schoolers have been charged in connection with threats against two schools. Ajax9/Getty Images/iStockphoto Two more Hays County sixth-graders have been charged in connection with social media threats against schools this week, bringing the total to three after another student was charged Thursday. The Hays Consolidated Independent School District said in a statement Friday that threats were made naming two of its campuses, Simon Middle School in Kyle and McCormick Middle School in Buda. District officials and law enforcement agencies were informed Wednesday night that several generic and non-specific threats were circulating, as well as one that named Simon Middle School, the district said. Advertisement Article continues below this ad On Thursday, a female student from Wallace Middle School was detained and charged. The district said Friday that another student, a female sixth-grader who attends Simon Middle School and is a friend of the Wallace Middle school student, was also detained in connection with the threat. Both girls are charged with making a false alarm or report, Hays CISD said. A third student is accused of making a separate threat against McCormick Middle School, the district said. The FBI was notified Thursday night by Snapchat, a social media platform, that the company had detected a threat against the school. The Hays County Sheriffs Office was alerted and detained a male sixth-grader who attended the school, Hays CISD said. While the student did not have the means to carry out his threat, he admitted to law enforcement that he made it, the district said. He said he was aware of the general threats circulating in Hays CISD earlier Thursday, but could not provide a reason why he chose to post a threat of his own, according to investigators. Advertisement Article continues below this ad The student was charged with making a terroristic threat, the district said. The sheriffs office said no information was available Friday about whether the students remain in custody. When children are detained, they can be taken to a juvenile detention center or released to a juvenile probation officer, Hays sheriffs deputy Mark Andrews said. This is a very active case that is still being investigated, he said, and more students could be charged. The threats came amid a spate of social media threats against schools around Texas this week. The school district said law enforcement and district officials dont believe Hays CISD were connected to those threats, but they may have been influenced by them. Advertisement Article continues below this ad On Thursday, a 12-year-old student at Northside ISDs Luna Middle School was arrested after allegedly threatening to shoot up the school and a fellow student, the Bexar County Sheriffs Office said. Sir Keir Starmer and his wife were spotted in the crowd at Doncaster Racecourse today as they watched Chancellor, the horse favoured to win, pull out. Chancellor was strongly fancied to win the Betfred Champagne Stakes but was forced to withdraw after prematurely bursting open his stall and suffering a cut to his mouth. The Prime Minister was pictured smiling with his wife Lady Victoria Starmer - who is a big horse racing fan - on the balcony at the Betfred St Leger meeting. Sir Keir said: 'There aren't many better days out than the races in the sunshine, so it's a pleasure to be back in Doncaster for the historic St Leger this year. 'My wife has a close connection with the racecourse so the thrill of the St Leger is no stranger to us. Sir Keir Starmer and his wife were spotted in the crowd at Doncaster Racecourse today as they watched Chancellor, the horse favoured to win, pull out The Prime Minister was pictured smiling with his wife Lady Victoria Starmer - who is a big horse racing fan - on the balcony at the Betfred St Leger meeting Lady Starmer is a big horse racing fan and her mother lived in Doncaster Sir Keir said: 'My wife has a close connection with the racecourse so the thrill of the St Leger is no stranger to us' Victoria Starmer on day three of the Betfred St Leger Festival at Doncaster Racecourse Prime Minister Sir Keir Starmer pictured with his wife Victoria at Doncaster Racecourse today Sir Keir Starmer and his wife Victoria disembark from their plane at Joint Base Andrews in Washington to attend a Nato summit in July 'Good luck to all those involved and to the thousands of racegoers enjoying their day out.' Sir Keir's trip to the races came just hours after he arrived home from Washington DC where he met with Biden to discuss the crises in Ukraine and the Middle East. The pair sat in the Blue Room at the White House on Friday as the Prime Minister spoke of how the UK and US are 'strategically aligned' in their attempts to resolve the war in Ukraine. The Prime Minister emerged after their meeting to tell reporters it had been 'very productive' - though he gave no details about any new policy agreements including on whether to let Ukraine use US-provided Army Tactical Missile Systems for attacks on Russia. Asked if he had managed to persuade Mr Biden to allow Ukraine to fire missiles into Russian territory, the PM said: 'Well, we've had a long and productive discussion on a number of problems, including Ukraine, as you'd expect, the Middle East, and the Indo Pacific, talking strategically about tactical decisions. 'This isn't about a particular decision but we'll obviously pick up again in UNGA (UN General Assembly) in just a few days' time with a wider group of individuals, but this was a really important invitation from the President to have this level of discussion about those critical issues.' He added that the quickest way to end the war in Ukraine 'lies through what Putin actually does'. Joe Biden and Sir Keir Starmer posed together as they held talks on Ukraine and the Middle East at the White House Sir Keir Starmer has said the next few weeks and months will be 'crucial' in Ukraine following a meeting with US president Joe Biden He had earlier told Mr Biden at the White House: 'Historically, we've shown the strength of our relationship. 'We are strategically aligned and we have a common cause on these global issues.' Mr Biden thanked Sir Keir for his 'leadership; during the Ukraine conflict, adding: 'The United States is committed to standing with you to help Ukraine as it defends against Russia's onslaught of aggression. 'It's clear that Putin will not prevail in this war. The people of Ukraine will prevail.' Amid important talks with world leaders, domestic political difficulties over the squeeze on winter fuel payments and with looming tough decisions in October's budget, Sir Keir will hope that problems for the horse called Chancellor are not an omen. A top Russia diplomat has hinted that the Kremlin may completely sever diplomatic relations with the UK, after it booted six British diplomats from the country, accusing them of espionage and sabotage. Russia security service accused the six diplomats from the British embassy's political department, who were all filmed leaving the embassy on Moscow's west side, of carrying out an 'escalation of the political and military situation' and ensuring Russia's strategic defeat in its war against Ukraine, charges that the UK's Foreign Office has denied as 'completely baseless.' On state TV, Russia's First Deputy Permanent Representative to the United Nations, Dmitry Polyansky, said that while a complete severance of diplomatic relations would be 'extreme measure', they may be 'necessary with the UK.' Speaking on the Solovyov Live, Polyansky said: 'There are still stages of showing your dissatisfaction with the way another country does not develop relations. 'And I think that they will all be used if necessary with the UK. Vladimir Putin (pictured) may sever diplomatic ties with the UK, a top diplomat said Russia security service accused the six diplomats from the British embassy of carrying out an 'escalation of the political and military situation' and ensuring Russia's strategic defeat in its war against Ukraine 'A complete severance of diplomatic relations is, of course, a very extreme measure. Here we have to weigh everything, all the pros and cons.' Britain's role in combating Russia's invasion of Ukraine was thrown into the spotlight again this week, after Ukrainian president Volodymyr Zelensky accused the West of emboldening Putin by failing to sign off on a deal to give his nation's troops long-range missiles. British prime minister Keir Starmer and US president Joe Biden held talks in the Blue Room of the White House yesterday to discuss strategy on how to bring the war in Ukraine to an end, including whether to hand over Storm Shadow missiles to Zelensky. Disappointingly for both parties, John Kirby, a spokesman for the US national security council, announced afterwards that there would be 'no change to our policy' on letting Ukraine use long-range missiles. Despite this Starmer claimed that the UK and US have come to a 'strong position' in their quest for a resolution to the conflict in Ukraine. The expulsions come at a time when the British Embassy in Moscow - headed by ambassador Nigel Casey - already has the lowest number of diplomats in Moscow for many decades British Ambassador's residence in Moscow, Russia He described his discussions with Mr Biden as 'long and productive', but would not be drawn on what the pair had decided regarding Ukraine's potential use of Western weaponry against Russian targets. It is believed that Biden was more resistant than Starmer to give the go-ahead, particularly as Putin warned the UK and the US that they would be 'at war' with Russia if they gave Ukraine the Storm Shadow missiles. Questioned on what they had decided in relation to Ukraine's potential use of long-range missiles, Sir Keir told reporters: 'We had a wide-ranging discussion about strategy in Ukraine, of course, in the Middle East and other parts of the world. 'This wasn't a meeting about a particular capability. That wasn't why we got our heads down today. 'It was to allow ourselves the space, which we took, the time, which we took, to have a strategic discussion so that tactical decisions could be seen within the wider strategy. 'It was a really good invitation from the President, we've had a very productive (meeting) and we've come to a strong position. I'm very pleased that we've had these discussions.' The news of the expulsion of diplomats comes as Sir Keir Starmer speaks with US President Joe Biden about the prospect of allowing Ukraine to target Russia with long-range Western missiles Army Tactical Missile System (ATACMS) are coveted by Kyiv as they can strike deep into Russian held territory Your browser does not support iframes. Former defence secretary Sir Ben Wallace said the wrangling over Ukraine's use of long-range missiles in Russia was just benefiting Vladimir Putin. The Tory former minister said: 'I'm just disappointed that it's yet again, another tug of war around another capability.' The row over whether Western missiles can be used to strike targets across Ukraine's border follows similar delays over decisions on supplying tanks and fighter jets. 'All of that delay, all of that tug of war favours Russia and allows Putin to insert, in the delay, threats and new red lines and efforts to divide and rule in the international community,' Sir Ben told BBC Radio 4's Today. He said Mr Putin was 'a bully, and for a bully to succeed all he needs to do is intimidate people, all he needs to do is get people to pause and... that's how he gets us to change our behaviour'. More than a million calls to Police Scotlands 101 crime hotline have gone unanswered, shock new figures reveal. Thousands of victims of crime have been forced to hang up before their calls were answered by overstretched switchboard operators. In one shocking case a potential victim of crime waited an hour and 23 seconds before eventually getting through. The telephone number is used as an alternative to the 999 emergency line for the public to report crimes such as housebreakings, assaults, anti-social behaviour, car crime and vandalism. It means hundreds of thousands of Scots who have suffered from crime, or want to pass on intelligence in the last two years were left hanging on the line trying to speak to operators. Police call centres are overstretched and face staff shortages, say critics Conservative deputy justice spokesman Sharon Dowey last night Conservative deputy justice spokesperson Sharon Dowey hit out at the shocking figures. She said: These alarming figures are a damning indictment of the SNPs sustained underfunding of Police Scotland. Overstretched officers and staff are doing their very best, but they are being starved of the resources they need to do their job. Callers simply should not have to be waiting so long for their calls to be answered. Many of them will simply respond by dialling 999 instead, which will only add pressure to the system. Public safety will continue to be put at risk unless SNP ministers finally prioritise policing and justice, rather than treating these issues as an afterthought. Last night Police Scotland argued that callers may have chosen to end their call for various reasons - such as following instructions to hang up and dial 999 if their call warrants it. However, without knowing the reasons people ended each call, all that is known is the sheer volume of calls that were discontinued. So-called performance figures are published by Police Scotland each month. Since January 2022, when the worst of the COVID pandemic was over, a massive 1,008,318 calls to the 101 line have been discontinued. The worst month of 2022 was August, when people calling 101 hung up 40,440 times before getting through. That was exceeded in June 2023, when 51,034 calls were ended, equivalent to 41 per cent of all 123,315 calls. Between January and July this year Police Scotland have seen 215,223 calls discontinued. The response rate for 999 calls was far higher but in June last year, one caller to the emergency service had to hold on for five minutes, 23 seconds before getting a reply. The official target is 10 seconds. Katy Clark, Scottish Labours Justice Spokesperson, said: After years of chronic underfunding and a failure to prioritise frontline policing by the SNP government, its worrying but not surprising that calls to the police are going unanswered on this scale. Police can only keep our streets safe if the public can quickly report suspicious behaviour and crimes - a failure to act only encourages criminals to think they can get away with it. The SNP government must act now to ensure Scots can pick up the phone knowing they will be listened to when they ask for help. Police Scotland insisted it was misleading and inaccurate to suggest that non-emergency 101 calls are being left unanswered, missed, or are disconnected by their call handlers. Assistant Chief Constable Emma Bond said: Police Scotland receives more than three million public contacts each year and our committed officers and staff continue to prioritise our 999 emergency calls. Many of these discontinued 101 calls will be cases where callers have been instructed to hang up and dial 999, they have decided to redial and select another option from a pre-recorded menu, or they have realised they should be calling another agency. There will always be slight variations on average speed of answer for our services due to fluctuations in call volumes. We do however have measures in place to provide additional resilience to support our non-emergency 101 response during peak periods. A North American oil and gas company is behind an enormous takeover bid being considered by ministers to save the Grangemouth oil refinery, the Mail on Sunday can reveal. Owners Petroineos confirmed on Thursday that it was closing the facility which produces vast quantities of petrol, diesel, heating oil and aviation fuel for the UK, in the second financial quarter of 2025, with the loss of 400 jobs. The company, a joint venture between PetroChina and billionaire Sir Jim Ratcliffes Ineos, blamed global competition and falling demand for fossil fuels. In response Labour and SNP ministers hastily added 20million to an existing 80million growth fund for the wider Falkirk area and talked up Project Willow, a joint government investment scheme, as a possible saviour. And SNP MSP for Falkirk Michelle Thomson claimed there is a serious prospective buyer which could step in to keep Scotlands only refinery going. The refinery at Grangemouth is due to close next summer Now, the MoS can reveal a North American company is the interested buyer. A source said: The company has had agents scoping out the site, it is a North American oil and gas company, but the name cannot be revealed at the moment. It is set to be an astronomically expensive bid, however, insiders will not share how much the takeover might be worth for fear it could affect the markets. SNP minister Ivan McKee has confirmed talks with the buyer are going ahead. Speaking on BBC Radio 4s Any Questions? programme McKee said: Were working closely with the UK government to explore options of what can be done to support the jobs there and keep the plant going. I know that theres been talk of potential buyers coming in to run the operation and all of those avenues will be explored fully. He added: There is a possible buyer, clearly theres a process to be gone through. However, Petroineos last night claimed it had not seen a credible offer put forward. Petrineos, a joint venture between billionaire Brexit-backing co-owner of Manchester United Sir Jim Ratcliffe - via his Ineos Group - and Chinas state-backed PetroChina, announced last November that it planned to close the refinery, first opened by BP in 1924, in the second financial quarter of 2025 amid enormous financial difficulty. It is understood the site is losing around 383,000 a day and is on course to lose around 153m this year. But unions hoped it could remain open beyond the initial closing date to allow a smoother transition towards its future use. Once the refinery is closed, the plan is to make the site a storage facility for finished fuels. It is currently the primary supplier of aviation fuel for Scotlands major airports and supplies almost two thirds of the countrys demand for refined oil products - and represents around 14 per cent of the UKs overall refining capacity. At the end of last week, the MoS was made aware of a top secret meeting taking place among shareholders to discuss the future of the refinery and agree a final closure date. Hours after that discussion, sources fed back that the facility would indeed stick to its previous quarter two 2025 deadline for closure. At the same time, UK Government insiders pointed to movement taking place within Project Willow, the shared study by the UK Government and the Scottish Government which is tasked with looking at options for Grangemouths future. On Monday, the Mail on Sunday asked Sir Keir Starmer if he stood ready to nationalise the site if needed to keep it going, to which he responded: Im not going to get ahead of ourselves here. Billionaire Sir Jim Ratcliffe co-owns Petroineos which plans to shut the refinery And, on Thursday, the outcome of that shareholder meeting was officially announced. In joint statements, the UK and Scottish Governments said they were committed to work together with Petrineos to decomission the oil refinery and secure an industrial future for the site. But workers said the closure announcement was a kick in the teeth. Some insiders are keen for the North American oil and gas company to step in to stop the two governments and the company from following a green agenda and only allowing a storage hub for renewable energy at Grangemouth. A spokesman for Petroineos said: We have seen no evidence of a credible bid for the refinery. If a genuine offer is forthcoming, we will engage seriously with it. 'Since Petroineos was formed in 2011, our shareholders have invested nearly 1bn in the refinery, absorbing losses of more than 590m in the same period. This year alone, we are anticipating losses of more than 150m. The mountain village of Caldes, surrounded by forests below the jagged peaks of the Alps, has been officially recognised as one of the most beautiful places in Italy. Yet, today, many villagers are scared to go into those forests. One elderly woman told me she had abandoned hiking and photographing nature. Her neighbour pointed out the trails that he no longer strolls along every weekend. Giuseppe Rizzi, 72, president of the village council, has stopped walking his dog to visit his allotment in the forest. And his wife Alba doesn't feel safe going out after dark, saying: 'I don't remember ever being scared like this when I was growing up.' The reason these villagers many from generations of tough mountain folk who lived as hunters and shepherds are so fearful is simple: a neighbour, while on a run last year, was mauled to death by a female bear. The attack on Andrea Papi, 26, as he jogged in the Dolomites, shocked Italy, sparking a national debate over the wisdom of a policy to reintroduce brown bears here. There is an Italian policy to reintroduce brown bears in the Dolomites Andrea Papi, 26, (right) was mauled to death by a bear in Italy and tried to fight it off with a broken branch, investigators believe. He was reported missing by his girlfriend Alessia Gregori (left) The clash pitted politicians against animal rights activists, conservationists against local people. Meanwhile, the bear, though spared from being put down by the country's top court, is now trapped in captivity, with wildlife experts complaining about the cruelty of her 'jail' sentence. The controversy recently reignited after another female bear was killed on orders of the region's governor following an attack on a French tourist. In an unexpected twist, the victim, Vivien Triffaux, 43, then said he was 'really sad' for his role in the death of a mother protecting her cubs, adding: 'I'm truly pained that our encounter cost her life.' The saga over how to handle aggressive bears after the success of a 'rewilding' project in the Italian Alps comes at a time when hundreds of other brown bears across Europe from Sweden to Romania are being culled. 'We have lost all our bearings on this issue,' says environmentalist Francesco Romito. 'We need to conserve bears for the future but also understand the fears of the local community.' The bear now in captivity, which was responsible for Italy's first fatal mauling for 150 years, has been named JJ4 being the fourth daughter of Joze and Jurka, two bears caught in Slovenia and released with eight others into a national park near Trento more than two decades ago. Their translocation was part of a project called Life Ursus, which began after bears in this region were on the brink of extinction. This EU-backed scheme to restore the predators at the top of the food chain was deemed a success, with at least 120 bears now living in the region. Inevitably, there have been close encounters with people. After a female bear attacked French tourist Vivien Triffaux (pictured), the region's governor ordered her to be killed The bear, JJ4, was tranquilised (pictured) and is now in captivity having been spared by the country's top court. JJ4 was responsible for Italy's first fatal mauling for 150 years Eurasian brown bears are big beasts. They can be taller than a human, weigh up to 800 lb and charge at 35 mph, with males roaming vast distances. Though usually shy of people and largely nocturnal, they can react aggressively when frightened especially mothers with cubs. After Andrea Papi was killed by JJ4, it emerged that the bear had been involved in an attack four years earlier mauling a man who was hiking with his father. There have been at least six other assaults over the past decade resulting in serious injuries. Many houses in Caldes now display banners demanding 'Justice for Andrea'. His father, Carlo, told me people are furious over the rewilding scheme and failure to inform residents about attacks. 'I'm filled with anger,' said the retired head waiter. 'The authorities knew there was a dangerous bear out there but didn't warn anyone. 'We've been waiting for justice but it seems it will never come. We want accountability someone to go to jail. The decision to reintroduce bears has been a disaster.' Caldes residents told me that a bear had been seen strolling through a children's playground the previous night. A few days earlier, said one woman, a car had been badly damaged by a bear standing on it to reach fruit from a tree. Alberto Perli, mayor of Andalo, a popular tourist town, said that even fixing rubbish bins in concrete failed to stop these powerful animals from tipping them over to rummage for food. The authorities are now building underground bins. Eurasian brown bears are big beasts. They can be taller than a human, weigh up to 800 lb and charge at 35 mph, with males roaming vast distances. Surveys by Trentino's wildlife department found the reintroduction project was well-supported when it began but now 70 per cent of locals dislike their booming bear population. Franca Ghirardini, 61, mother of the fatally mauled jogger, complained that locals had never been consulted on rewilding. Yet such has been the furore following her son's death that she and her husband have suffered a repulsive barrage of hate mail and abuse on social media, resulting in 21 complaints to the police. Some staff in the regional government have also quit their jobs due to the hostility aroused among animal lovers by the original decision to shoot JJ4. The bear's life was spared along with another beast that attacked a hiker after activists appealed to Italy's highest court and judges ruled that putting it down would be a 'disproportionate' punishment. Claudio Groff, who heads Trento's Large Carnivores Division, said JJ4 was likely to be deported to Germany later this year. 'Co-existing with bears means removing those that pose a danger to humans,' he said. Marina Chini, of Collettivo Scobi, which campaigns on animal rights and opposed the putting down of JJ4, says the risk of being mauled by a bear is negligible compared with other dangers in the mountains. 'Many, many more people are killed by cars,' she said. Though usually shy of people and largely nocturnal, brown bears can react aggressively when frightened especially mothers with cubs Alessandro de Guelmi, a retired vet who trapped 18 bears for research and public safety while overseeing captures in Trentino between 2014 and 2019, said a 'fantastic' scheme had been ruined after it was taken over by politicians. 'I've never had a problem because bears are intelligent. If you know what you are doing, they will not harm you,' he said. He explained that it is a normal reaction to scream when confronted by a bear, 'but this makes it afraid'. He told me about once coming across a sleeping bear. 'I pulled its cheek softly, like with a cat or child, and it opened its eyes at me. I thought it might go crazy but as soon as it opened its eyes I knew it was OK. It was the most beautiful moment of my life. It felt like she smiled at me.' Yet he believes it is better to kill rogue animals than keep them behind bars. 'Captivity is the most horrible thing you can do to a bear they must be free or dead.' They became Britain's first reality TV stars when they agreed to live for a year on the Scottish island of Taransay. But almost 25 years later, some of those who volunteered for the BBC's Castaway 2000 have revealed they will never forgive the show's producers for the way they were treated. The lasting bad blood is clear in today's edition of BBC Radio 4's The Reunion, which reunites the show's executive producer Jeremy Mills with several of those who set up home on the Hebridean island. At several points in the normally sedate show, Mills clashes with participant Ron Copsey, who wishes he had never set foot on the island. Copsey tells presenter Kirsty Wark he had begun to mistrust the producers even before the show began. Mills responds by saying: 'Luckily, it's mutual, Ron, so that's fine.' When Copsey asks why he was recruited for the experiment, Mills replies: 'You know what? I wish we hadn't.' Ben Fogle made his name on Castaways but was frustrated by certain aspects of the hit show Almost 25 years later, some of those who volunteered for the BBC 's Castaway 2000 have revealed they will never forgive the show's producers for the way they were treated Castaway was a ratings smash when broadcast throughout 2000, but was dogged with controversy from the outset Later in the show, Mills apologises to Copsey when the castaway reveals he was dubbed 'Rancid Ron' by another member of the production team. Billed as the UK's first-ever reality programme, Castaway was a ratings smash when broadcast throughout 2000, but was dogged with controversy from the outset. Mills, determined to get the show on air in January, moved the castaways on to the island before their accommodation was finished, and they weren't fully prepared for the Scottish winter. The show was also broadcast while the experiment was continuing and when the media descended, contestants became aware of how they were being perceived by the outside world and disputes broke out. Ben Fogle, who became a TV star after appearing on the show, tells The Reunion: 'I was always under the impression that none of these shows was supposed to go out until the end of the year. 'One of the most disastrous things that happened to us is that the shows started going out while we were there.' The Reunion is on BBC Radio 4 today at 10am and is available via BBC Sounds. Children are crossing the border from England to get Botox and dermal fillers which could disfigure them for life, politicians and campaigners have warned. A ban on under-18s getting the so-called tweakments was introduced in England in 2021, but the Scottish Government is yet to impose a similar rule. Botox is used to smooth out fine lines and wrinkles by relaxing certain muscles, while fillers are gel-like substances that sit under the skin and create the illusion of fullness. There has been an explosion in demand for the treatments in recent years, in part influenced by social media and reality TV stars such as Kylie Jenner, who has admitted to having lip injections. Yet medics, politicians and campaigners have highlighted a lack of sufficient regulation in Scotland, and called on the devolved Government to restrict the world of non-surgical cosmetic procedures in line with England. Kylie Jenner has helped popularise cosmetic treatments Lip filler injections and Botox have become hugely popular with teenagers Their desperate plea comes amid reports of youngsters travelling north of the border to have the injections. West of Scotland MSP Katy Clark has now ramped up pressure on the SNP administration, accusing ministers of leaving young people at risk due to inaction. Ashton Collins, from SaveFace, a UK-government approved register for aesthetic treatments, has raised serious concerns about youngsters from the north of England flouting the rules by travelling to Scotland, to take advantage of the lack of regulation. She has said: Whats happened is because of the lack of action in Scotland, were now seeing people from places like Newcastle going up to Scotland because they can get treatments under the age of 18 with no questions asked. Last night, Ms Clark, speaking to The Mail on Sunday, said: The lack of regulations in Scotland is leaving the door wide open for harm. We cannot wait until more people suffer serious injuries or disfigurement to act. T he government must address these dangerous gaps in the law immediately. She added: The fact that under-18s in Scotland can legally access potentially dangerous cosmetic treatments is unacceptable. These are life-altering decisions that should be made with great care, not left unregulated, especially when young people are involved. Meanwhile representatives from Scottish Medical Aesthetics Safety Group (SMASG), British College of Aesthetic Medicine (BCAM) and British Association of Cosmetic Nurses (BACN) have all raised concerns over the lack of regulation of the sector in Scotland. In a post online, the SMASG said border hopping is a key issue being raised. It added: Currently, under 18s have been found to cross the border as it is illegal for them to seek treatment in England. There is concern that once the licensing scheme is introduced in England, non-medical practitioners may continue to practise over the border in Scotland if legislation is not also enforced there. Ms Clark added: England has already taken steps to protect young people and the public from the dangers of unqualified practitioners. Its time for Scotland to follow suit and ensure our regulations reflect the seriousness of these procedures. It comes as industry experts have branded Scotland the worst country in Europe for its rules around the enhancements, which can be toxic and cause tissue death. A loophole means medical professionals, such as doctors, nurses and dentists, who carry out the treatments have to register with a Scottish Government regulator - but beauticians do not have the same checks. Although Botox must be carried out by a trained medical professional, as it is a prescription-only drug, some practitioners are sourcing it on the black market. Fillers are also being bought at a cheaper price in that way, but experts have warned it can carry extreme risks. There is now so much money in Scotlands 400million beauty industry that organised crime groups have started diversifying their smuggled cargo to include fake Botox and fillers from as far a field as China and South Korea to be sold on the black market. According to official records, the Scottish Government met with Police Scotland and environmental health teams last year amid concerns that organised crime groups had started to diversify into the beauty and cosmetics sector, such is the high demand for face-altering treatments. A statement from ministers read: Scottish Government officials met with Police Scotland and Environmental Health Officers on 12 January 2023 regarding concerns related to the potential involvement of organised crime groups in the beauty/cosmetics sector. The implications of the use of counterfeit and unlicensed beauty products is one of a number of issues under consideration as we consider further regulation of non-surgical cosmetic procedures. The Scottish Government and its partners on the Serious Organised Crime Taskforce are committed to working together to disrupt any serious organised crime and the harm it causes to individuals and communities. In May, thousands of pounds-worth of Botox, needles and dermal fillers were seized from an industrial warehouse in the North of Glasgow by swat teams from the Medicines and Healthcare Products Regulatory Agency (MHRA). Glasgows Environmental Health team has also reported receiving an increased number of complaints about practices and procedures in aesthetic clinics. Last week, the Scottish Government committed to launching a consultation on what regulation may be necessary for the aesthetic sector. Public Health Minister Jenni Minto said: I confirmed this week that we will hold a public consultation into non-surgical cosmetic procedures to address gaps in regulation. This will be published before the end of the year and is the next step in the process of delivering our goal of ensuring robust and proportionate regulation is introduced. School leavers who did badly in their exams can still have a career as a spy in the secret security services, The Mail on Sunday can reveal. MI5 is looking for potential spies with just one GCSE, providing the qualification is in English and it's a grade 4 or above. Spymasters hope to recruit dozens of school leavers or older adults on a starting salary of 32,000. The role called a Foundation Analyst involves examining data, such as audio files, from potential state enemies to see if they are linked to terrorists, extremists or organised crime gangs. Most roles within MI5 and the other intelligence agencies usually require applicants to have a top university degree. James Bond as James Bond 007. MI5 is looking for potential spies with just one GCSE, providing the qualification is in English and it's a grade 4 or above. Spymasters hope to recruit dozens of school leavers or older adults on a starting salary of 32,000 Students taking their GCSE exams. School leavers who did badly in their exams can still have a career as a spy in the secret security services, The Mail on Sunday can reveal (stock image) And there was once a time when the majority of graduates joining the security service were selected from Oxford and Cambridge. But now MI5 currently depicted in hit Apple TV drama Slow Horses, starring Oscar winner Gary Oldman says it wants to increase diversity and recruit individuals with virtually no academic qualifications. Those selected could also potentially move into other areas of the organisation's work such as agent handling and intelligence. Successful applicants will have to pass a rigorous selection process where their background will be subjected to careful vetting to ensure they have no links to terrorists or criminal groups or whether they could be targeted by foreign agents. Those selected will complete a three-month training course before joining a four-year programme in which the trainee spies will complete three posts. The advert says: 'Whether you're just starting your career, or looking to change careers, this is an opportunity to put your natural curiosity and problem-solving skills to use, as you help us to unravel the information that helps protect national security.' Colonel Philip Ingram, a former Army intelligence officer, said: 'This is a fantastic move. 'Not everyone matures at the same rate and this will help many who are late developers or neuro-diverse but have the wider skills and potential needed.' Seagulls are menacing coastal communities and major cities alike, sparking hundreds of complaints to councils the length and breadth of Scotland. As the birds travel to new areas - increasingly inland - in search of food, we can reveal that nearly 700 complaints have been raised this year already, with the number surpassing last years total of around 600. They include horrifying accounts of children left bloodied by the birds swooping on people and household pets and, while coastal communities are severely affected, complaints also include scores of revolting tales of the gulls feasting on rats in Scotlands biggest city. The birds, which are coming further inland to feed than ever before due to reducing fish numbers, are protected under law in Scotland, although special applications can be made to remove them if they pose a serious risk. Most complaints, unsurprisingly, were registered in the Glasgow area which has the largest population. Eating food in the open can be dangerous when fearless gulls are around However, there have also been dozens of complaints made so far this year in Aberdeenshire, Ayrshire, Dumfries and Galloway, and Fife. Meanwhile, in parts of the Scottish Borders, the situation is now so bad that one councillor has cited injuries to children which have been highlighted to him and has demanded greater action is taken to deal with the threat. Information obtained by this newspaper highlights problems across Scotland. In Glasgow, the council recorded concerns including reports received of feeding of birds, which is attracting swarms of seagulls and caller cant open window or go outside as they are being attacked by seagulls, with this happening daily. The citys cases also include instances of seagulls eating rats daily. In Fife, one complainer told the council: We have at least two seagull nests in our street. Ive proofed my house against them but am so fed up with weeks of noise at all hours, mess all over the place and being attacked when I leave my house. They are colonising this area and I feel so helpless. In North Ayrshire, a case included a nuisance as the gulls are swooping down with the caller adding: I regularly have my one year old grandson over and a gull swooped stole the food he was about to be given. 'My neighbour was having dinner and had to run for cover. Things are getting out of hand. Kate Carter-Larg, who runs the Cheesy Toast Shack in St Andrews, said: We have introduced a seagull insurance fee on each of our sandwiches as the situation with seagulls attacking customers is so bad. People can pay 1 extra and, if a gull swoops down and steals your toastie, you are covered for a replacement. We raised 500 last month alone and donated this to charity. The gulls are very aggressive and quite terrifying. It really is an issue, and people have been left bleeding after the gulls swoop for the food. Gavin Lindsay, manager at Anglo Scottish Pest Control, who deal with gull complaints in Edinburgh and the Borders, said: I have observed a gull, which was sitting on top of a bin, shooting upwards and swallowing a starling in mid-air, like a bird of prey. It was quite remarkable and shows the capability of these birds. They are intelligent creatures and able to recognise our staff, and we have seen gulls gather in huge numbers and act aggressively towards us when they see us coming out of our vans. Swooping birds are becoming a menace in many towns and cities Most birds are protected although we can apply for a licence to remove gulls if they pose a genuine risk. However, its a complex area and we are generally backing away from this area of work. James Anderson, an independent councillor in the Scottish Borders, said the problem was severe in his constituency, and has called for urgent action. He said: In Eyemouth, we have seen multiple gull strike victims, with children attacked and left with gashes to the scalp and blood running down their faces. This is now about public safety. We have a responsibility to protect wildlife, but must also acknowledge the impact herring gulls can have on our communities. Common, great black-backed and herring gulls are now all red-listed species of conservation concern in the UK, with other gulls amber listed. The declines are attributed to changes in food availability and land use, with some species also suffering losses because of recent devastating outbreaks of avian flu. James Silvey, species and habitats officer at RSPB Scotland, said: The presence of gulls in urban areas reflects that traditional habitats and food sources are in decline, making it harder for them to survive and raise young in their natural habitats. 'Urgent action is needed to help gulls and other globally important seabird colonies in Scotland recover. Nature Scot, formerly Scottish Natural heritage, said: We updated our guidance for gull licensing this year in response to significant declines in gull populations. We know gulls can sometimes cause issues in our towns and cities, and our licensing team have been communicating with local councils and licence holders throughout the breeding season to provide advice and support to those dealing with gull issues. An illegal migrant has been arrested in connection to the brutal murder of a family of four in their upstate New York home. Julio Cesar Pimentel-Soriano, 34, was arrested on September 7 and charged with second degree murder after allegedly using a 'sharp object' in the fatal stabbings of the family in their Irondequoit home. The charred remains of the family - identified as 30-year-old Fraime Ubaldo, 26-year-old Marangely Moreno-Santiago, four-year-old Evangeline Ubaldo-Moreno and two-year-old Sebastian Ubaldo-Moreno - were discovered in their burned-up home in the early morning of August 31, the DailyMail.com previously reported. Pimentel-Soriano, an immigrant from the Dominican Republic where he is also wanted for another murder from 2019, entered Puerto Rico illegally where he obtained a fake New York ID, according to the Irondequoit Police Department. 'With that identification, he was free to travel from Puerto Rico to the United States mainland,' officials said. Julio Cesar Pimentel-Soriano, 34, was arrested on September 7 and charged with second degree murder after allegedly using a 'sharp object' in the fatal stabbings of the family in their Irondequoit home The remains of the Ubaldo-Moreno were discovered in their home, but police determined their deaths to be homicides after finding grisly stab wounds Investigators confirmed that the gruesome deaths that left veteran police shaken were homicides after discovering stab wounds in the necks and chest of each victim, reported the New York Post. Assistant District Attorney Perry Duckles has said that the police department is looking for a second person involved in the murders. 'I dont think this was something random that happened. I do think there is a motive. What that motive is, we are still looking into,' Duckles told WHAM. Officials also say that Pimentel-Soriano was operating under the alias of Rafael Jose Vargas and had connections in Rochester. Assistant District Attorney Perry Duckles has said that the police department is looking for a second person involved in the murders As of now, no official for the brutal murders have been announced In the days following the family's murder, relatives and friends gathered to pay tribute to the deceased with a makeshift vigil of balloons and stuffed animals outside of their home on Knapp Avenue. The Irondequoit Town Supervisor, Andrae Evans, said days before the arrest: 'Our condolences go out to the family, their friends and neighbors. 'Because no one, no one deserves this. And whoever you are who did this, you will be brought to justice.' Irondequoit Police Chief Scott Peters, who has worked in law enforcement for 32 years, was visibly shaken at a press conference as he described the scene as 'the worst thing I've ever seen' while vowing to 'bring these monsters to justice.' According to the New York Post, Pimentel-Soriano is being held at the Monroe County Jail without bail and his case has been moved to a grand jury. A Channelview High School student was arrested and charged with a felony in connection to a threat against the school. Houston Chronicle A 16-year student at Channelview Independent School District was charged with a felony and was being held in a Harris County juvenile facility in connection to threats made in a social media post this week, officials with the Harris County Pct. 3 Constables Office said Friday. The student was arrested following a investigation initiated by an anonymous tip on an alert system. The tip referenced a social media post that referenced a potential shooting at a Channelview ISD campus, according to a news release from the constable's office. The post included a photo of two weapons, an assault rifle and a pistol with a suppressor, alongside a threat to carry out a shooting at a school. In a statement released Friday afternoon, Channelview ISD officials said administrators were first made aware of the social media threat Thursday evening and it involved a student at Channelview High School. Advertisement Article continues below this ad According to the statement, the threat specifically targeted the high school and an investigation immediately followed, and the student was charged with felony terroristic threat. The district notified parents of the incident Thursday evening. "As a result of the arrest and in alignment with the Student Code of Conduct, the student will not be on campus," the statement read. "We absolutely will not tolerate this type of behavior nor any threats being made against any of our students or staff." As of Friday afternoon, the student was being held at the Harris County Juvenile Probation Department. The incident comes after Houston ISD investigated a separate incident this week over a threatening social media post that alleged a shooting would take place at several campuses. Advertisement Article continues below this ad Houston ISD also announced this week that it would increase security after reports of threats targeting Texas schools. A third of Britons would support Prince Harry returning to Royal duties on a permanent basis, an exclusive poll for The Mail on Sunday has revealed. This represents a significant increase over the past six months and suggests that the Duke of Sussex, who is 40 today, has managed to turn the tide of public opinion. While more than 60 per cent of the public are still opposed to or undecided about a comeback, the Prince has seen his backing grow from 25 per cent in March to 34 per cent this month, according to Ipsos. It's a rise of 36 per cent, indicating that Harry's more conciliatory approach to the Royal Family this year is beginning to pay off as he looks to strengthen ties back in Britain. He returned to the UK without controversy in May to celebrate the 10th anniversary of the Invictus Games and in August for his uncle Lord Robert Fellowes's memorial service. In an apparent olive branch to the Royal Family, he said last month that the paperback edition of his memoir Spare would not be updated with new 'truth bombs'. A third of Britons would support Prince Harry returning to Royal duties on a permanent basis, an exclusive poll for The Mail on Sunday has revealed While more than 60 per cent of the public are still opposed to or undecided about a comeback, Prince Harry has seen his backing grow from 25 per cent in March to 34 per cent this month, according to Ipsos Royal author Margaret Holder said: 'The fact Harry has not continued with the grievance industry is helpful. His work on Invictus is popular and his tours to Nigeria and Colombia may have played well with the public. He has not apologised, at least not in public, and there will be some who never forget his attacks, but he does appear to be keeping on the straight and narrow.' The Mail on Sunday which recently revealed that Harry has been receiving advice from former friends and aides as he seeks to visit the UK more often can also disclose he has held brainstorming sessions with British associates, exploring ideas for 'positive change' in his life. The long-distance conversations, aimed at laying the foundations for future 'big plans', took place from his home in California. One person he has been regularly talking to for guidance is Nick Loughran, the right-hand man of his old friend, Hugh Grosvenor, the Duke of Westminster. Mr Loughran is a former senior media adviser at Kensington Palace whose wife works for the Sussexes' Archewell charity. He met Harry in May when the Prince was in the UK and the two have kept in contact as Harry seeks a path back to public popularity. It is understood that Mr Loughran does not wish to take sides between the warring brothers and was believed to be pivotal in the decision that Harry should not attend the Duke of Westminster's June wedding, allowing William to attend as an usher without their feud overshadowing the day. A well-placed source told The Mail on Sunday: 'Harry values Nick's informal guidance on forward-looking, positive stuff.' The Princess of Wales is still the most popular, with 66 per cent of the public having a favourable view of her Queen Camilla is at 41 per cent, higher than her 38 per cent around the Coronation in May 2023 The MoS can also reveal that Harry maintains contact with Jamie Lowther-Pinkerton, a former equerry to the Queen Mother, who served as Prince William and Harry's private secretary from 2005 to 2013, as well as former Royal advisor Ed Lane Fox, who led the recovery of Harry's public image in 2012 when naked pictures of him were leaked. A source said the Prince's recent meetings are a further indication that he is 're-engaging in his former life', adding, 'the ball is in Harry's court now'. Meanwhile, the Prince, who inherits a multi-million pound windfall from the Queen Mother today, is celebrating his milestone birthday at home in California. As for the wider Royal Family, the poll found that 56 per cent believe King Charles is doing a good job the same figure as in April while less than a quarter want a republic. Biographer Hugo Vickers said: 'It is good news for the King that his rating is stable, yet frankly I am surprised it is not higher. He has shown great courage facing his illness and doing the best job he can under quite difficult circumstances.' The study, which surveyed 1,097 British adults between 6 and 9 September, showed opinion on the Royals has remained largely constant since April. Since April, Meghan Markle has dropped from 25 per cent to 21 per cent favourability, just slightly higher than after Spare's release, when it dropped to 19 per cent The Princess of Wales is still the most popular, with 66 per cent of the public having a favourable view of her, followed by the Prince of Wales at 65 per cent. Princess Anne, who swiftly returned to work after a head injury in June, continues to be the third most popular Royal at 62 per cent. Queen Camilla is at 41 per cent, higher than her 38 per cent around the Coronation in May 2023. Since April, Meghan Markle has dropped from 25 per cent to 21 per cent favourability, just slightly higher than after Spare's release, when it dropped to 19 per cent. Prince Andrew remains the least popular Royal with just 11 per cent support. Boris Johnson has urged Sir Keir Starmer to break the impasse over allowing Ukraine to fire long-range missiles into Russia warning that every day's delay is costing lives. Speaking after a visit to war casualties in Kyiv, the former prime minister expressed frustration that talks between Sir Keir and US President Joe Biden in Washington had failed to sanction the use of Storm Shadow missiles against Vladimir Putin's forces. Mr Johnson said: 'It is heartbreaking to visit wounded veterans in recovery centres as I have in Kyiv this weekend where Ukrainian heroes are being treated for the injuries they have suffered in the past few months, and to think that some of these casualties could have been avoided if we had given Storm Shadow permissions earlier. 'There is no conceivable case for delay. The only person who fears escalation is Vladimir Putin, and every day that goes by is a lost opportunity to save lives and bring about a just conclusion to this war.' Volodymyr Zelensky shakes hands with Boris Johnson during a meeting in Kyiv, 13 September Storm Shadow missiles are seen attached to the hard points of a Eurofighter Typhoon A Ukrainian armoured military vehicle travels past a burned car near the Russian-Ukrainian border, Sumy region, Ukraine, Wednesday, Aug. 14, 2024 Moscow claimed its forces were repelling the advances. Pictured: Vladimir Putin on August 15 Putin has told Western nations that unleashing the Storm Shadows would represent Nato's 'direct participation' in the Ukraine war. So far, the US has allowed Kyiv to use American-provided weapons only in a limited area inside Russia's border with Ukraine. Following the meeting at the White House, Sir Keir evaded questions about the missiles, saying only: 'We've had a long and productive discussion on a number of problems, including Ukraine', rather than a 'particular step or tactic'. Ukraine president Volodymyr Zelensky branded Mr Johnson one of his greatest friends as he renewed his calls for the West to allow Ukraine to strike deeper into Russia and to allow his forces to use Western weapons to target air bases and launch sites further afield, as Russia steps up assaults on his country's electricity grid. In a message shared on X, Mr Zelensky said of Mr Johnson: 'I am grateful for his attention to Ukraine and support in providing the necessary international assistance to Ukraine since the beginning of the invasion. 'Ukrainians always remember those who stand by them.' Ukrainian servicemen operate a tank on a road near the border with Russia, in the Sumy region of Ukraine, on August 14, 2024 File photo. Putin has told Western nations that unleashing the Storm Shadows would represent Nato 's 'direct participation' in the Ukraine war. Mr Zelensky said the country needed to 'boost our air defence and long-range capabilities to protect our people'. Defence analyst Justin Crump said Putin's threats showed that he was testing both the new Labour Government and the outgoing Biden administration. He added: 'Ultimately Russia already supplies weapons to the UK's adversaries, and is already engaged in active measures such as subversion, espionage, sabotage and information/cyber operations against Nato members' interests. 'This may all accelerate, but picking a fight against all of Nato is not something Russia can afford given how hard they're struggling against just Ukraine.' Two passenger trains have ploughed into each other in Egypt's Nile Delta, killing at least three people including two children. The horror crash happened on Saturday in the city of Zagazig, the capital of Sharqiya province, the country's railway authority said in a statement. Egypt's Health Ministry said the collision injured at least 49 others who have been rushed to nearby Al-Ahrar and Zagazig University hospitals - with five said to be in an 'unstable' condition. The ministry added: 'Rescue operations are ongoing.' Shocking pictures show worried onlookers surrounding the crushed carriages. People can be seen inside the wreck as police officers stand near the scene. Video from the site of the crash showed a train car crumpled by the impact, surrounded by crowds. Men tried to lift the injured through the windows of a passenger car. Two passenger trains have collided into each other in Egypt's Nile Delta city of Zagazig At least three people have died, Egyptian authorities said Video from the site of the crash shows a train car crumpled by the impact, surrounded by crowds Train derailments and crashes are common in Egypt, where an aging railway system has also been plagued by mismanagement. In recent years, the government announced initiatives to improve its railways. In 2018, President Abdel Fattah el-Sissi said some 250 billion Egyptian pounds, or $8.13 billion, would be needed to properly overhaul the North African country's neglected rail network. El-Sissi spoke a day after a passenger train collided with a cargo train, killing at least 12 people, including a child. Last month, a train crashed into a truck crossing the tracks in the Mediterranean province of Alexandria, killing two people. In 2021, two passenger trains collided in southern Egypt, leaving 32 dead and around 100 wounded as multiple carriages derailed and flipped over. The crash happened in Sohag province, 285 miles south of Cario, when 'unknown individuals' pulled the emergency brake on one train headed from Luxor to Alexandria, causing it to stop on the tracks. A second train going from Aswan to Cairo then ploughed into it from behind, causing at least two carriages to derail while others were left buckled and broken by the force of the impact. In 2018, a passenger train derailed near the southern city of Aswan, injuring at least six people and prompting authorities to fire the chief of the country's railways. Men try to lift the injured through the windows of a passenger car In 2021, two passenger trains collided in southern Egypt , leaving 32 dead and around 100 wounded as multiple carriages derailed and flipped over The crash happened in Sohag province, 285 miles south of Cario, when 'unknown individuals' pulled the emergency brake on one train headed from Luxor to Alexandria, causing it to stop on the tracks A year earlier, two passenger trains collided just outside the Mediterranean port city of Alexandria, killing 43 people. In 2016, at least 51 people were killed when two commuter trains collided near Cairo. Egypt's deadliest train crash took place in 2002, when over 300 people were killed when fire erupted in speeding train traveling from Cairo to southern Egypt. This is the moment thieves smashed a ceiling and climbed over tills to rob the tobacco counter at a Tesco store. The thugs are shown hacking down ceiling panels in order to seize cigarettes and alcohol at the supermarket in Forest Gate, Newham, east London. A security guard is seen watching on and filming the incident on this phone, while the pair step over self checkouts to reach the area behind the counter. A customer is heard saying 'Call the police right now', as the store is vandalised. The clip also shows a concerned mother leading her two frightened children out of the shop. Thugs smashed into a ceiling at a Tesco store in Newham, east London on Thursday The men, dressed in black coats and hoods, are then seen dashing out the store with bags full of stolen goods. Online users reacted to the apparent lack of action from the security guard in the store. 'Security forgot he was at work', one wrote. Another said: 'Welcome to 2024 where crimes a recorded on phones instead of being prevented.' Footage showed the group of thieves ransacking the areas behind the counter after climbing over self-checkouts in the store in Forest Gate Metropolitan Police said it was investigating the incident which took place at around 7.20pm on Thursday. A statement from the force said: 'Officers are investigating the theft of items from the Tesco store in Katherine Road, E7 at around 19:20hrs on Thursday, 12 September. 'There has been no arrest but enquiries continue. 'Anyone who may know the identity of those shown in footage of the incident, which is being shared on social media, should call 101 ref 6318/12sep. To remain anonymous, please contact Crimestoppers.' MailOnline has contacted Tesco for comment. Sir Keir Starmer has said he will examine Italy's offshore processing plan for asylum-seekers as he heads out for talks with its right-wing leader. The Prime Minister said he hopes to discuss his counterpart Giorgia Meloni's 'strong ideas' on the crucial topic of illegal immigration when he visits her in Rome on Monday. It will be the third bilateral meeting between the two leaders in as many months, after they met at the NATO summit in Washington just after the election then again at Blenheim Palace when Britain hosted the European Political Community. Speaking to reporters on his trip to the White House, Sir Keir said: 'I've already had a preliminary discussion with Giorgia Meloni about this, about how we can work together on irregular migration. 'She has of course got some strong ideas and I hope to discuss those with her. 'She and I have already discussed how we can improve joint operations, so that is something we will discuss.' The PM is keen to learn how her government has managed to cut the number of migrants arriving in dinghies across the Mediterranean by almost two-thirds in the past year Migrants wait to be transferred from Lampedusa Island, Italy, September 15, 2023 Starmer and Meloni appeared to get on well when they met at the Migration Working Group session at the European Political Community (EPC) meeting at Blenheim Palace in July The PM is keen to learn how her government has managed to cut the number of migrants arriving in dinghies across the Mediterranean by almost two-thirds in the past year, from 118,000 to 44,500. So far Ms Meloni has focused on financial deals with North African countries such as Tunisia and Libya to improve their border security so they can stop boats setting off across the sea. But this autumn she will go further by opening a holding centre in Albania, where migrants picked up at sea by Italian rescue ships will be taken while their asylum applications are processed. As many as 36,000 people a year could have their claims dealt with by Italian officials under the deal, with those rejected being returned to their home countries while those accepted as refugees are admitted into Italy. Asked if he would consider the Albania scheme, Sir Keir replied: 'Let's see. It's in early days, I'm inter-ested in how that works, I think everybody else is. It's very very early days.' In his first day in Downing Street, the Labour leader scrapped the Tory government's main policy for trying to 'stop the boats': the much-delayed plan to deport illegal arrivals to Rwanda which cost 310million without a single person ever being forced to go. Albania has agreed to host two migrant processing centers on its territory that will be run by Italy under a deal that worries human rights activists A patrol boat rescuing migrants from a sinking ship off the coast of Lampedusa, Italy The Hope Hotel in Rwanda, which was prepared to accept asylum seekers from the UK He dismissed it as a 'gimmick' and insisted it would not have acted as a deterrent as the Conservatives believed since 'less than 1 per cent' of those crossing the Channel in dinghies could have been sent on one-way tickets to East Africa. However the new Government is open to the less controversial idea of asylum-seekers having their claims processed offshore, which is also being explored by a number of other European countries as they search for ways to deal with illegal migration. Germany has even suggested it could use the facilities in Rwanda paid for by British taxpayers to house asylum-seekers while their applications are dealt with. Latest figures show that more than 22,000 have crossed the Channel in dinghies this year more than in the same period last year while there have been 39 deaths as people-smugglers cram ever more people into the flimsy boats. Sir Keir Starmers powerful chief of staff Sue Gray was last night facing questions over her connections with senior Sinn Fein politicians, including a former IRA terrorist who has boasted that Ms Gray was a friend in court at No 10. The Tories have asked Ms Gray to reveal the extent of her contacts with Republican figures after security sources said that she had held meetings with Conor Murphy, Sinn Feins economy minister, when she quit her senior civil service job to work for Sir Keir. Tory peer Jonathan Caine, who worked in the Northern Ireland Office under successive Conservative governments, has tabled a question in the House of Lords asking: What meetings and phone or video calls has the Prime Ministers chief of staff had with representatives of Sinn Fein since the general election. Her connections with Irish Republicans a source of intrigue since her days as the landlady of a pub in IRA bandit country in the 1980s came into sharp focus last week, after a public inquiry was established into the murder of Belfast solicitor Pat Finucane by loyalist paramilitaries in 1989. The Government has refused to say whether Ms Gray was involved in the decision to launch the inflammatory investigation into the extent of the involvement of the security services. Sir Keir Starmer s powerful chief of staff Sue Gray was last night facing questions over her connections with senior Sinn Fein politicians British soldiers and a armoured saracen vehicle patrol on the streets of Crossmaglen, County Armagh, Northern Ireland, in September 1981 A source said: After Sue quit the civil service she worked to actively shore up her Irish contacts, including with Murphy. Last night a No 10 source said: We never get into staffing matters but the information you have been presented with is not entirely correct. Security sources have also expressed concern about Ms Grays failed bid to drive through a 310 million redevelopment of Casement Park, a derelict stadium in Belfast where two British soldiers were murdered by nationalists in 1988. The plan was vetoed this weekend by Northern Ireland Secretary Hilary Benn amid fears about soaring costs and after security officials argued that the plan was a risk to civic order due to the opposition of Unionists. Ms Grays involvement in the project had been branded constitutionally improper by a Whitehall source, who claimed it was an unelected member of staff spending public money on an area of interest to them, subverting Cabinet. Ms Gray, who has been dubbed the court assassin by her critics in government because of her powerful role within the Starmer administration, has been cloaked in mystery since she gave up a Whitehall job 40 years ago and moved to Northern Ireland at the height of the Troubles to become landlady of the Cove Bar, close to the border in an IRA-infested part of rural County Down. She has denied working for British intelligence during this time, saying: Im definitely not a spy and, no, I never have been. Mr Murphy is the most senior Sinn Fein member to emerge from bandit country, so named because the RUC could not patrol there without an army escort. He joined the IRA as a teenager during the hunger strikes and in 1982 was sentenced to five years in prison for IRA membership and possession of explosives. Irish Republican Army (IRA) graffitti is seen in West Belfast, Northern Ireland, April 6, 2005 Tory peer Jonathan Caine, who worked in the Northern Ireland Office under successive Conservative governments, has tabled a question in the House of Lords He became a Sinn Fein activist on his release, and was MP for Newry and Armagh from 2005 to 2015. In 2005, he became the first Irish republican to address the Tory conference, causing controversy by refusing to voice regret over IRAs bombing of Brightons Grand Hotel 21 years previously. He is now a Member of the Legislative Assembly (MLA). After Ms Grays appointment as Sir Keirs chief of staff, Mr Murphy said: With Sue Gray, who was previously from here and is now part of [the] leaders office... At least we have a friend in court, so we can certainly have access... directly to Downing Street. Conservatives have expressed disquiet over the Finucane inquiry, saying there were no legal grounds for it and the decision was a political one. Mr Finucane was shot by the Ulster Defence Association at his home in Belfast in front of his wife and children in 1989, aged 39. He was a defence solicitor who frequently acted for IRA members. Former Tory leader Iain Duncan Smith said: The terrorists kept no records, so their barbarity is lost in the mists of time. We kept records. The problem has always been that this has appeared to Unionists like it is one-sided. Asked whether Ms Gray was involved in setting up the public inquiry, Labour said it would not get into staffing matters. Ms Gray, who investigated Boris Johnson over Partygate, is understood to have asked Mr Johnson to appoint her as permanent secretary at the Northern Ireland Office after she was blocked by cabinet secretary Simon Case. An embarrassed Mr Johnson pretended not to hear her request. Sinn Fein was approached for comment. A Pennsylvania bakery is using cookies to help predict the winner of the 2024 Presidential election. Lochels Bakery in Hatboro is tracking the sales of their red Trump cookies and blue Harris cookies, as customers from all over the US place orders in favor of their choice of candidate. Bakery owner, Kathleen Lochel, has been sharing the progress of her sweet experiment on social media, noting earlier today that political cookies are sold out for Saturday. As of Friday morning, the small business had sold a grand total of 5,200 Trump cookies compared to just 500 Harris cookies. I think that people support Trump all over, Lochel told Fox & Friends First. Lochels Bakery in Hatboro is tracking the sales of their red Trump cookies and blue Harris cookies as customers from all over the US are placing orders in favor of their candidate Bakery owner, Kathleen Lochel, has been sharing the progress of her sweet experiment on social media, noting earlier today that political cookies are sold out for Saturday' The bakery claimed: In Pennsylvania almost all of the shipping has been red. We have only shipped seven packages of blue in the state of Pennsylvania. Lochel said she came up with the idea when brainstorming out-of-the-box ideas during tough times brought on by the COVID-19 pandemic. We saw this in 2020 as well, the shop-owner said of the greater amount of red cookies sold. A third of that number is being shipped across the United States, were seeing people from all over get these cookies now. Theyre telling us stories, a lot of people are upset right now with the cost of things and are venting to us, but we stay neutral to things and we love all. Lochel has hosted the confectionary poll since 2012, predicting the outcome of three of the last four elections with her winning streak coming to an end following the 2020 election, Fox29 reported, adding that a baker friend of hers, based in Minnesota, has copied her indulgent election-prediction idea. Ahead of the last presidential election, Lochels Bakery sold a total of 31,804 Trump cookies and only 5,750 Biden cookies. The butter-based cookies are dressed in either red-and-white or blue-and-white sprinkles with a Trump 2024 or Harris 2024 banner running through the center The third generation bakery originally opened in Philadelphia but was eventually closed in 1995 due to a catastrophic fire. Lochels reopened in 2005 after a stint working in conjunction with a neighboring bakery, Piazzas Bakery, according to the shops website The butter-based cookies are dressed in either red-and-white or blue-and-white sprinkles with a Trump 2024 or Harris 2024 banner running through the center. Sugar addicts can get six of the famous election cookies for $30 - or $5 a piece. Getting its start in 1942, the small-town shop has had a rich history. The third generation bakery originally opened in Philadelphia but was eventually closed in 1995 due to a catastrophic fire. Lochels reopened in 2005 after a stint working in conjunction with a neighboring bakery, Piazzas Bakery, according to the shops website. All of the family-run bakerys products are made from scratch, daily, by Lochel, her brother and other skilled bakers. As of Friday morning the small business sold a grand total of 5,200 Trump cookies versus a seldom 500 Harris cookies as onlookers speculate the results of the upcoming election The Hatboro-based shop prides itself on their hard to find offerings including German Apple cake, Springerle cookies and Stollen. Lochel plans to sell the candidate cookies through the November 7 election. Following the September 10 debate, Vice President Kamala Harris has taken a five-point lead over Donald Trump among registered voters, recent polling shows. The two candidates met for the first time on Tuesday in the ABC News debate in Philadelphia and spent more than an hour and a half tussling over abortion, the economy and foreign policy. A DailyMail.com snap poll determined Harris was the winner of the debate and seemed most presidential, while Trump was deemed the most aggressive. Sir Keir Starmer's former ethics adviser faces an investigation by the parliamentary authorities after being accused of lying during a House of Lords debate about Labour's plans to slap VAT on private-school fees. In an emotionally charged speech earlier this month, Baroness Ramsey of Wall Heath claimed that pupils at her daughter's 'hard-pressed' state school were banned from running in their playground because there was too little space. This, she said, was because some of its land had been sold to a neighbouring private school in a 'desperate' bid to raise 'extra cash'. 'My daughter pressed her face up to the wire fence, gazing at the endless fields stretched out in front of her for the benefit of the one in 15, and thought that that was not fair,' she told the Lords. But last night Baroness Ramsey, who overhauled Labour's internal complaints process in 2020 after the party was rocked by anti-Semitism allegations, was facing questions over whether she had 'concocted a story' to mislead her fellow peers. The Baroness told Lords: 'As I said in the debate, my daughter was told not to run in her new school playground because it was too small to do so safely. I and other parents have been told this was because some of the land had previously been sold to the adjoining private school.' The complainant says Baroness Ramsey, who is married to Jonathan Slater, former chief civil servant at the Department for Education, could only have been referring to Charter School North Dulwich and the neighbouring private James Allen's Girls' School (JAGS) In a hard-hitting complaint to the House of Lords Commissioners, seen by the MoS, another parent from Dulwich in South London, near where Baroness Ramsey lives, claims the peer's account was 'fundamentally untrue'. The complainant, a father of two who wants to remain anonymous, says he has 'confirmed' there had been no such sale of land and 'certainly not between the only state and independent schools which do border each other in the area'. The complainant says Baroness Ramsey, who is married to Jonathan Slater, former chief civil servant at the Department for Education, could only have been referring to Charter School North Dulwich and the neighbouring private James Allen's Girls' School (JAGS). The parent says there has been 'no land transfer between the schools, and JAGS has owned its site since 1886'. A JAGS spokesman confirmed that no sale of land had taken place. Moreover, the trust that runs Charter School is chaired by Mr Slater, sacked from the DfE in 2020 after the backlash following the downgrading of A level results. In addition, the complainant says that Charter School, far from being 'hard-pressed' is highly academic and recognised as one of 'the most sought-after state schools in the country, with excellent facilities'. He also points out the trust that runs it has net assets of 77 million, nearly double JAGS's 43 million reserves. The trust that runs Charter School (pictured) is chaired by Mr Slater, sacked from the DfE in 2020 after the backlash following the downgrading of A level results The complainant added: 'I feel very concerned about misinformation being quoted so fictitiously, frivolously and disingenuously to the public, let alone to the House of Lords. It is even more worrying to think that Baroness Ramsey has concocted a story involving her own daughter for the purpose of supporting Labour's policy.' The House of Lords Commissioners confirmed it has received the emailed complaint and it is 'being dealt with'. Baroness Ramsey responded: 'As I said in the debate, my daughter was told not to run in her new school playground because it was too small to do so safely. I and other parents have been told this was because some of the land had previously been sold to the adjoining private school.' A deeply divisive vote to legalise assisted dying could be held within weeks after Sir Keir Starmer backed plans to fast-track it through the Commons, The Mail on Sunday understands. The Prime Minister is privately paving the way for a vote before Christmas much sooner than expected which could usher in one of the greatest social changes in the UK since the Abortion Act 1967. A law to allow terminally-ill adults with a life expectancy of less than six months to end their lives with medical help would spark an intense moral debate over the sanctity of human life and the risks of the legislation being abused. Sir Keir's own Justice Secretary has warned that it could prove to be a mandate for 'granny killers'. After broadcaster Dame Esther Rantzen this weekend appealed directly to Sir Keir to allow a vote, Labour sources said 'the wheels are turning' to arrange one as soon as MPs return from the party conferences. 'The PM who has spoken movingly about the end-of-life struggles endured by his disabled mother has previously told Childline founder Dame Esther: 'We need to make time. We will make the commitment.' The Prime Minister is privately paving the way for a vote before Christmas much sooner than expected which could usher in one of the greatest social changes in the UK since the Abortion Act 1967 An asssited dying machine. A law to allow terminally-ill adults with a life expectancy of less than six months to end their lives with medical help would spark an intense moral debate over the sanctity of human life and the risks of the legislation being abused Until now, he had declined to set a timetable. However, this newspaper understands Sir Keir has decided to accelerate the process after Labour dominated the results of the annual Private Members' Bill ballot for MPs to put forward their own legislation. Labour backbencher Jake Richards, who came 11th in the ballot, has offered to put forward an assisted dying Bill. But as he is too low in the list to guarantee a debate, Labour MPs higher up are being urged to take up the proposal. Top of the ballot is Kim Leadbeater, who represents Spen Valley, with Norwich South's Clive Lewis at No 4. Last night, one Labour MP on the list said he had been offered two extra staff members to help him draft a Bill if he proposed legalising assisted dying. When the issue was last debated by the Commons in 2015, it was defeated on a free vote by 330 votes to 118. But it stands a greater chance of being passed now because of the influx of new Labour MPs, who are expected to back it, and the PM's support. If passed, it would be the most significant legislation to effect social change since the 1960s, when Harold Wilson's Labour Government reformed laws on abortion, the death penalty and homosexuality. Campaigners supporting assisted dying. When the issue was last debated by the Commons in 2015, it was defeated on a free vote by 330 votes to 118. But it stands a greater chance of being passed now because of the influx of new Labour MPs Campaigners protest against assisted dying. Sir Keir's Cabinet is split on the issue. Justice Secretary Shabana Mahmood has said: 'I don't intend to support it' A Labour source said: 'The wheels are turning. It has been made clear to the MPs at the top of the ballot that the PM backs a change in the law.' However, Sir Keir's Cabinet is split on the issue. Justice Secretary Shabana Mahmood has said: 'I don't intend to support it... I know some MPs who support this issue think, 'For God's sake, we're not a nation of granny killers, what's wrong with you' [But] once you cross that line, you've crossed it forever. If it becomes the norm that at a certain age or with certain diseases, you are now a bit of a burden that's a really dangerous position.' And Health Secretary Wes Streeting has declared himself 'conflicted' on the issue, citing the poor state of end-of-life care and warning that the 'right protections' were needed to make sure people don't 'take their own life thinking they were a burden on others'. He explained he was 'deeply uncomfortable' about the practical aspects of changing the law, saying: 'Candidly, when I think about this question of being a burden, I do not think that palliative care, end-of-life care in this country, is in a condition yet where we are giving people the freedom to choose, without being coerced by the lack of support available.' Assisting someone to end their life is currently a criminal offence. Any Commons legislation is likely to adopt a similar Bill which former Labour Justice Secretary Lord Falconer has introduced into the Lords. It would apply only to those 'mentally able to make the decision' and their choice would need to be approved by two doctors and the High Court. Sir Keir with his wife in Doncaster. Sir Keir has decided to accelerate the process after Labour dominated the results of the annual Private Members' Bill ballot for MPs to put forward their own legislation After broadcaster Dame Esther Rantzen this weekend appealed directly to Sir Keir to allow a vote, Labour sources said 'the wheels are turning' to arrange one as soon as MPs return from the party conferences Dame Esther's appeal came as a majority of a so-called 'citizens' jury' said assisted dying should be allowed in England. The 84-year-old, who has terminal cancer, has joined the Swiss Dignitas clinic. She has called for 'proper time to discuss the issues, have the free vote and change this cruel law. 'I call it cruel, because not only does it mean that I've got to have a bad death, if that's what the cancer creates for me, but my family can't be with me if I decide to go to Dignitas. Otherwise they are liable to being accused of killing me... that's just messy and wrong and not what we want. 'So, please Sir Keir... let's make time for this. It really is a matter of life and death.' Last night, the Dignity in Dying campaign said: 'The British people are demanding an assisted dying law... this is now an undeniable fact and one this new generation of MPs is keenly aware of. The time for change has clearly come.' A Government spokesman said: 'Successive governments have taken the view that any change to the law in this sensitive area is a matter for Parliament. This Government will not stand in the way of any debate and votes.' Campaigns over recent years to become Tory leader have not, for the most part, been triumphs. Where they have produced successful leaders, it has been more by luck than by judgment. Tory MPs must surely, sometimes, secretly yearn for the old 'Magic Circle' method, in which grandees chose the best man (for in those days it always was a man), who then 'emerged'. But today's world would never accept that. The system of election, which the party is stuck with for now, does not help. MPs, who know the candidates well, can whittle them down to a final two. But they then must hand the decision to the party membership, a mysterious electorate who in many cases have a surprisingly sketchy idea of who they are voting for. The only alternative to this is a 'coronation' in which one of the final two candidates steps down to make way for the other. But, while this no doubt would avoid some risks, it would always give rise to questions about whether democracy had been served. Conservative Party leadership hopeful Robert Jenrick. Campaigns over recent years to become Tory leader have not, for the most part, been triumphs. Where they have produced successful leaders, it has been more by luck than by judgment Tory Party leadership hopeful Kemi Badenoch. The system of election , which the party is stuck with for now, does not help. MPs, who know the candidates well, can whittle them down to a final two. But they then must hand the decision to the party membership A leader who has not won in a final ballot will never have the authority of one who has. So some sort of wider, deeper examination of the final two candidates is surely necessary after they have been chosen early next month. Indeed, the following three weeks before the ballot closes on October 31 are hugely significant for the Tory Party. If, after the recent General Election mauling, they get it wrong, they may face possible annihilation. The ideal way of ensuring the right result must be through media scrutiny, and The Mail on Sunday will certainly scrutinise the hopefuls. Perhaps the most potent test is an interview of each candidate on his or her own, conducted by an independent and knowledgeable journalist. Debates are all very well, and can be entertaining, but they seldom lead to the sustained forensic questioning that digs deepest into a candidate's knowledge, skills and understanding. We strongly urge the major broadcasters to ensure that the final two candidates are exposed to the questioning of implacable and informed interviewers such as Andrew Neil, a man not unknown to Mail readers. Assisted dying bill requires extra care Monumental social changes are seldom decided at General Elections, precisely because they are such sensitive subjects and political leaders are afraid of them. The huge revolutions of the 1960s, on abortion, the death penalty and homosexuality, were never put directly to the voters and were brought in by MPs' private members' bills. All those bills became law only because they received discreet but powerful backing from the Labour government of Harold Wilson, which found vital time for them to be debated and passed. A sustained campaign by reformers for assisted dying, with the potent backing of broadcaster Esther Rantzen, has achieved growing public support for such a change Now, as The Mail on Sunday reveals today, we may face a similar landmark move, supported by Sir Keir Starmer, to legalise assisted dying. A sustained campaign by reformers, with the potent backing of broadcaster Esther Rantzen, has achieved growing public support for such a change. But opponents continue to fear that it would lead as similar laws have done abroad to what many would regard as abuses and excesses. The emotional case may be strong. But reason and experience warn that hard cases make bad law. MPs should be very careful when they come to debate this matter. Colossal changes of this kind, even if they turn out to be mistaken, are notoriously hard to reverse. While Texas rivers usually don;t hold bass as large as those found in some reservoirs, the scenery, solitude and overall quality of the fishing experience can give these natural waterways high rankings in some anglers' list of favorite bass fishing waters in the state. Shannon Tompkins/Houston Chronicle Its no secret Texans love their fishing. With more than 2 million anglers in the Lone Star state, the Texas Parks and Wildlife Department is seeking ideas for projects to enhance our fisheries and shoreline. TPWDs Habitat and Angler Access Program wants partners across the state to submit proposals for the programs third round of funding for fiscal year 2026 through 2027. The program aims to develop and increase shoreline and bank fishing access and rebuild and support the fish habitat in public inland waters. The window to submit project proposals for potential new partners started on Sept. 13, and will be open until Mar. 31 of next year. Groups interested in helping grow the shoreline and support fish habitats will work closely with TPWD Inland Fisheries Biologists. Advertisement Article continues below this ad Even during winter, when bass fishing can be at its slowest, anglers who target the right waters at Caddo Lake can enjoy excellent, and uncrowded, fishing for largemouth and spotted bass. Shannon Tompkins, HC staff / Houston Chronicle Since last year, the program has used $2.9 million in funding to push out 32 projects dealing with shoreline stabilization, installation of erosion control features, deployment of habitat structures, native vegetation plantings and more. The sale of Texas fishing licenses contributed to more than $1 million of the funding, with the rest coming from the support of partners like local municipalities, water authorities, educational institutions, angler groups and other nonprofit organizations. When it comes to improving individual fish habitats, the programs funding supports various habitat projects on public ponds, reservoirs, streams and rivers. Bank and shoreline access is also improved through projects that develop or improve piers, install fish-attracting features like underwater dock lighting, create jetties and construct non-motorized boat launches for canoes and kayaks. Those interested in submitting a proposal for the third round of HAAP funding can visit the TPWD HAAP website homepage, or contact FishGrants@tpwd.texas.gov. A Los Angeles fitness influencer is 'fighting bravely' in the ICU after being shot in the face during an alleged attempted robbery. Miguel Angel Aguilar, the president of Self Made Family Training, was allegedly followed by four men to his LA home Friday afternoon, police told TMZ. The group of men, armed with guns, approached Aguilar - the husband of celebrity hairstylist Priscilla Valles - after he got out of his car, according to the outlet. Sources said the alleged thieves attempted to take Aguilar's Rolex watch just before he was shot in the face. The fitness guru is currently recovering at the hospital following the serious attack, Self Made Family Training said in a post Saturday evening. The extent of his injuries are unknown. Miguel Angel Aguilar, the president of Self Made Family Training, was allegedly shot in the face during an alleged attempted robbery at his LA home Friday afternoon Aguliar's wife, Priscilla Valles, is a celebrity hairstylist who often posts the work she's done for Kim Kardashian, Angelina Jolie, Dua Lipa, and more. (pictured: The couple in December 2023) Kim Kardashian at the 2024 Kering for Women Dinner on September 9 in NYC 'Dear Self Made Family, We are heartbroken to share that Miguel was involved in a serious incident last night. 'He sustained a gunshot injury and is currently fighting bravely in the intensive care unit. Miguel is a beloved individual, and his strength, leadership, accomplishments, and resilience have inspired many. 'We know that he is surrounded by the love and support of his family, friends, all of you, and we are confident in his ability to overcome this. 'During this difficult time, we ask for your prayers, positive thoughts, and support for Miguel and his loved ones, and ask that you respect the privacy of his friends and family at this time. 'We will provide updates as they become available. Thank you for your continued love and compassion,' the gym said in a post. The fitness guru is currently recovering at the hospital following the serious attack, Self Made Family Training said in a post (pictured) Saturday evening Agulair's wife has a large social media presence of more than 200,000 Instagram followers, and often posts celebrities she's done hair extensions for, including Kim Kardashian, her famous sisters, Angelina Jolie, Dua Lipa, Hailey Bieber and more. Her husband has more than 48,000 followers on Instagram where he not only promotes his fitness business, but often offers advice to people looking to start their own business. In a recent post, Aguilar, a father of two daughters, shared video clips of the couple enjoying a fun trip together. 'Life is beautiful. I can't give you any advice on marriage, but what I can say is to never stop dating. 'Its about multitasking with the girls, celebrating life, and spending quality time with my beautiful wife, P. 'Shes my number one cheerleader in everything I doeven in gambling, where we always win! Love you!,' Aguilar wrote. Aguilar (pictured) is a father of two daughters. Valles is their step-mother In a recent birthday post for Aguilar, Valles said he was her 'rock' who 'inspires her everyday.' (pictured: The couple in an undated picture) Valles is the step-mother of Aguilar's daughters, according to an Instagram post she made in April. On August 1, Valles shared a carousel of pictures alongside her husband and wished him a happy birthday. 'Happy Birthday to my whole heart @selfmadefamilyinc. You are my rock, a solid foundation of love, protection and togetherness. 'You give so much and you are beyond appreciated! You Inspire me everyday and I am so proud of the family Man that you are. I adore you and love you,' Valles wrote. DailyMail.com reached out to Valles and Aguliar's teams for comment, and the Los Angeles Police Department. Fears over Labour's plans to boost workers' rights are plunging British firms into 'damaging uncertainty' and fuelling fears for the economy, a new survey reveals. Business leaders raised the alarm last night over 'lack of detail' in the Government's flagship programme to give workers' a string of new rights from their first day in the job. The warning came as a new survey showed growing pessimism among company bosses over doing business in the UK over the next five years. More than 60 per cent consulted by the Confederation of British Industry (CBI) predicted a decline in the UK as a place to invest over the next five years. Under plans championed by Deputy Prime Minister Angela Rayner , Labour is committed to a radical 'Make Work Pay' agenda including giving workers protection from unfair dismissal from day one of a new job A woman working from home. Business leaders raised the alarm last night over 'lack of detail' in the Government's flagship programme to give workers' a string of new rights (stock image) Under plans championed by Deputy Prime Minister Angela Rayner, Labour is committed to a radical 'Make Work Pay' agenda including giving workers protection from unfair dismissal from day one of a new job. Minister are also proposing to give employees a new right to work from home as well as a right to switch off from answering managers' phone calls and emails. Labour pledged to introduce the necessary legislation within 100 days of taking office but the Employment Rights Bill has yet to be published. And yesterday, the latest CBI/Pertemps employment trends survey warned of the potential for 'unintended consequences' of aspects of the Government's programme. It revealed that given the 'limited information' they currently had on Labour's plans, only 26 per cent of businesses were confident they could absorb the cost of the Make Work Pay plans. More than half either disagreed or strongly disagreed that they could afford expected higher costs without unintended consequences. The survey also highlighted how Government and business working together can meet the challenges of the new plans. The Tories, Shadow Business and Trade Secretary Kevin Hollinrake (pictured) accused the Government of 'hanging the Sword of Damocles over the heads of business' And last night, the CBI stressed that it shared 'the Government's primary mission to boost investment in the UK.and raise living standards for people across the country'. But CBI future of work and skills director Matthew Percival, the organisation's future of work and skills director said: 'While business recognises the objectives of many of these reforms, the lack of detail about how they will be achieved has created damaging uncertainty. 'Businesses are concerned that achieving these goals in the wrong way risks significant unintended consequences for growth and for workers.' Those concerns are understood to include worries that employers could face expensive industrial tribunal bills as part of the new regime. However, a Department for Business and Trade spokesman cast doubt on the research, saying: 'The concerns of under 100 businesses in this survey do not reflect those of the hundreds of small, medium and large companies we are actively consulting with as we develop the details of our proposals . 'The majority of employers support the government's plans to strengthen employment rights, with a recent survey of bosses showing they think it would be positive for productivity, staff retention and profits.' However, for the Tories, Shadow Business and Trade Secretary Kevin Hollinrake accused the Government of 'hanging the Sword of Damocles over the heads of business'. Luke Johnson (pictured), part owner and chairman at Gail's bakery, said: 'Labour plan a raft of new employment regulations which will lead to higher costs and more claims for businesses He added that Labour 'know nothing about business but do know that when their union paymasters say jump, their only question should be: how high?' Last night, Luke Johnson, part owner and chairman at Gail's bakery, said: 'Labour plan a raft of new employment regulations which will lead to higher costs and more claims for businesses. 'These will discourage job creation and punish smaller businesses in particular. 'They will inhibit entrepreneurs and growth - the opposite of what Labour claims is their priority. 'No wonder investors and wealth creators are leaving.' Russ Shaw, of Tech London Advocates, said: 'This could put even more pressure on small businesses and startups who may have less rigourless HR practices in place. 'It may also mean that recruitment times get extended to ensure companies 'get it right' when offers are made. 'I know many young people who go through incredibly lengthy recruitment processes, and I can see that being extended. 'Although well-intentioned, the potential new plan may inadvertently harm younger people seeking employment, especially for the first time.' Residents in this small Iowa town were left with undrinkable water after officials warned against consuming any of the pink liquid flowing from their taps. According to local Kara Robinson: 'Every time you flush the toilet, you turn the water on pink. 'The water tastes like iron. Like you are drinking straight pennies. That's what it tastes like. It is horrible. Our kids don't even like to use our ice because that's what it tastes like.' Shelby Water Supervisor Chad Gordon later explained that the pink color was due to potassium permanganate in the water system. Shelby Water Supervisor Chad Gordon explained that the pink color was due to potassium permanganate in the water system The official stated that while the water supply had been reduced to half, the chemicals used to purify it were not - causing the unusual color. The unique problem, which began on September 10, was resolved yesterday but parents remain hesitant about letting their kids to drink the water. 'Well, who's going to give bright pink water to their children? Who wants to use that for anything?,' Robinson told KWQC. The troubled mother also revealed the town's water supply had turned brown due to high levels of manganese in February this year. 'If it's not pink, it's brown. I think theres a lot of hurt feelings between the citizens and the city council, and we all feel like our voices are not being heard. The town's water supply had also turned brown due to high levels of manganese in February this year Kara Robinson said: 'Well, who's going to give bright pink water to their children? Who wants to use that for anything?' Potassium permanganate is used to remove bacterial growth, dissolved iron minerals, toxic compounds, manganese and hydrogen sulphide in water systems 'We are being run over. Nobodys listening to what we are saying. 'There's a lot of good citizens here. And we just want the same; we want to be taken care of just like anybody else does,' she said. According to Waterlogic, potassium permanganate is used to remove bacterial growth, dissolved iron minerals, toxic compounds, manganese and hydrogen sulphide in water systems. The oxidant is added into the system before the standard water treatment steps and then removed through filtration and disinfection. At times, it is possible that residents of the area may receive pink water despite the systems working to remove the permanganate by flushing the distribution system. Long before their relationship famously deteriorated amid a row over bridesmaids' dresses, it was another fashion crisis that caused tension in Meghan Markle's relationship with the Princess of Wales. Prince Harry himself explained the ill feeling in his memoir Spare, saying that Meghan had raised a 'misunderstanding' with Kate, while trying to unpick why the two couples weren't getting along. Explaining Meghan's theory, he said: 'Kate thought Meg had wanted her fashion contacts. But Meg had her own. They'd got off on the wrong foot perhaps?' It's a detail that's easily forgotten after much bigger rows came to light, such as Meghan accusing Kate of being cold towards her, while the future Queen was insulted by her sister-in-law's remarks about her 'baby brain'. However, it's certainly been cast in a new light with this week's announcement that Sarah Burton, the designer behind Kate's wedding dress, has been appointed as the creative director of Givenchy. The French fashion house designed Meghan Markle's bridal gown when Clare Waight Keller was at the helm, and the label quickly became a staple of her wardrobe throughout her brief royal career. Sarah Burton, who designed Kate Middleton 's iconic wedding dress, will now oversee Givenchy, the French fashion house behind Megan Markle's nuptial gown Now, with Kate's close collaborator taking the reins, it poses the intriguing question - will the Princess become the design house's next muse when she makes her return to public duties? It would certainly be a sting for Meghan, who revived Diana's approach to fashion by re-introducing the glamour of French couture to royal engagements. While Kate's royal wardrobe, in her early years in the royal family, was largely defined by 'safe' British designers such as Emilia Wickstead and Catherine Walker with the odd high end label mixed in, Meghan went straight to Prada, Oscar de la Renta, Dior - and of course, Givenchy. In another twist, Claire Waight Keller was this week unveiled as the new creative director of the Japanese brand Uniqlo. Therefore, if Meghan's loyalty remains with the designer rather than the fashion house, her wardrobe will be rather more casual than couture. Whatever the outcome, it's just one of many fashion rivalries between the two women that have continued despite living thousands of miles apart. Here, we reveal all the designers caught in the royal fashion wars. Meghan's gown was created by Clare Waight Keller, who was recently named as the new creative designer for Japanese retailer Uniqlo Alexander McQueen Alexander McQueen is one of Kate's go-to brands. The royal, of course, chose Sarah Burton, then Alexander McQueen's creative director, to design her wedding dress in 2011. Kate wore McQueen at a public engagement for the first time after her wedding at a BAFTA reception in LA in 2011 opting for a floor-length lilac gown, which she later re-wore at the 2021 Earthshot Prize Awards. Kate, of course, chose Sarah Burton, then Alexander McQueen's creative director, to design her wedding dress in 2011 Kate donned Alexander McQueen for the first time at a royal engagement at the 2011 BAFTAs, opting for a floor-length lilac gown The Princess has showcased countless ensembles by the British fashion house over the years Kate chose an elegant coat dress by Alexander McQueen for Harry and Meghan's wedding in 2018 Sarah Burton designed Kate's wedding dress while serving as creative director at Alexander McQueen Since then, Kate has frequently worn outfits from the British fashion house for significant events, international tours, and even Harry and Meghan's wedding. This collaboration not only cements Kate as a style icon but also promotes British fashion globally, showcasing the brand's lasting impact on the industry. Meghan has occasionally worn McQueen, once choosing a 1,980 LBD for the premiere of The Hunger Games: Catching Fire in 2013. Meghan selected a flirtatious LBD by Alexander McQueen for the red carpet premiere of The Hunger Games: Catching Fire premiere back in 2013 In recent years, she has only acquired one other outfit - a black trouser suit - which she has worn for numerous engagements In recent years, she has only added one more look - a black trouser suit - to her wardrobe, which she has worn on several occasions. Her first appearance in this 1,820 suit was at the 2018 Endeavour Fund Awards in London - the same night Kate wore a custom Alexander McQueen gown for a palace dinner in Norway. However, McQueen was always so closely associated with Kate that Meghan largely steered clear of the designer during her time as a working royal. Givenchy Meghan has consistently chosen Givenchy, designed by Clare Waight Keller, for a number of significant royal firsts. She began this tradition with her wedding day in 2018, where she made a memorable entrance at St George's Chapel in a bateau-necked silk gown. At the time, Waight Keller was relatively unknown, making the choice quite unexpected. On her wedding day in 2018, Meghan made a memorable entrance at St George's Chapel in a bateau-necked silk gown, designed by Clare Waight Keller for Givenchy Meghan has consistently chosen Givenchy, designed by Clare Waight Keller, for all her royal firsts. Givenchy outfitted the Duchess in a black figure-skimming dress for her first event at the Royal Academy of Arts Givenchy designed a striking cobalt look for Meghan to wear during her major royal tour of Australia, New Zealand, Fiji and Tonga For her first solo engagement with Queen Elizabeth in June 2018, Meghan wore a Givenchy caped pencil dress. The brand also created the shirt dress that Meghan wore to her first Royal Ascot, along with a stylish co-ord for her inaugural international trip with Prince Harry to Ireland that July. Additionally, Givenchy outfitted the Duchess in a 2,510 velvet dress for her first event at the Royal Academy of Arts, and during her major royal tour of Australia, New Zealand, Fiji and Tonga. It will be interesting to see whether Meghan remains loyal to Clare Waight Keller following her recent move to Uniqlo, which could mean a shift to a more casual aesthetic in the future. In contrast, Kate Middleton's sole Givenchy item is a pair of oversized sunglasses, worn at Wimbledon in 2012 and a polo match in 2014. Although she has upped the number of high-end designer labels in her wardrobe in recent years, other than a smattering of Chanel, she's largely steered clear of the big French couturiers. Kate Middleton's sole Givenchy item is a pair of oversized sunglasses, worn at Wimbledon in 2012 Erdem Both Kate Middleton and Meghan Markle are admirers of Erdem Moralioglu, who launched his eponymous brand in 2005. Meghan developed a fondness for Erdem clothing during her acting career, as evidenced by two dresses she wore before starting a relationship with Prince Harry in 2016. But once she became a member of the Firm, her sister-in-law Kate is reported to have been given precedence in chosing designs because she was also a long-time client. Since 2011, the Princess has been spotted wearing 16 memorable Erdem ensembles, including 12 dresses, one suit, two coats and two jumpers. Kate, who is a longtime client of British designer Erdem, Kate has been spotted wearing 16 memorable ensembles, including 12 dresses, one suit, two coats and two jumpers Kate demonstrated her fashion credentials with a 5,388 Erdem floral jacquard suit at the Commonwealth Service at Westminster Abbey in 2023 Notably, in 2018, she wore a distinctive boucle-tweed dress, with a sweeping neckline and fluted hem, to the opening of the V&A Photography Centre at the Victoria & Albert Museum. This mid-length dress was from Erdem's SS18 collection, which honoured Queen Elizabeth II, particularly her 1958 meeting with American jazz pianist Duke Ellington. Last year, Kate demonstrated her fashion credentials with a 5,388 floral jacquard suit at the Commonwealth Service at Westminster Abbey. The striking peplum jacket and flared skirt, from the pre-autumn 2023 collection, were not available for purchase until months later. Kate has also frequently re-worn her 650 'Lotus' Breton sweater, which she debuted for a visit to her alma mater, St Andrews University, in 2021. She also chose it when she bravely announced her cancer diagnosis in March. In contrast, Meghan showcased Erdem's 1,260 'Davina' dress on NBC's Today Programme in January 2016, before it was available to the public - and prior to meeting Prince Harry. She re-wore the bloomy silk organza mini dress to a Christmas luncheon at Buckingham Palace in 2018. Meghan also chose the 1,430 'Sebla' dress, a knee-length scarlet piece made from floral silk crepe de Chine, for a 2017 wedding in Jamaica. Meghan did not publicly wear the designer until March 2019, opting for a stunning beaded bottle green coat, worth 4,252, while visiting Canada House on Commonwealth Day (left) The fact that dresses crafted by Erdem Moralioglu (pictured), who was born in Canada, were being handed to Kate first is said to have gone down badly with the Sussexes However, as a royal, Meghan did not publicly wear the designer until March 2019, when she opted for a beaded bottle green coat, worth 4,252, while visiting Canada House on Commonwealth Day. At the Festival of Remembrance at the Royal Albert Hall in 2019, she selected a 1930s-inspired black floral jacquard dress, priced at 2,795. The fact that dresses crafted by the British designer, who was born in Canada, were being handed to Kate first is said to have gone down badly with the Sussexes. This led to Meghan allegedly saying that Kate 'wasn't even Queen', according to The Telegraph. Veronica Beard This week, Kate chose a 615 'Castella' floral dress by Veronica Beard for her moving video message to the nation announcing that she has finished her course of chemotherapy following her health crisis earlier this year. It marked Kate's first time wearing an outfit from the American designer, which has been in business since 2009. Meghan, in contrast, has been a long-time fan of Veronica Beard, having worn 16 different looks since March 2016. Kate debuted a 615 'Castella' floral dress by Veronica Beard while delivering a heartfelt message to the nation Meghan Markle has been a long-time fan of Veronica Beard, having worn 16 different looks since March 2016 Kate has worn one dress from American designer Veronica Beard (pictured) while Meghanis a longtime fan of the label Meghan showcased a Veronica Beard striped two-piece on NBC's The Today Show in 2016, pairing it with sky-high court shoes and a voluminous blowdry for an effortlessly glamorous look. Another standout ensemble was a 470 cerulean blue shirt dress, during her trip to Tonga in 2016 with Prince Harry. She recycled the 'Cary' dress in 2019 while touring Southern Africa. Most recently, Meghan marked her longstanding admiration for the designer, wearing a tailored navy co-ord during her trip to Colombia with Prince Harry. Emilia Wickstead New Zealand-born fashion designer Emilia Wickstead, based in London, is undoubtedly one of Kate's most beloved labels. The Princess has worn a total of 25 elegant looks by the British fashion house over the years. The brand's 1,350 'Kate' dress was even named after the royal, as a result of her repeat orders in 2018. Emilia Wickstead's 1,350 'Kate' dress was even named after the royal, following her repeat orders from 2018 Emilia Wickstead (pictured) is undoubtedly one of Kate's most loved designers, having worn 25 looks over the years Following her sister-in-law's example, Meghan chose her first dress as an official member of the Royal Family from the same designer. She wore a 1,400 square neck fit-and-flare frock for a visit to Ireland in July 2018 with Prince Harry. The style was reminiscent of Kate's preferred creations. Later that year, Meghan wore a 1,595 custom wool-crepe dress by the New Zealand-born designer at the ANZAC War Memorial in Hyde Park. Interestingly, she also turned to Emilia Wickstead for the last outfit she wore as a working royal. At the 2020 Commonwealth Day service, Meghan made a memorable appearance in an emerald green dress with a dramatic asymmetric cape. Following her sister-in-law's example, Meghan chose her first dress as an official member of the Royal Family from the same designer (left) She also turned to Emilia Wickstead for the last outfit she wore as a working royal - an emerald green dress with a dramatic asymmetric cape Roland Mouret Founded in London in 1998 by French-born designer Roland Mouret, this brand is celebrated for its iconic, era-defining silhouettes. Since introducing the 3,523 'Lombard' gown by Roland Mouret in May 2012 during a private dinner at Claridge's, Kate has worn seven pieces by the label, encompassing dresses, trousers and jackets. Last year, the Princess was the epitome of elegance in a green pencil dress by Roland Mouret as she presented Carlos Alcaraz with the men's singles final. Later, in November, Kate showcased her chic burgundy trouser suit, for the third time, at the Shaping Us National Symposium reception held at the Design Museum. Kate introduced the 3,523 'Lombard' gown by Roland Mouret in May 2012 during a private dinner at Claridge's. She rewore it at a film premiere in Leicester Square the following year (pictured) Kate has worn seven pieces by Roland roaldn, encompassing dresses, trousers and jackets Meghan has also worn exactly seven pieces by the designer - including four dresses, one pair of trousers, a skirt and a bag - since April 2018. On the eve of her wedding day, the former Suits actress donned the 1,350 'Barwick' dress as she arrived at Cliveden House Hotel with her mother Doria Ragland. Meghan later wore a grey version of this dress, with the addition of a boat neck and long sleeves, for a visit to Ireland in July 2018. At the 2019 Sentebale Fundraiser, the Duchess, who was five months pregnant with Archie, dazzled in a navy sequinned gown from the brand. On the eve of her wedding day, Meghan donned the 1,350 'Barwick' dress as she arrived at Cliveden House Hotel with her mother Doria Ragland Kate Middleton and Meghan Markle have both worn multiple outfits by Roland Mouret (pictured) Roksanda Kate Middleton first wore a Roksanda dress, an elegant blue-grey draped mini, in July 2011. Since then, she has showcased four Roksanda frocks, with the highlight being the vibrant 'Brigitte' dress she wore to the 2022 Wimbledon Women's Singles Final. She had previously worn the 1,095 design during her Caribbean tour with Prince William earlier that year. Kate has showcased four Roksanda frocks, with the highlight being the vibrant 'Brigitte' dress she wore to the 2022 Wimbledon Women's Singles Final The dress, featuring a full skirt, fitted bodice, short sleeves and an elaborate fabric bow, was custom-made. Fashion designer Roksanda Ilincic, originally from Serbia, debuted her eponymous brand at London Fashion Week in 2005 to critical acclaim. Her designs have also caught the attention of Meghan, who wore the 1,850 'Athena' dress for an engagement in Sydney, during her 16-day tour of Australia, New Zealand, Tonga and Fiji in 2018 with Prince Harry. This is the only occasion that Meghan has worn Roksanda. Fashion designer Roksanda Ilincic, originally from Serbia, debuted her eponymous brand at London Fashion Week in 2005 to critical acclaim During her 16-day tour of Australia, New Zealand, Tonga and Fiji with Prince Harry, Meghan wore Roksanda's 'Athena' dress, priced at 1,850, for an engagement in Sydney Aquazzura Meghan's passion for the luxury Italian footwear brand Aquazzura began long before she met Prince Harry. Established in 2012 by Edgardo Osorio, Aquazzura was not widely known when Meghan started wearing its shoes in 2014. Her collection now boasts 32 designs, including 490 suede 'Matilde' heels worn for her engagement photocall and a bespoke pair created for her 2018 wedding reception. Meghan and Kate have both worn Aquazzura's 'Bow Tie' pumps in black, featuring a stiletto heel, cut-out detailing and playful bow Meghan's passion for the luxury Italian footwear brand Aquazzura, founded by Edgardo Osorio (pictured), began long before she met Prince Harry Meghan's most-worn style is the 'Bow Tie' in black, featuring a stiletto heel, cut-out detailing and playful bow. She has selected these pumps for nine public occasions since joining the Royal Family. Meghan's influence appears to have extended to Kate, who wore the same design twice in 2023, adding to her own collection of seven Aquazzura pairs. Missoma Kate has acquired two sets of earrings from the London-based brand Missoma, including the unique 'Calima' Chandelier Hoops, priced at 139. Fans were delighted when Kate wore the distinctive design during her royal tour of Pakistan in October 2019. She later paired them with a sparkling Jenny Packham gown at the 2021 Royal Variety Performance. Kate has acquired two sets of earring from the London-based brand Missoma, including the unique 'Calima' Chandelier Hoops, priced at 139 (left) Missoma, known for its high fashion appeal and affordable prices, has also caught Meghan's attention Demi-fine jewellery brand Missoma was founded by Marisa Hordern (pictured) in 2008 But Missoma, which was founded in 2008 by Marisa Hordern, had piqued Meghan's interest five years earlier. The American actress was first seen wearing a Missoma 'Maiya' moonstone ring at New York Fashion Week in 2014. Since then, she has expanded her collection to include six rings, two bracelets and a necklace. Meghan notably stacked several of these delicate gold pieces during a visit to Sussex in October 2018 with Prince Harry. The words could not be more poignant in the circumstances. On the eve of his 40th birthday tomorrow, Prince Harry stressed the importance of family by emailing the US magazine People to tell them The greatest gift Ive ever been given is, without doubt, is my kids. I enjoy watching them grow every single day. In keeping with the sentiment, he is planning a small party at his $11 million Montecito home with Meghan and their two children, Prince Archie and Princess Lilibet, followed reportedly by an outing with a group of his closest friends. Of the family he left behind in Britain, there will be no sign. No King Charles nor Camilla. And certainly no Prince William or Kate. The playboy prince who was once renowned for drunkenly falling out of nightclubs with a succession of beautiful blondes on his arm has a new home on a new continent and an entirely new life. Some insiders believe Harry remains angry that no one is begging him to come back into the royal fold, writes Rebecca English He not only turned his back on everything he once knew, but pressed the nuclear button. He blew his home, his friends and his duties as a royal but, above all, his family. And the toxic fall-out simply wont go away. In the weeks running up to his milestone birthday, I have been speaking to those with inside knowledge of this intractable breakdown. One of the major problems, they tell me, is that Harry has never been one for saying sorry, or even admitting he was wrong. Maybe hell feel some sorrow at the way things have turned out, but hell never admit that any of it was his fault, says one insider who has known him since childhood. Turning 40 may prompt him to reflect on his life but, astonishingly, they believe Harry remains hurt and angry that no one is begging him to come back into the royal fold and this is yet another impasse to any rapprochement in the near future. For their part, some in Royal circles admit that the Palace machinery didnt handle the whole Sussex situation terribly well at the same time, strongly emphasising that its actually rather hard to help a couple who make everything so exhaustingly difficult, seeing conspiracy at every turn. Indeed, the situation got so bad that many believe leaving Britain was the right thing for the prince to do. He wasnt happy, he couldnt hide that and he needed to make a break. It was a horrible, toxic atmosphere, says one insider who lived through the crisis. However, it was the way Harry went about cutting ties, his laser-focused determination to wreak an almost Biblical vengeance on his family, the determination to spill his guts at any cost, that has truly ruptured bonds with those both family and friends who once loved and doted on him. I was told of one former confidant, who for many years couldnt have been closer to Harry, who was so disgusted by his behaviour and, in particular, by some of the things he apparently said privately about his family that they vowed never to talk to him again. And they havent. One former confidant of Prince Harry told Rebecca English that some of his friends and family were so disgusted by his behaviour they have vowed never to speak to him again So is there any chance of Harry ever being welcomed back? Can shattered relations be restored? Where William is concerned, one well-placed source told me that even after the infamous Oprah interview in March 2021, they could envisage a time when the brothers would find a way to make up eventually. They dont think that now, following the continued barrage of attacks in the Sussexs Netflix documentary, the interviews and podcasts and his vitriolic 2023 memoir Spare, which they say was the final nail in the coffin. The relationship [between the brothers] is non-existent, another admits. William feels betrayed and has other priorities. Even Harrys most loyal supporters agree the brothers relationship is a complicated beast: Id still like to think they might talk, one day. But theres a long road ahead and we certainly arent any closer to that today. They do believe recent claims, however, that Harry would like to find a way to reconcile with his father, particularly given the Kings cancer battle, which weighs on his mind. This is why, they feel, Harry chose not to update the recently published paperback verson of his hit-job memoir, a climbdown which came as a huge (and not unwelcome) surprise to Buckingham Palace. In return, royal officials are notably shying away from even discussing the Sussexes in the hope it will give father and son space to see if they can save anything from their relationship The Royal household and the family remain nervous, of course, and wary, its fair to say. Trust is so low that Harry was the last family member to be given the news of his fathers cancer diagnosis in February, and then only just as it was about to break globally. (He was not even informed in advance of his sister-in-laws health crisis weeks later). The King was also left disappointed last November after his son called to wish him happy 75th birthday. Just hours later, details of their conversation, including the fact that his grandchildren Archie and Lilibet, had sent a video message to him singing happy birthday, was leaked by Team Sussex to journalists. Where William is concerned, one source said that even after the infamous Oprah interview in March 2021, they could envisage a time when the brothers would find a way to make up A deeply private call between the King and his grandchildren and it ends up in a newspaper the next day. Really? another source said, emphasising just how much this was considered to have crossed a line. Another major point of provocation has been Harrys ongoing court battle against the Home Office over the withdrawal of his round-the-clock taxpayer-funded police protection when in the UK, after he quit royal duties and moved to North America. In July, People magazine was briefed in great detail about the case, claiming it had driven an impenetrable wall between himself and his father. That part at least is largely true. But the magazine also printed claims that Harry is convinced the King has the power to overturn the decision by RAVEC (the Executive Committee for the Protection of Royalty and Public Figures) to strip him of protection. And very revealingly, it quoted sources as saying that if the matter of security was resolved then it would be swords down and the prince could happily rekindle his relationship with his father. For now, the sources added, the princes calls and letters to his father go unanswered. There is complete silence between the two. The idea the king can resolve the protection issue is plain wrong, according to those who know. He has no sway over what the committee decides. To seemingly suggest the monarch could only get to see his grandchildren again if he intervened in the matter comes across as particularly silly and naive. Its genuinely feared that Harry is so blinkered over the issue that he could even try to lobby his father if they were ever to speak privately. For the King, who is already facing considerable embarrassment at his own son suing his government, in his courts, that could be constitutionally damaging. There will be no sign of the family Harry left behind in Britain at his party in Montecito, in the $11million home he shares with Meghan and their two children Its really tricky to have any conversation [with Harry] in which the subject of security could be raised. It would put the King on the spot and if anything he said were to be leaked not that he would say anything, mind you it could heavily impact a live court case. There are serious constitutional issues here at stake outside of any family dramas, a source explains. Harry is still given police protection in certain situations when he comes to the UK, as long as he gives 28 days notice, and his father has offered him accommodation when he does (contrary to what some have claimed). The prince has chosen not to take up that offer up so far, and refuses to bring his family citing security concerns. Perhaps, in truth, he also fears walking into the lions den? Which brings us to the question that has most often been asked in recent weeks: does Harry really want to come back to the UK? Not permanently, I am told. His life now is in Montecito with his wife and children. Hes always full of the joys of the life he has in California and, yes, I do think hes happy, says one who speaks to him fairly regularly. But they also reveal he is looking at ways to facilitate coming back more regularly and easily. He has various touch points in the UK, a handful of charities such as WellChild, and the Invictus Games which will come to Birmingham in a few years time. There will be more reasons and requirements [for him] to visit and the easier that can be done, the better. Its not a satisfactory situation at the moment, for all sorts of reasons. Will that include visits to see family? Thats more tricky, but potentially, another insider says. The UK is his home, its where he grew up, its where his family and friends and a lot of his interests are. The sense I get is that there is a desire for some kind rapprochement. Clearly that will take time and patience. Lets just say theres a hope there will be more positive conversations in the future. For that, however, there would need to be a sea-change in family relations and the ball is very much in Harrys court. In the meantime, the birthday boy will enjoy planning his private celebrations without those who should be his nearest and dearest. Then its a trip to New York to publicise his philanthropic endeavours, as well as another quasi-royal tour abroad. Remarkably, sources say he and Meghan consider their last two trips, to Nigeria and Colombia, a resounding success and are keen to visit Africa in the same vein next. A decade ago, when he celebrated his last landmark birthday, Harry was a prince emerging, bleary-eyed and bruised from the drunken, drug-fuelled chaos of his 20s. While he still hadnt found personal happiness, having just split up with his second long-term girlfriend Cressida Bonas, he was being publicly lauded for his work championing the undervalued and marginalised, and was largely considered a popular and thoroughly modern prince. His biographer, Penny Junor, even described him as brilliant, charismatic, funny, sweet and lovely. But also as dangerous and capable of behaving like an idiot. Prophetic indeed. This is the moment a 60,000 robot dog takes a catastrophic fall down a flight of stairs and breaks during a botched demo. MailOnline caught the dramatic event on camera during a visit to Lyons Park, Jaguar Land Rover's battery testing facility in Coventry. Small parts scatter the floor and sparks fly as the industrial helper robot sold by US firm Boston Dynamics struggles to get back up. Gonzalo Ejarque-Rinaldini, technical project manager at JLR, told MailOnline that the poor pooch would need replacement parts installed before it could walk again. 'My trust in Boston Dynamics is as high as always these machines are top class,' he told MailOnline. Just like RMS Titanic travelled 2,000 miles before it hit that fatal iceberg, Rover had managed to perform several tasks admirably before his little incident Loose parts scatter the floor and sparks fly as the poor robotic pooch struggles to get back up Boston Dynamics' robotic dog Creator: Boston Dynamics Price: $75,000 (about 60,000) Length: 43.3 inches (100cm) Max speed: 1.6 metres per second Operating temperature: -4F to 113F (-20C to 45C) Battery capacity: 605 Wh Typical runtime: 90 minutes Cameras: 5 (frontleft, frontright, left, right, back) Advertisement 'The perception system is quite advanced I have total trust on that. 'Machines do fall and it's important to have the recovery capability.' At Lyons Park, JLR scientists and engineers test prototype electric batteries at various pressures and temperatures before they're installed in any of its test vehicles. 'Spot' the dog (which JLR has rechristened 'Rover', geddit?) is tasked with patrolling the site to look out for any problems that could spell trouble, which it can alert staff to by sending an email. For example, it can detect a piece of machinery that gets too hot thanks to its thermal imaging camera which could present a fire hazard. It can also sense the smallest gas leaks that would be undetectable to humans with its built-in sensors, or approach parts of the facility that would be otherwise unsafe following an accident. Just like RMS Titanic travelled 2,000 miles before it hit that fatal iceberg, Rover had managed to perform several tasks admirably during his demonstration for media on Thursday before his little incident. He patrolled corridors with authority, navigated past employees, pushed open and walked through a door, and managed to get up from a lying position on the floor. The robot dog, which is suited for indoor or outdoor use, can map its environment, sense and avoid obstacles, open doors and (the majority of the time) successfully climb stairs Gonzalo Ejarque-Rinaldini, technical project manager at JLR, demonstrates his 'pet' at the Lyons Park battery testing facility in Coventry Eventually, Rover climbed up a small set of stairs and carefully positioned itself for the return journey before taking its first step downwards. Unfortunately, the steps that JLR used for the demo had a gap down the middle which had a critical effect on its footing. Rover placed its left front leg on the gap and instantly slipped and fell. Slamming heavily into the hard floor, Rover dislocated a limb as small bits scattered, including a plastic cap and a miniature screw. MailOnline even saw a little spark before backing off slightly. The dog struggled to get back up and it was eventually switched off so that engineers could take a closer look at the damage. It's unclear why Rover didn't detect the gap, or if it did why it didn't register it as a hazard; MailOnline is awaiting comment from Boston Dynamics. MailOnline with Rover after its dramatic accident. JLR said it would need to order replacement parts to get it back up and running after its limb detached Rover was switched off so JLR engineers could take a closer look at the damage - and the event had to be curtailed Rover's field of view is shared on a big screen as it successfully climbed up the stairs (before later falling down on the return journey) Truly, MailOnline found this to be a regrettable accident although it serves a candid lesson about where this sort of technology is at right now. While nine times out of 10 Rover may have navigated the stairs with success, it's really unfortunate that it failed during its big moment in the spotlight. JLR shared an official comment: 'Still very much in training as part of our pilot, its unfortunate that Rover misplaced his footing during the demo. 'But with every dog having its day, were wishing him a speedy recovery and we'll look forward to him joining the pack again in the next couple of weeks.' Rover prepares to push open a door at the site, using its forward claw where a real pooch's head would be It has a typical runtime of 90 minutes before it needs to recharge at its charging port - much like a pet pup needs a rest in its basket JLR is one of many customers using Boston Dynamics' dog, including the French army, Pompeii Archaeological Park and Elon Musk's SpaceX. Rover has been in use for about six months at JLR's Coventry facility, along with a second unit at another JLR site in Shannon, Ireland. JLR plans to increase the company's fleet to about 10 dogs who will make their way autonomously around the building just like any staff member. Ejarque-Rinaldini told MailOnline: 'Initially when people see Rover the reaction is like, "Oh it's fantastic I need to take a photo!" 'But then after a certain time when they become familiar it's like any other tool or equipment.' The unique pubs can be found in locations including Devon and Scotland These UK pubs have views guaranteed to be worth drinking in. Because they're located on tiny islands. And with access via ferries and tidal causeways and beaches, you'll work up a thirst reaching them. From the coast of Devon to the windswept West Coast of Scotland via Cumbria and Northumberland, scroll down for boozers in unique coastal locations - and with quirky histories - you'll want to raise a glass to. The Pilchard Inn - Burgh Island, Devon MailOnline Travel has found five pubs that sit on islands in the UK. One tavern, The Pilchard Inn, is located on Burgh Island (above) in Devon The Pilchard Inn (pictured) is only accessible via the stunning Bigbury tombolo tidal beach Burghisland.com describes The Pilchard Inn as 'an old smugglers haunt with low ceilings, open fires and plenty of nooks and crannies to explore' Historic boozer The Pilchard Inn, which dates back to 1336, is located on Burgh Island, just 250 metres (820ft) off the coast of Devon near Bigbury-on-Sea. It's only accessible via the stunning Bigbury tombolo tidal beach and is described by burghisland.com as 'an old smugglers haunt with low ceilings, open fires and plenty of nooks and crannies to explore'. Burgh Island is also home to an Art-Deco-style hotel built in the 1920s, which has a strong connection to Agatha Christie, who called it her 'home away from home'. It's said to have inspired two of her most famous novels: And Then There Were None and Evil Under the Sun. Ship Inn - Piel Island, Cumbria To visit the Ship Inn (above) on Piel Island, Cumbria, guests must take a ferry from Roa Island The Ship Inn, a historic pub believed to be over 300 years old, is situated on the 50-acre Piel Island off the coast of Cumbria near Barrow-in-Furness. If the setting wasn't unique enough, it boasts views of castle ruins dating back to the 14th century. One of the pub's notable traditions is the bizarre 'King and Knights of Piel' ceremony, in which each new landlord is crowned as the 'King of Piel'. The new owner sits on an ancient chair, wears a helmet and holds a sword while beer is poured over their head. To visit the Ship Inn, guests must take the 'Piel Ferry' from Roa Island, which operates daily between 11am and 4pm. Those wishing to stay longer can hire and pitch a tent outside for 5. The Puffer Bar and Restaurant - Easdale, Scotland The Puffer Bar and Restaurant (pictured) sits on the edge of Easdale Island - the smallest permanently inhabited island of the Inner Hebrides The view from Easdale Island to Ellenabeich on Seil Island This family-owned pub sits on the edge of car-free Easdale Island, the smallest permanently inhabited island of the Inner Hebrides, about 15 miles south of Oban off Scotland's west coast. The small cosy pub boasts a bar and cafe and offers homecooked food using local produce to visitors and the 60 or so inhabitants of the island. Easdale is separated by a 200-metre-wide stretch of water from the island of Seil, which is connected to the mainland by Clachan Bridge. The only way to get there is by catching a ferry from Seil island's Ellenabeich village. The ferry costs 2.70 for an adult return and 1.50 for children. The timetable can be found at easdaleferry.argyll-bute.gov.uk. The Crown & Anchor, Ship Inn and The Lindisfarne Inn, - Holy Island, Northumberland The above three pictures show Holy Island from the coast, the tidal causeway visitors can use to reach it and the island's Crown & Anchor pub Picturesque Holy Island, off the Northumberland coast, is heaven for pubs. Visitors can reach the island via a three-mile tidal road and drop in to the 200-year-old Crown & Anchor, the Ship Inn or Lindisfarne Inn for a refreshing ale. It takes around two hours to cross the tidal road by foot and 10 minutes by car. A list of safe crossing times can be found on the Northumberland City Council website. For more information visit www.visitnorthumberland.com. The Ferry Tavern - Cheshire, England The Ferry Tavern has been serving pints since 1762 and is uniquely located on an island on the Trans Pennine Trail The Ferry Tavern is nestled on its own island between the River Mersey and the Sankey St Helen's Canal and has been serving pints since 1762. The pub's website explains how its location on the Trans Pennine Trail means it attracts ramblers, cyclists, and horse riders as they make their way along it. The surrounding waters, meanwhile, attract seals, porpoises and dolphins. Fans of The Grand Tour were left in tears as the hit Prime show came to an end after six series on Friday. The series followed Jeremy Clarkson, Richard Hammond and James May on a worldwide mission to meet different car manufacturers and has been on air since 2016, following the trio's departure from Top Gear two years earlier. But the show came to an end with the sole episode of the sixth series, which saw the three TV stars head out in cars they've always wanted to own. It was the end of an era for the trio, who first started working together on Top Gear more than two decades ago. And fans were beside themselves as Jeremy, Richard and James hung up their car keys for the final time. Fans of The Grand Tour were left in tears as the hit Prime show came to an end with the sole episode of its sixth series (pictured: Jeremy Clarkson, James May and Richard Hammond) The series followed Jeremy Clarkson , Richard Hammond and James May but came to an end with One For The Road Viewers took to X/Twitter to share their emotions as the grand finale went out on the streaming service. One wrote: 'The final episode of The Grand Tour, . 'I'm not crying you are. Good luck and thank you for the good times Jeremy Clarkson, James May & Richard Hammond. It's been one hell of a ride. ' A second wrote: 'I am still crying!' But another remained positive about the whole thing, noting that it was the 'perfect' way to end the whole series. They wrote: 'Perfect ending. And very cleverly done.' Last week, Richard said he was getting ready to 'wake up and cry every day' as he prepares to bid goodbye to his partnership with Jeremy and James. Speaking on Monday's This Morning ahead of the final episode, Richard admitted he was 'struggling to get his head around' the end. Joining hosts Cat Deeley and Ben Shephard, he recalled his career on Top Gear and said: 'It went berserk and we didn't know it would go out of control. It feels really weird I can't get my head round it. Fans flocked to social media to mourn the hit Prime series following its emotional conclusion after nearly a decade It was the end of an era for the trio, who first started working together on Top Gear more than two decades ago Last week, Richard (centre) said he was getting ready to 'wake up and cry every day' as he prepares to bid goodbye to his partnership with Jeremy and James 'I've been doing this for 22 years because of that partnership. I'll be forever grateful to Jeremy and James.' Richard continued: 'It's not sunk in yet. I reckon six months from now I'll wake up every morning and cry. 'When I got the job, I was 31, I burst out crying when they called. I said to my wife, 'this is going to change everything,' and it did.' Long-time producer Andy Wilman told the Edinburgh TV Festival that filming the episode was 'quite weepy' and 'quite heart-stringy'. Asked why the trio had decided to bring to a close the global phenomenon, Wilman said: 'We are getting to the point where enough is enough. On top of that, there is the sense that we want to end things on our own terms. It had to come to an end and we wanted to be the ones who called it.' They shot the last episode in September last year. It will see the hosts return to Kubu Island, Botswana, which was the location of their first ever special. Wilman described the episode as 'deliberately gentle' as the three stars wanted to go back to basics. Albie Manzo and his wife Chelsea announced the birth of their first child, a son named Albert Joseph Manzo V, on Friday. The former The Real Housewives of New Jersey star, 38 who posted that they were expecting back in March took to Instagram to share the good news in a joint post with his wife of almost a year. The pair shared an adorable snap of their newborn wrapped in a blanket, and captioned the post revealing their little one's nickname, 'Hi, Five.' Caroline Manzo, 63, Albie's mother and former reality star, shared a photo of the new parents enjoying a special moment with baby Albert. 'We are thrilled to announce the birth of our grandson, Albert Joseph Manzo V, lovingly known as Five. @albiemanzo @chelseajmanzo and baby are home and ready to start the adventure of a lifetime. DeeDee and PopPop love you endlessly, sweet baby boy ,' she wrote. Albie Manzo, 38, and his wife Chelsea, 37, announced the birth of their first child, a son named Albert Joseph Manzo V, on Friday The pair shared an adorable snap of their newborn wrapped in a blanket, and captioned the post revealing their little one's nickname, 'Hi, Five' Albie and Chelsea's, 37, announcement post was a hit, racking up over 17.4k likes and hundreds of comments from supportive family, friends and fans. The proud grandmother wrote, 'Love you both, let's get this party started!!!! Xoxoxoxo.' RHONJ alum Ashlee Holmes expressed her support, saying ,'Yay!!!!!! I have been refreshing all of your feeds like a psychopath - I am so so so so happy for you!' Just months after tying the knot in October of 2023, the pair announced they were going to be first time parents by sharing a snap of Chelsea's sonogram via social media. 'The best is yet to come. Cant wait to meet you little love. Baby Manzo coming September 2024,' they penned at the time. And Caroline shared her excitement in the comments: 'As we count our blessings, today we add one more. We can't wait to meet you, our little cinnamon bun. Congratulations.' Albie and Chelsea first started dating in late 2019 and he popped the question after four years together in April 2023. They said 'I do' in a lavish destination wedding surround by close family and friends in Piegaro, Italy. The Manzo family gained popularity while starring on on The Real Housewives of Jersey in 2009. After becoming fan-favorites on the show Albie and his brother Chris, 35, were given their own spin-off, Boys to Manzo, two years after they first appeared on the Bravo show. Despite their spinoff being canceled, they returned to the small screen in 2014 with, Manzo'd with Children. Caroline Manzo, 63, Albie's mother and former reality star, shared a photo of the new parents enjoying a special moment with baby Albert Albie and Chelsea's, 37, announcement post was a hit, racking up over 17.4k likes and hundreds of comments from supportive family, friends and fans Just months after tying the knot in October of 2023, the pair announced they were going to be first time parents by sharing a snap of Chelsea's sonogram via social media Albie and Chelsea first started dating in late 2019 and he popped the question after four years together in April 2023; seen in April 2023 They said 'I do' in a lavish destination wedding surround by close family and friends in Piegaro, Italy; Albie and Chelsea seen in 2024 When he's not busing being a new father, Albie runs his hit podcast, Dear Albie, which he began in 2021. His wife Chelsea is an artist and owner of Elysian Embers Collection, a luxury online home decor store that sells custom wood-burned charcuterie boards. And Caroline is currently in the middle of a lawsuit with Bravo after alleging that Brandi Glanville sexually harassed her during filming of The Real Housewives Ultimate Girls Trip. Tigerlily Taylor rocked a nude corset dress before changing into a stylish hooded denim jacket while attending two different London Fashion Week event on Friday. The daughter of Roger Taylor, 29, commanded attention in the revealing mini number which also presented visible white laced embellishments below her waist. The model also rocked white stiletto heels while making an appearance at the M.A.C x Dreaming Eli LFW After Party at the Old Session Club. The glamorous outfit also featured lace decorations as the star showcased her toned pins. Sporting short blonde locks, Tigerlily accentuated her natural beauty with a light palette of makeup. Tigerlily Taylor rocked a nude corset dress before changing into a stylish hooded denim jacket while attending two different London Fashion Week event on Friday Tigerlily later donned a second outfit as she attended the Natasha Zinko runaway show alongside Jaime Winstone Tigerlily juggled a busy work schedule and changed her outfit later on in the day as she attended the Natasha Zinko runaway show. For the occasion, she opted for a denim hooded jacket teamed with black maxi skirt, keeping the same stiletto heels as she posed alongside glamorous Jamie Winstone. The actress, 39, caught the eye in a pair of slouchy pink heel boots matching an edgy leather shoulder bag. Tigerlily put on a cheeky display in a pair of racy cut-out black trousers, as she posed up a storm ahead of the catwalk. Inside the star-studded event the pair rubbed shoulders with Greek Cypriot model Sophia Hadjipanteli. The internet star, 27, put on a sizzling display in a black see-through dress with fluo green nipple covers, flashing her knickers. Tigerlily is the daughter of Queen star Roger, 74, and his ex-wife Debbie, who were together for 15 years before splitting in 2004. The exes also had another daughter Lola, 23, and a son Rufus, 32 - who is a touring drummer for the Queen + Adam Lambert stage shows. The model also rocked white stiletto heels while making a stylish appearance at the M.A.C x Dreaming Eli LFW After Party at the Old Session Club The glamorous piece showed off her back with laces decorations, as the star stopped to pose for a few of stunning snaps Sporting her blonde short hair, Tigerlily exuded confidence showing off a happy grin and was also captured alongside Roger, 74, is also a father to a son Felix, 43, and a daughter Rory, 37, who he shares with Dominique Beyrand. Despite Queen splitting after Freddie Mercury's death in 1991, Roger and Brian May have continued to tour under the band's name, with lead vocalist Adam Lambert. And Tigerlily showed her support for her music legend father Roger in June as she attended the We Will Rock You Gala Night at London's Coliseum Theatre alongside the drummer's wife Sarina Potgieter, 51. Tigerlily ensured all eyes were on her as she posed for the cameras in her second outfit For the occasion, she opted for a denim hooded jacket teamed with black maxi skirt, keeping the same stiletto heels as she posed alongside glamorous Jamie Winstone The actress, 39, caught the eye in a pair of slouchy pink heel boots matching an edgy leather shoulder bag Inside the star-studded event the pair rubbed shoulders with Greek Cypriot model Sophia Hadjipanteli For the night, Tigerlily looked incredible in a sleek black blazer with a purple silk top underneath while pairing the look with a gold handbag and boots. She was also joined by her sister Lola at the event and the family were all seen posing for snaps together on the night. Roger married his wife Sarina in 2010, after six years as a couple, and the pair appeared as loved-up as ever at the gala. A framegrab from a body camera video released by the Houston Police Department shows the fatal shooting of Edmundo Meza, 42 on Aug. 7, 2024. Officials say Officer Jillian McGowan shot and killed Meza during a scuffle shortly after finding a gun in his waistband. Houston Police Department New body camera footage released by the Houston Police Department showed officers approaching Edmundo Meza while he drank a soda in front of a gas station, pinning him to the ground and then fatally shooting him after pressing a gun to his stomach. Officer Jillian McGowan shot Meza, 42, outside a north Houston gas station in August after approaching him and another man to stop them from loitering. After sending the other man away, they quickly discovered Meza had a warrant for his arrest, police said. Meza said he did not have a firearm, but police found a gun in his waistband and wrestled him to the ground. In the video, Meza appeared to be stunned by the sudden escalation of the counter, and then began moaning and wailing after being shot in the stomach. A law enforcement veteran and expert witness on police use of force cases said the encounter did not seem to warrant deadly force. Advertisement Article continues below this ad MORE FROM HOUSTON CHRONICLE: Elderly Houston woman robbed of $19K she was about to give to crypto scammers Absent an immediate threat, deadly force is not justified, said Jeffrey Noble, former deputy chief for the Irvine Police Department in California. Noble has served as an expert witness in police use-of-force cases across the country, including in the trial that found Minneapolis police officer Derek Chauvin guilty of murder in the death of George Floyd. The officers partner has both of the mans arms controlled, neither of his hands is near his waistband, where she believes his weapon was at, and so this does not appear justified, based on the limited information I have. Representatives for the Houston Police Officers Union, which represents McGowan, said her actions were justified and that the shooting wouldnt have happened if Meza had not resisted their instructions. If he had just complied, none of that would have happened, said Doug Griffith, president of the union. Advertisement Article continues below this ad Mezas family for weeks have called for answers about the circumstances leading up to his death. The release of the video is bringing new and increased scrutiny of McGowans use of deadly force. There were no de-escalation tactics shown here, said RoShawn Evans, organizing director of the Houston-based Pure Justice, a nonprofit organization aimed at reforming institutions that perpetuate injustices. It appears that these officers had control of the situation. Checked for loitering, then a weapon was found Assistant Police Chief Keith Seafous said in a news conference after the shooting that McGowan and another officer noticed Meza and another man standing around in the parking lot of a gas station about 9:40 p.m. Aug. 7. The officers asked for the mens identification, letting one of them go before discovering that Meza had a warrant for his arrest. In the process of handcuffing Meza, they discovered he had a gun in his waistband, Seafous said. Advertisement Article continues below this ad After a scuffle, McGowan fired a single shot that killed Meza, according to the Houston Police Department. The officers were not seriously injured, Seafous said. Meza had a lengthy criminal past, including several counts of drug possession, felon in possession of a weapon and trespassing, according to Harris County court records. He was charged with family violence in 2012 and pleaded nolo contendere, pleading guilty without admitting guilt. He spent 90 days in jail with 44 days credit. Body camera footage shows the two officers searching Meza, looking through his pockets, while instructing him to put his hands behind his back. The tenor changes when McGowan said she found a gun, at which point her partner, who hasnt been identified, pushed Meza to the ground and stands atop him with one of his arms in each of her hands. McGowan immediately pulls out her weapon and pushes it into Mezas side, shouting that she will shoot him if he moves. Noble said its this exchange that concerns him Mezas right arm is on the ground above his head and the other hand is securely within the officers grasp. Meza does move during the exchange, but video doesnt show either arm reach toward his waistband, where McGowan thinks the gun is, Noble said. Noble said hes also concerned by McGowans pressing the gun so close to Meza. Advertisement Article continues below this ad The training is that you shouldnt do that, he said. If someone moves suddenly, you can have a negligent discharge. And, because the video is so unclear, that may have happened in this case. You just dont know. Noble said it was less clear to him whether McGowan was attempting a pat-down on Meza prior to his death. If the officers were arresting him, that would be proper procedure, but its hard to draw conclusions on that based on the video, he said. Brittany Francis, the deputy director of litigation for the Civil Rights Corps, a Washington D.C.-based nonprofit organization, echoed many of Nobles concerns. It is a tragedy that Mr. Meza is not alive today, and his death does not make Houston any safer, she said. Francis said the officers escalated their exchange with Meza at every turn and that McGowan could have reached for her Taser instead of her weapon. Officer previously shot man holding beer can McGowan remained on administrative leave even after the release of the body camera video while an internal affairs investigation continued its examination of the shooting. Her personnel file includes many commendations and high marks on her reviews. Advertisement Article continues below this ad In 2012, McGowan shot and killed a man early in her career. She came across 54-year-old Rufino Lara while responding to a robbery call. She told investigators she feared Lara, who was not the suspected robber, was reaching for a gun when she shot him. But a search turned up only a empty beer can. Lara was not a suspect in the robbery case. Matt Ridley has announced that he has secretly tied the knot with his partner Dominique Nielsen. The Married At First Sight groom took to Instagram on Saturday morning to confirm the shock news that he and school teacher Dominique had exchanged vows. Their surprise nuptials come just months after Matt, 41, confirmed their engagement in March after he popped the big question in a romantic proposal on Noosa Beach. Confirming their union, Matt shared an array of stunning photographs from their picture-perfect wedding day, with the newlyweds looking happier than ever. Matt cut a suave figure in a dapper beige two-piece suit, which he styled with a crisp white shirt and a gold satin tie. He completed his wedding day ensemble with a pair of brown boots and fixed a white boutonniere in his lapel. Matt, who rose to fame with he was matched with Kate Laidlaw on MAFS in 2022, looked happier than ever as he cuddled up to Dominique in the snaps. Dominique was every inch the blushing bride in a gorgeous strapless lace wedding dress with a dramatic train and matching pull-on flared sleeves. Matt Ridley has announced that he has secretly tied the knot with his partner Dominique Nielsen (both pictured) The Married At First Sight groom took to Instagram on Saturday morning to confirm the shock news that he and school teacher Dominique had exchanged vows Confirming their union, Matt shared an array of stunning photographs from their picture-perfect wedding day, with the newlyweds looking happier than ever She fixed a sheer veil in her golden tresses and carried a bouquet of cream and pink roses in one hand for the ceremony. The couple appeared to be in married bliss as they smiled for the cameras and cheered on their special day. 'Surprise!! Say hello to Mr & Mrs Ridley,' Matt simply captioned his Instagram post confirming the news. They exchanged vows in an intimate ceremony in Brisbane in front of their nearest and dearest, keeping the big news a secret until after the nuptials. Their wedding comes just months after Matt proposed to nutritionist and teacher Dominique in a romantic proposal in Noosa Beach on March 23. Matt popped the question with a 14K rose and white gold diamond engagement ring courtesy of Simon Curwood Jewellers. 'Since the show, life has been pretty damn amazing,' he shared with Daily Mail Australia at the time. 'I have enjoyed and embraced the public's affection towards me, hosting events, sharing my life to fans on Instagram, and ultimately, finding the love of my life in Dominique!' They exchanged vows in an intimate ceremony in Brisbane in front of their nearest and dearest, keeping the big news a secret until after the nuptials Teacher and nutritionist Dominique was every inch the blushing bride in a gorgeous strapless lace wedding dress with a dramatic train and matching pull-on flared sleeves Matt rose to fame when he was matched with Kate Laidlaw on MAFS, a pairing that did not end in love but certainly won him the affection of the audience The couple's love story began in September 2022 after they matched on a dating app and enjoyed a first date at Southbank in Brisbane. 'We shared our first kiss on our first date and we both didn't want that first night to end,' Matt previously recalled. Matt met Dominique's mother and friends by their first date as their whirlwind romance only went from strength to strength. Dominique has a 10-year-old son, Heath, from a previous marriage and the couple previously said they hope to try to have another baby naturally. 'There is a lot of love in our house and it sounds right to share that with a sibling for Heath,' Matt shared. Matt rose to fame when he was matched with Kate Laidlaw on MAFS, a pairing that did not end in love but certainly won him the affection of the audience. She is known for her colourful ensembles, bold prints and the quirky glasses she styles each week on The Great British Bake Off. But nothing could have prepared fans for Prue Leith's latest look. The restaurateur, 84, made sure all eyes were on her as she opted for a striking all leather look. The broadcaster strutted down the catwalk during the Vin + Omi show at The Kensington Building during London Fashion Week on Friday. Beaming in snaps, the tight fitted PVC midi dress featured raunchy red lace up detailing and exaggerated wide shoulders. Prue Leith ditched the pastries for the PVC as she debuted a VERY bold all leather look during the Vin + Omi show at The Kensington Building during London Fashion Week on Friday The restaurateur, 84, made sure all eyes were on her as she opted for a striking all leather look She is normally known for her colourful ensembles, bold prints and the quirky glasses she styles each week on The Great British Bake Off The star accessorised the look with some black leather trainers and matching leather gloves as she switched the kitchen for the stage. Ditching her glasses, Prue opted for intense smoky eye makeup and a bold red lip. Prue will soon be back on screens with Paul Hollywood as the fifteenth series of The Great British Bake Off kicks off on September 24 at 8pm. Earlier this year Prue admitted that she almost didn't apply to replace Mary Berry on the much loved show as she feared she wouldn't tick the 'diversity boxes'. The star has been a judge on the cooking competition since she replaced Mary in 2016. However, this very nearly wasn't the case as Prue reveals she initially completely dismissed the idea. It was revealed that the women had known each other since the 1970s when a photo of them with broadcaster Jack de Manio (1914-1988) was unearthed. Prue told the Sliding Doors podcast with Jennie Becker: 'I just jumped at it. I had begun to think about it, once I knew Mary Berry was not going to go on. I thought: "Oh, Id love that job", but I dismissed the thought. Pouting in snaps, the tight fitted PVC midi dress featured raunchy red lace up detailing and exaggerated wide shoulders Ditching her glasses, Prue opted for intense smoky eye makeup and a bold red lip The star accessorised the look with some black leather trainers and matching leather gloves as she switched the kitchen for the stage The star looked surprisingly at home on the catwalk Prue seemed to be embracing her inner Cruella DeVille in the ensemble Prue will soon be back on screens with Paul Hollywood as the fifteenth series of The Great British Bake Off kicks off on September 24 at 8pm She showcased her age defying looks once again Earlier this year Prue admitted that she almost didn't apply to replace Mary Berry on the much loved show as she feared she wouldn't tick the 'diversity boxes' 'I thought: "Theyll get someone younger, someone more . . . probably a young Asian chef or something, that would tick all the diversity boxes and stuff. But no, they chose me." 'If you think about my past career, Id had 11 years sitting at the Great British Menu as a judge and every plate has about ten tastes on it - it makes Bake Off look really simple. 'With cake, with one spoonful, you can get the icing, the filling and the crumb. I knew all the top chefs, I had a Michelin restaurant of my own so I knew that level of cooking very well.' The connection to baking specialist Maty extends to Prue's own husband, fashion designer John Playfair, 77, who frequently uses it to his advantage while commenting on his wife's cooking. 'I wont bake cakes since we are both too fat, so he taunts me with, "I wish Id married Mary Berry,"' she said. At the time of Prue's arrival, fans, already disgruntled by the move from BBC to Channel 4 and the loss of Mary, Sue Perkins, and Mel Giedroyc, did not warm to her. Prue told The Times in February: 'Oh, I hate my voice. Its too posh. When I replaced Mary Berry on Bake Off someone on Twitter said: "Oh no, not that posh b**ch", and I sort of agreed with her. But yes, Americans seem to like it.' The luxurious Cronulla mansion at the heart of Roxy Jacenko's failed $10million house lottery has been put on the market. The PR mogul, 44, had partnered with businessmen Youssef Tleis and Kassim Alaouie on her Brand Bootcamp online business course, and the trio launched a promotion offering new customers the chance to win three epic prizes. The prizes included the $10million waterfront Cronulla home - owned by Mr Tleis and Mr Alaouie - as well as a Birkin bag and a Rolex timepiece, and the promotion was due to be drawn in June, before it was halted. Now, Mr Theis has reportedly confirmed to Confidential that the stunning four-bedroom waterfront abode is back on the market. The multimillion dollar property has been put up for sale with listing agent Michael Luck at Ausrealty Sylvania under an expression of interest campaign. While no prize has been mentioned, the sprawling mansion was recently estimated to be worth a staggering $10million. Co-owners Mr Tleis and Mr Alaouie initially listed the four-bedroom property for auction in 2023, later withdrawing the listing when they failed to find a buyer. After the controversial failed lottery venture, Roxy was said to have paid out $76,000 in refunds to disgruntled entrants earlier this year. The luxurious Cronulla mansion at the heart of Roxy Jacenko's failed $10 million house lottery is on the market The PR mogul had partnered with businessmen Youssef Tleis and Kassim Alaouie on her Brand Bootcamp online business course and they offered customers the chance to win prizes including the lavish mansion (pictured) Roxy had earlier taken to Instagram to claim she was leaving the joint business after discovering a 'shortfall' in the prize money pool and insisted she would offer customers' refunds out of her own pocket. 'I stand by my offer to refund customers and as a result of this, $684,000 of my own personal funds remain in my solicitor's trust account,' she told Daily Mail Australia at the time. She then issued $76,019 in refunds to entrants of the aborted giveaway, just over 10 per cent of the money she claimed to have set aside for the repayments, The Daily Telegraph reported in June. A total of 7,489 people had paid between $29 and $499 to sign up for the boot camp, but it was estimated that some 90 per cent of participants remained out of pocket after the refunds. Only participants who lodged a refund request within a seven-day window from June 9 until June 15 were eligible to receive any refund from Roxy. After she came under fire for the brief refund window, Roxy clapped back at critics on social media, claiming she wasn't even required to offer it. 'I'm now processing refunds from my personal bank account. As you know, I entered into a partnership with two others, which was a dismal f**king failure,' she began. After the promotional venture had to be halted, Mr Theis has reportedly confirmed to Confidential that the stunning four-bedroom waterfront abode is back on the market The multimillion dollar property has been put up for sale with listing agent Michael Luck at Ausrealty Sylvania under an expression of interest campaign While no prize has been mentioned, the sprawling mansion (pictured) was recently estimated to be worth a staggering $10million 'If you're an honourable person, you put your hand in your pocket and refund people, which is what I am doing commencing this week. Refunds remain open until today.' 'One thing about the refund window, you don't go to Woolworths and buy Nutri-Grain and then in three months time suggest they should take it back and swap it or give you a full refund,' she added. 'A seven-day window is not an unusual offer, it is not even something I had to do. I chose to refund those who applied from my own pocket.' Roxy was said to be pushing to have the $76,000 in refunds repaid from liquidators - after Roxy Bootcamp was ordered to be wound up earlier this year. Her lawyers also reportedly informed her former business partners that she plans to keep the Birkin bag and Rolex watch she purchased as part of her investment in the giveaway. After the failed business venture, the NSW Supreme Court has called in liquidators on the company - from which Roxy resigned as a director in May. Roxy originally sought the appointment of provisional liquidators due to, among other reasons, allegations that Mr Tleis and Mr Alaouie had engaged in 'misleading conduct' during the promotion, due to a sub-clause which stated the prizes would only be delivered if the competition achieved more than $11.5million in revenue. The conditions were never made public by Roxy during a whirlwind of publicity and the clause was also missing from the competition's formal terms and conditions on the Roxy's Bootcamp website. Co-owners Mr Tleis and Mr Alaouie (pictured with Roxy) initially listed the four-bedroom property for auction in 2023, later withdrawing the listing when they failed to find a buyer In another update in the highly-publicised saga, Supreme Court Justice Anthony McGrath was then reported to have rejected Roxy's bid to have her legal costs paid. 'I do not consider it was unreasonable conduct of the proceedings by Mr Tleis to have opposed the application for a provisional liquidator on the basis the reputation of the company and Tleis Investments might be harmed,' Justice McGrath said. 'Nothing done by Mr Tleis in opposing the appointment of provisional liquidators and subsequently consenting provides justification for a finding that their conduct was unreasonable.' Mr Tleis and Mr Alaouie previously argued the promotion should proceed with a $250,000 cash prize, a Birkin handbag and a Rolex watch - after not reaching the $11.5million minimum for the house giveaway. While Roxy expressed her regret at entering the business venture, Mr Tleis and Mr Alaouie also issued an apology to social media at the time of the failed giveaway. Abbie Chatfield put on a very leggy display as she stepped out alongside Pip Edwards and Rozalia Russian at the launch of Don Julio Rosado in Sydney on Friday. The Bachelor star, 29, showed off her glamorous sense of style as she sported a very daring ensemble while making her bombshell entrance to Catalina Rose Bay. She showed off her incredible figure in a figure-hugging pink halter-neck mini dress featuring orange animal patterns as she posed up a storm for the cameras. Abbie put on a busty display in the dress, which featured a daringly low neckline as she sported a matching pink bra underneath. She gave herself a few extra inches in a pair of cream knee-high boots and let her outfit do all the talking as she kept her accessories minimal. The reality TV star, who is dating Peking Duk frontman Adam Hyde, styled her brunette tresses in voluminous curls over her shoulders. She was joined at the event by fashion designer Pip, 44, who put on an effortlessly stylish display in a sophisticated ensemble. The former P.E Nation creative director looked typically fashionable in a pink and blue pastel knitted top, which featured large 24 lettering across the front. Abbie Chatfield (left) put on a very leggy display as she stepped out alongside Pip Edwards at the launch of Don Julio Rosado in Sydney on Friday They were joined by Rozalia Russian as the glamorous trio pulled out all the stops for the glitzy event She completed her outfit with quirky pink trousers with sheer material on the legs, while she added to her frame with a pair of translucent heels. She stepped out at the event solo, just days after seemingly confirming her new romance with multimillionaire businessman Geoff Bainbridge, 52. The pair were seen putting on a very cosy display in New York over the weekend, packing on the PDA as they shared a kiss on the cheek and held hands. A source confirmed to Daily Mail Australia that they are 'dating' and their latest sighting came weeks after he was spotted leaving Edwards' house in Sydney. Grill'd investor Bainbridge made headlines over two years ago when he resigned as the chief executive of alcohol business Lark Distilling after he was caught on video smoking from a glass pipe. Elsewhere at the Don Julio launch event, Melbourne socialite Rozalia put on a glamorous display in a chic maxi dress. The wife of high-profile businessman Nick Russian made sure to capture attention in a high-neck pink gown with a sheer skirt and wrap-around detailing. The Bachelor star Abbie, 29, showed off her glamorous sense of style as she sported a very daring ensemble while making her bombshell entrance to Catalina Rose Bay She showed off her incredible figure in a figure-hugging pink halter-neck mini dress featuring orange animal patterns as she posed up a storm for the cameras Abbie put on a busty display in the dress, which featured a daringly low neckline as she sported a matching pink bra underneath She was joined at the event by fashion designer Pip, 44, who put on an effortlessly stylish display in a sophisticated ensemble The former P.E Nation creative director looked typically fashionable in a pink and blue pastel knitted top, which featured large 24 lettering across the front She stepped out at the event solo, just days after seemingly confirming her new romance with multimillionaire businessman Geoff Bainbridge (pictured) in New York last weekend Elsewhere at the Don Julio launch event, Melbourne socialite Rozalia put on a glamorous display in a chic maxi dress Rozalia added to her stunning features with a bronzed make-up palette including a dramatic touch of burnt orange eyeshadow She toted her essentials in a small cream handbag and sported an array of gold bangles from Aussie fashion brand Witchery. Rozalia added to her stunning features with a bronzed make-up palette including a dramatic touch of burnt orange eyeshadow. The outing comes just weeks after Rozalia and her husband Nick revealed they are set to put their Melbourne pad under the hammer. The couple have listed the lavish four-bedroom, two-bathroom home in Richmond with a price guide of $3million to $3.3million. Rozalia took to Instagram last month to announce that the couple and their family are moving on after 10 years. MAFS star Tahnee Cook was also in attendance and showed off her incredible figure in a pink strapped gown with a daring midriff cut-out and a ruffled hemline The reality TV star appeared to be in jovial spirits as she smiled for the cameras, while she styled her golden locks in a blunt bob Model Laura Csortan also made sure to turn heads as she stepped out in a stunning pink gown with a keyhole cut-out, which she styled with nude strapped heels Kita Alexander also adhered to the pink dress code in a blush satin dress with lace panelling, which she styled with red heels Model Sarah Stephens showed off her toned midriff in a plum suit set while YouTube star Bella Varelis opted for a stylish white look The outing comes just weeks after Rozalia and her husband Nick revealed they are set to put their Melbourne pad under the hammer with a price guide of $3million to $3.3million End of an era!' she captioned the short clip which showed off the beautifully maintained period exterior of the home which was built in the 1870s. 'Hope someone else enjoys and loves this house as we did. Moved in as a family of 3. Ten years later we moved out as a family of 7. Time for someone else to create new memories.' They are asking for expressions of interests for the dazzling property, which covers two floors and is located 5.6kms from the CBD. The couple tied the knot in 2013 and share two children - daughter Willow, 10, and son Kingston, eight. Nick is a major name in the Melbourne night club scene and he co-runs Bar Bambi with Rozalia. Ballerina-turned-model Rozalia has collaborated with huge brands such as Dior, Louis Vuitton and L'Oreal Paris. Bruce Willis was spotted enjoying a casual car drive in a rare outing in Los Angeles on Friday. The Die Hard star, 69 appeared to be relaxing in the passenger seat while being driven around the city amid his aphasia and dementia battle. His cruise in the City of Angels comes just weeks after his daughter, Rumer, shared a health update on the Assassin actor saying he was doing 'great.' In an Instagram Q&A where a fan asked about her famous father, the 36-year-old said he is 'great. I love him so much. Thank you.' Bruce's family revealed his diagnosis of frontotemporal dementia (FTD) in February 2023 shortly after he retired from acting due to his struggle with a brain disorder known as aphasia. Bruce Willis was spotted enjoying a casual car drive in a rare outing in Los Angeles on Friday The Die Hard star, 69 appeared to be relaxing in the passenger seat while being driven around the city amid his aphasia and dementia battle In May, Dailymail.com reported that the actor had been fighting the incurable disease since around spring of 2022. FTD is different from the more well-known type of dementia, Alzheimer's disease. But unlike Alzheimer's, memory is not the first to go. Aphasia - difficulty speaking and putting thoughts into words - is the first symptom for most. Willis has been struggling with this for two years. FTD also warps people's personalities, as it affects the region of the brain responsible for mood regulation, prompting sudden violent outbursts, inappropriateness in public, a lack of self-awareness, and anxiety. People with FTD typically die within about eight to 10 years of being diagnosed. About 80 percent of FTD patients experiencing behavioral changes are deceased by the eight year mark of their diagnosis. Bruce's daughter Tallulah Willis, one of three he shares with ex-wife Demi Moore, said in November 2023 on the Drew Barrymore Show, 'I see love when I'm with him, and it's my dad, and he loves me. 'Playing music... and sitting in that and this energy of love, it's really special.' Willis also has two daughters, Mabel and Evelyn, 12 and nine respectively, with his current wife, model Emma Heming Willis. Given Willis' resources, he is able to get the highest caliber care which will include constant monitoring to prevent fatal falls or infection, and help from a neurologist to monitor the progression of the disease. Bruce's family revealed his diagnosis of frontotemporal dementia (FTD) in February 2023 shortly after he retired from acting due to his struggle with a brain disorder known as aphasia; seen in January 2019 In May, Dailymail.com reported that the actor had been fighting the incurable disease since around spring of 2022; Bruce seen in April 2019 Willis has two daughters, Mabel and Evelyn, 12 and nine, with his current wife, model Emma Heming Willis, and three with his ex-wife Demi Moore; Emma, Rumer, Bruce, Tallulah, Demi and Scout seen in September 2015 Bruce's daughter Tallulah, one of three he shares with ex-wife Demi, said in November 2023 on the Drew Barrymore Show, 'I see love when I'm with him, and it's my dad, and he loves me; Rumer, Demi, Bruce, Scout, Emma and Tallulah seen in September 2019 The actor got his start in Hollywood at age 24 in The First Deadly Sin. Since he propelled into showbusiness, the movie star has gone on to act in Armageddon, Tears of the Sun, Surrogates and Perfect Stranger. German born Willis has acted in over 117 movies and made his Broadway debut in 2015. The took the stage for William Goldman's adaptation of Stephen King's novel Misery. The decorated actor has been awarded a Golden Globe Award, two Primetime Emmy Awards and has two People's Choice Awards under his belt. Artem Chigvintsev has responded to his estranged wife, Nikki Garcia's, divorce filing as he also officially filed on Friday - after his domestic violence arrest late last month. The Dancing With The Stars pro, 42 - who removed the former WWE star from his Instagram profile before re-adding her - cited 'irreconcilable differences' as the reason for their split in documents obtained by Us Weekly. He listed the date of separation as August 29 - which was the same day that he was arrested for felony domestic violence charges following an alleged altercation at their home in Napa, California. Chigvintsev has also requested for joint legal and physical custody of his and Nikki's four-year-old son, Matteo. The Russian-born dancer has asked for spousal support, and also requested that Garcia pay for his legal fees, per the documents. Artem Chigvintsev, 42, has responded to his estranged wife, Nikki Garcia's, 40, divorce filing as he also officially filed on Friday - after his domestic violence arrest late last month; seen in 2020 In the filing, Artem claimed that he was a putative spouse between the dates of August 26, 2022 and January 19, 2023. A putative spouse is an individual who believes they are legally married to someone, but the marriage itself is not valid. Chigvintsev and Garcia notably said 'I do' back in August 2022 during a ceremony in Paris, France. But in the documents, per Us Weekly, the professional dancer stated that they also tied the knot in the United States in January 2023. Garcia filed for divorce two days earlier on Wednesday at the Superior Court of Napa - and has asked for sole legal and physical custody of their son. She also cited 'irreconcilable differences' in the dissolution documents, per TMZ, and cited August 29 as the date of separation, the day of Artem's arrest. The TV personality stated she is open to Artem getting visitation rights for their son Matteo, four. However, while Chigvintsev has asked spousal support from Nikki - she has asked to block the court's ability to award spousal support to either of them. He listed the date of separation as August 29 - which was the same day that he was arrested for felony domestic violence charges in Napa, California Chigvintsev has also requested for joint legal and physical custody of his and Nikki's four-year-old son, Matteo Just days before the pro dancer was placed into handcuffs, the couple had marked their second wedding anniversary. At the time, Chigvintsev shared a heartfelt message in an Instagram post that read, 'Happy anniversary my love, can't see my life without you. You are my everything.' Over the weekend on Saturday, Chigvintsev updated his Instagram bio by re-adding his wife's name, less than 24-hours after removing it. Sources recently told DailyMail.com that Chigvintsev is desperately trying to salvage the marriage. Cops were called to the couple's $1.5 million marital home in Yountville, California, following reports of a bust-up on August 29. Sources said the couple dialed 911 twice first to request assistance for an injury before calling back and asking paramedics not to come. But due to the sounds of a domestic disturbance in the background, cops from the Napa Sheriff's Department descended on the couple's leafy neighborhood anyway, taking Chigvintsev with them. He was booked into the Napa County Jail on felony domestic violence charges at 10:59 am before being released on a $25,000 bond at approximately 2:18pm. He was booked into the Napa County Jail on felony domestic violence charges at 10:59 am before being released on a $25,000 bond at approximately 2:18pm A mugshot released by the Napa County Sheriffs office showed the ballroom star looking glum-faced in a check shirt while sporting a grizzled beard. The arrest, which reportedly came after Garcia threw shoes at her husband, took place less than four days after the pair celebrated the anniversary of their August 2022 wedding with both marking the moment on social media. Sources said Chigvintsev is currently scheduled to face court in Napa on Tuesday over the incident and cannot leave Napa County until the case is resolved. And now Artem is said to be hellbent on proving to wife Nikki that he 'can be a changed man.' While she has allegedly banned Artem from seeing their son Matteo without supervision and is searching for a divorce lawyer, sources have revealed to DailyMail.com that he is in the 'fight of his life' to win her back especially now that he has been dropped from the upcoming season of DWTS. 'Artem is very frustrated and mad that he wasn't asked to be on this season of Dancing with the Stars, and now it looks like he will never be back,' they said. 'His life professionally and personally is and has been flipped upside down.' 'He doesn't know what his next steps are with Nikki, he has been upset for a long time and now he is in the fight of his life to clear his name and win back Nikki, but as of right now he hasn't decided what he might do to show her that he can be a changed man.' 'They currently have limited dialogue with each other.' Garcia filed for divorce two days earlier on Wednesday at the Superior Court of Napa - and has asked for sole legal and physical custody of their son; seen in 2019 in L.A. 'If and when he sees Matteo, Nikki wants it supervised. Her trust has been thrown out the door and she is very angry with Artem, he has an uphill battle ahead of him. The whole thing is a mess.' 'She has tried and tried to make it work, but she feels divorce is the only option. It hurts but Nikki is such a strong woman, and she is doing what is right for her, and for Matteo.' Although Artem is desperately trying to repair his marriage, Nikki's twin Brie Garcia is said to want her sister to leave him for good. Earlier this week, an insider close to former WWE star Brie, 40, said she is 'disgusted' by the news of Artem's arrest, and wants her twin to have nothing to do with him. The arrest, which reportedly came after Garcia threw shoes at her husband, took place less than four days after the pair celebrated the anniversary of their August 2022 wedding with both marking the moment on social media Although Artem is desperately trying to repair his marriage, Nikki's twin Brie Garcia is said to want her sister to leave him for good 'Nikki's sister Brie is disgusted, shocked, disappointed and just sad that this happened,' they told DailyMail.com. 'She loves Artem, and for this to be going down is so out of the realm of possibility of the person who she knows. Brie is very concerned about it all and is there for her sister at all costs.' The added: 'Brie, who is obviously the closest to Nikki, as anyone would, is telling her to leave Artem, if this is as bad as it seems, and if it happened before, once she finds out everything that happened, she will be the first to tell Nikki to leave him.' Nikki and Artem's two-year marriage was reportedly plagued by arguments, but his violent outburst has shocked the WWE star and her family. Beau Ryan's daughter has proved she is following in his showbiz footsteps as she interviewed a huge Hollywood megastar this week. The Top Gear Australia host, 39, took to his Instagram Stories on Saturday to share his pride over his 11-year-old daughter Remi's impressive achievement. He revealed Remi had been asked to interview Chris Hemsworth at a star-studded Sydney press event to promote his new blockbuster Transformers One. Former NRL star Beau shared a photograph of Remi looking confident as she smiled alongside Aussie actor Chris, 41, who is starring as Optimus Prime in the new movie. In an accompanying caption, Beau revealed that Remi - who he shares with his wife Kara Orrell - is already following in his TV footsteps at the young age of 11. 'So proud of Rem. She was asked to interview Hemsworth and she hasn't stopped smiling since,' he captioned the snap. Beau also shared a video of Remi posing alongside her doting mother Kara, with Beau joking that she had just joined them at the interview for 'moral support'. He then panned the camera around to reveal the panel set-up behind them, where Remi joined others in asking the movie's biggest stars questions about the release. Beau Ryan's daughter has proved she is following in his showbiz footsteps as she interviewed a huge Hollywood megastar this week (Beau is pictured at the Logie Awards) The Top Gear Australia host, 39, took to his Instagram Stories on Saturday to share his pride over his 11-year-old daughter Remi's huge achievement interviewing Chris Hemsworth Just days earlier, Beau and his wife Kara had made a rare red carpet appearance with Remi and their son Jesse, seven, at the Australian premiere of Transformers One. Chris has taken on a lead role in the animated Transformers prequel alongside the likes of Scarlett Johansson, Jon Hamm and Brian Tyree Henry. TV star Beau first met his wife Kara when they were just 16 years old and they started dating when he was 19, before they finally tied the knot in 2012. Last year, The Amazing Race opened up about his early romance with Kara and how she has stuck by him despite him 'f**king up' in a heartfelt tribute. 'We loved each other, we fought, we broke up, we got back together. We screamed, We struggled. We thrived. We cried. We laughed. We were broken. We were healed,' he gushed in a Facebook post. 'Ive f**ked up, but shes always been there. And I thank god every day for this woman. No one knows what weve been through. But she does. And I love that. Beau also shared a video of Remi posing alongside her doting mother Kara, with Beau revealing she had joined them on the red carpet for 'moral support' Just days earlier, Beau and his wife Kara had made a rare red carpet appearance with Remi and their son Jesse, seven, at the Australian premiere of Transformers One 'Find that person and cherish them. Life is hard man, but if youre doing it with someone you would die for then its worth it. Everything worth fighting for is worth it.' In 2015, Beau was famously at the centre of an alleged cheating scandal in 2015 with former children's entertainer Lauren Brant. Neither addressed the accusations publicly, but Beau issued a public statement at the time to his wife Kara and family, saying he wanted to 'apologise to all of the people who have been hurt recently'. Just days after the news of her filing for primary legal and physical custody of her three-year-old son Cruz became public, Brittany Cartwright stepped out to do a little shopping. The Vanderpump Rules star, 35, made her way along Oakberry Acai in West Hollywood on Friday to browse at the various shops along the street dressed in skimpy Daisy Dukes. Along with her leggy shorts, she also wore a two-tone green Hooters t-shirt, which she tied into a small knot below her stomach, that read: 'delightfully tacky yet unrefined.' She wore a stylish brown purse over her left shoulder and finalized the look with brown sneakers. Cartwright whose ex Jax Taylor recently admitted he is a 'narcissist' had her brown locks, with lightened blonde strips in the front, cascading down her shoulders. Brittany Cartwright, 35, slipped into skimpy Daisy Dukes and a Hooters t-shirt for a stroll along Oakberry Acai in West Hollywood on Friday, where she browsed the many storefronts The reality star's sighting comes weeks after she filed for divorce from husband Jax and requested primary legal and physical custody of Cruz, although it wasn't made public until a couple of days ago. Taylor, who recently revealed he was diagnosed with bipolar disorder and post-traumatic stress disorder after a recent mental health facility stay, said her filing was the 'right decision for their family.' 'I understand why she did [it]. the Vanderpump star confessed on his When Reality Hits podcast. 'I'll always love and care for Brittany. She's the mother of my beautiful son [Cruz].' He went on to reveal his intention for their relationship to 'be amicable,' adding that they 'hopefully [become] really, really good friends one day.' Jax also recently revealed he had 'no idea he was a narcissist' until his 30 day stay at a mental health facility. He spoke candidly about his treatment as he made an appearance on his When Reality Hits podcast - where he took questions from fans. When he was asked if he thought he was a 'textbook narcissist' the proprietor of Jax's bar didn't hesitate in answering 'yes.' 'I am a narcissist, yes,' he continued. 'I breadcrumb, I love bomb [and also] gaslighting. Im missing some but I do all these things. I had no idea there were terms for these things.' She wore a stylish brown purse over her left shoulder and finalized the look with brown sneakers The outing comes days after the news of her filing for primary legal and physical custody of her three-year-old son Cruz became public Taylor, who recently revealed he was diagnosed with bipolar disorder and post-traumatic stress disorder after a recent mental health facility stay, said his estranged wife's filing was the 'right decision for their family'; he is pictured this last June He said he would 'look up the definition' for various terms only to realize it describes his behavior perfectly. 'So yes, I have to humble myself and say, "Do you think youre a narcissist?" And I do,' he said. "I dont know how I became one. [But] I do believe Im a narcissist.' Taylor and Cartwright first met at a bar while vacationing in Las Vegas in the early part of 2015. At that time, he was starring in the third season of Vanderpump Rules, while Cartwright worked as a waitress at Hooters. The pair ended up going Instagram official with their romance with a post on May 25, 2015. He convinced her to join the cast of Vanderpump Rules for season four, which premiered that same year. Viewers were first introduced to Cartwright when Vanderpump Rules returned for season four, and Taylor revealed that he had met someone special while on a trip to Las Vegas. Eventually, the pair tied the knot in her home state of Kentucky in June 2019 after dating for just over four years. They would ultimately welcome their son Cruz to the family in April 2021. 'I have been through a lot of pain in this relationship,' the mother of one said. 'I just can't deal with it anymore'; the estranged couple are seen in November 2023 Fans were first introduced to Cartwright when Vanderpump Rules returned for season four, and Taylor revealed that he had met someone special while on a trip to Las Vegas While Cartwright has requested primary legal and physical custody over their child, she is open to allowing him visitations for her estranged husband. Additionally, Cartwright is seeking to block the ability of the court to award either one of them with spousal support. Cartwright cited their date of separation as January 24. She maintains that Taylor cheated on her, disrespected her and humiliated her during their relationship over the years, and that she just had enough. 'I have been through a lot of pain in this relationship,' the mother of one said. 'I just can't deal with it anymore.' Known around the world as a man who would try just about anything to get a good laugh out of people, Steve-O has just dropped out of his latest stunt. The Jackass and Wildboyz star was just hours away from going under the knife to get temporary breast implants for a hidden camera sketch he had been planning for his upcoming The Super Dummy Tour, according to Variety. The whole idea was for Steve-O (born Stephen Gilchrist Glover), 50, to make himself look like a woman and then trick groups of men on the street. 'I got within 10 hours of being in surgery for that,' said the man who once was hooked in the mouth and used as shark bait in the Gulf Of Mexico. 'The surgery was supposed to happen at eight in the morning.' It turns out, the anesthesiologist got cold feet when he learned this was for a stunt and then backed out the night before. Steve-O, 50, has decided to scrap his plan to get breast implants and then try to trick people into thinking he's a woman after talking with a transgendered person; seen in 2023 He added, 'That kind of set off a chain reaction where the doctor didnt want to be associated with it anymore, and they were having trouble finding another surgery center to to make it happen.' At that point the man of comedy was still focused on moving forward with the stunt until the following day when he met up with a transgendered person at the grocery store. While shopping he shared his grand scheme to get breast implants to see if it was something the clerk was comfortable with him doing. One of his ideas was for Steve-O to have the implants, shave his body hair, and then remove his tattoos so that he could attend a motorcycle rally and flirt with men, only to take off his helmet and reveal he's actually a guy. 'I knew what my motivation was, I knew what my intention was, and it wasn't to be hurtful to anybody,' Steve-O said. 'I was just trying to get laughs.' While a number of trans people came up to him in a show of support for the stunt, the stunt actor and TV personality admitted the reaction 'would've been a mixed bag.' The clerk in the grocery store was of the opinion that Steve-O was deliberately trying to trick people into thinking that he was a woman and then fooling them 'kind of 'celebrates the idea of hate towards [trans people] that was a [bad] thing.' That clerk went on to paint the picture of a scenario trans people face when using a bathroom at the place of work, and 'that there is like maybe 28 states in the country that would arrest them for having an ID that said female on it. That there were politicians making concerted efforts to lock them up in internment camps. It was really pretty heartbreaking, the level of oppression that was described.' One of his ideas was for Steve-O to have the implants, shave his body hair, and then remove his tattoos so that he could attend a motorcycle rally and flirt with men, only to take off his helmet and reveal he's actually a guy The funnyman revealed that he changed his mind after talking to a transperson about his stunt and how it could led to more hate toward the community Steve-O has been out performing The Super Dummy Tour in theaters around the U.S. this fall When hearing these kinds of stories Steve-O decided to scrap the stunt to get breast implants. 'I thought about it in a way that I hadn't before, where you know, wow, maybe its not all fun and games. Especially the pranks,' he confessed. Over the years the London England native, who also grew up in Canada and the U.S., his put his stunt antics on display performing with the series Jackass (2000-2001), and it's related films Jackass: The Movie (2002), Jackass Number Two (2006), Jackass 3D (2010), Jackass Forever (2023), as well as its spin-off series Wildboyz (2003-2006) and Dr. Steve-O (2007). Steve-O is engaged to stylist Lux Wright, who he proposed to in 2018. The comedian and stunt man has been out performing The Super Dummy Tour in theaters around the U.S. this fall. Clarkson's Farm star Kaleb Cooper has revealed what his relationship with Jeremy Clarkson is really like behind-the-scenes. The farmer, 26, shot to fame on the Prime Video series, where his bickering with the former Top Gear host, 64, garners more attention than the farming itself. And speaking in a new interview, Kaleb admits that while what viewers see is what they get, only '40 per cent' of the pair's rows are actually shown onscreen. Detailing their relationship, Kaleb told The Sun: Everything you see on the camera is everything you see behind-the-scenes as well. I mean yes we still argue and I reckon they probably only show 40 per cent of the arguments we actually have. 'But the main thing is that if we have an argument, ten minutes later were best friends again. Well be like "do you want a cup of tea? Do you want to go to the pub? Do you want to go for a meal?"' Clarkson's Farm star Kaleb Cooper has revealed what his relationship with Jeremy Clarkson is really like behind-the-scenes [both pictured] The farmer, 26, shot to fame on the Prime Video series, where his bickering with the former Top Gear host, 64, garners more attention than the farming itself Kaleb added that their ability to resolve their rows and move on are what he believes 'what makes a good relationship'. Kaleb, who since starring on the show has gone on to release books and head out on his own live tour, went on to how grateful he is to the Grand Tour star for introducing him to the world of TV and showbiz, adding that he's his 'hero'. He shared: 'I mean I thank him by teaching him a few things about farming. I am thankful to him for bringing me onto the screen, and hopefully we are teaching each other things along the way. Yes he is my hero and hes on my hero list and hes taught me so much about television and hopefully Im teaching him a lot about farming.' Kaleb added that there was 'no one better' to learn from than Jeremy himself. Clarkson's Farm sees Jeremy, Kaleb and the gang wrestle with the daily challenges of running his 1,000-acre Diddly Squat Farm in the Cotswolds countryside. The first two series, released in June 2021 and February 2023, were major successes immediately with Kaleb's and Jeremy's strong personalities. Series three sees challenges at the farm, with plans to open a restaurant shut down due to local council red tape and the introduction of pigs to try to boost income. Estimated to have a net worth of 55 million, the new series of Clarkson's Farm shows him investing in new ways to try and make money. Speaking in a new interview, Kaleb admits that while what viewers see is what they get, only '40 per cent' of the pair's rows are actually shown onscreen. 'Everything you see on the camera is everything you see behind-the-scenes as well. I mean yes we still argue and I reckon they probably only show 40 per cent of the arguments we actually have' 'The main thing is that if we have an argument, ten minutes later were best friends again. Well be like "do you want a cup of tea? Do you want to go to the pub? Do you want to go for a meal?"' It comes after Kaleb left fans in stitches as he snubbed the NTAs on stage at the 2024 awards evening held at London 's O2 Arena on Wednesday night The farmer captured the nation's hearts once again during the awards ceremony, as viewers were in awe of his transformative appearance and irresistible charm As the star began presenting the award, he joked and said 'National Tractor Awards' instead of National Television Awards, leaving fans in stitches It comes after Kaleb left fans in stitches as he snubbed the NTAs on stage at the 2024 awards evening held at London's O2 Arena on Wednesday night. The farmer captured the nation's hearts once again during the awards ceremony, as viewers were in awe of his transformative appearance and irresistible charm. He ditched his muddy gloves and sensible work gear for a dashing black tuxedo, which he paired with a crisp white shirt. But as the loveable star was heard reading out the nominees for the award - namely, Ant & Dec, Bradley Walsh, Alison Hammond, Bradley Walsh, Claudia Winkleman and Stacey Solomon - he took a little swipe at the show. As the star began presenting the award, he joked and said 'National Tractor Awards' instead of National Television Awards. And fans couldn't get enough of him as they loved the little nod to his home roots and British farming, rather than leaning into the usual world of showbiz glitz. Flocking to X, formerly known as Twitter, fans gushed over the TV star. Ric Campo, vice president of the Houston ISD Board of Managers, during a Houston ISD Board of Managers meeting at the Hattie Mae White Educational Support Center, Thursday, March 21, 2024, in Houston. Campo is a member of the audit committee. Jason Fochtman/Staff photographer Houston ISD's audit committee held a public meeting Friday after it reversed its decision to hold closed meetings "in response to public feedback" following a Houston Chronicle article. The Chronicle first reported last week that the committee "committed to promoting transparency" had stopped holding public meetings after more than 17 years. The committee, with three appointed board members Ric Campo, Adam Rivon and Janette Garza Lindner is responsible for district governance, risks and internal control practices through monitoring internal and external audits, financial statements and the districts progress in implementing action plans, according to its new charter. Advertisement Article continues below this ad Regarding the policy reversal, Campo said the committee has had other meetings in the past year and that only one person showed up Friday because of media coverage. He said of other meetings that the committee, headed by Garza Lindner, has had one to two people in the past year. He said the initial decision to hold meetings privately was to make the committee the same as other ad hoc committees. "So we have an ad hoc committee on safety," Campo said. "We have an ad hoc committee on communications, and what those are made up of are two or three board members. And the board members don't have any authority. They don't deliberate or make decisions or do anything like that, right? What they do is they get data together, and then they give information to the board, and then the board decides what to do with it." Community members saw it as a big change, Campo said. Advertisement Article continues below this ad "We heard that. It was like, well, no one ever showed up before," Campo said. "Now you're upset about it, so we'll change it back. That's fine. If you really think it's a big deal, come on. Let's see how many people will come. And I'm fine with it. And it was really just a response to the community." On the initial decision, Garza Lindner said in August that the committee would no longer work as an independent body and would instead bring its recommendations to the full board to consider. She said more people would be able to give input on the audits and recommendations because they would be included on the regular board meeting agendas. The district's "internal audit function" was outsourced to the accounting firm RSM. The internal audit reporting process goes through multiple drafts before a final draft is presented to the committee for discussion and released online. Rarely is an earlier draft discussed, RSM directors said. RSM director Duffy Leidner said audit reports flag funds as low, moderate and high financial risk, adding that the levels take into account other types of risk including public perception and "reputational." Advertisement Article continues below this ad Houston ISD board of managers member Janette Garza Lindner listens to public speakers during a Houston ISD board of managers at the Hattie Mae White Educational Support Center, Thursday, June 27, 2024, in Houston. In them meeting, the last of the 2023-2024 school year, discussed rest results from the districts NWEA Map Growth test. The assessment measures achievement and growth in K12 math, reading, language usage, and science Jason Fochtman/Staff photographer Leidner and another RSM director, Laura Manlove, on Friday presented compliance audit results for Lamar High School and T.H. Rogers School in Tanglewood "activity funds," which Manlove defined as "those funds collected at the school level, usually from student-generated activities. So, think of your T-shirt sales, your field trips, your pizza party money," separate from district and state funds. "And there are rules that govern how they are to be managed and monitored and spent, hence why they deserve internal audit attention," Manlove said. "Money that comes in from the community and from the students needs to be protected, needs to be accountable, which is why this was on the audit plan and should probably continue to be a topic here at these meetings." DISTRICT ADVISORY COMMITTEE: HISD plans to add new staff AED training and address nurse shortage after student death Manlove said those schools were chosen for audits after RSM looked at the volume of activity in all schools, as well as the last time those schools were audited by the internal function and what those results were. The firm looked at a sample of cash receipts and deposits, along with cash disbursements, to "assess completeness, accuracy and existence," Leidner said. Advertisement Article continues below this ad Lamar High School had "moderate risk" because of insufficient descriptions on documentation filed for funds collected by people other than financial clerks, and "low risk" due to the form for one cash receipt or deposit slip missing acknowledgement and review. Manlove noted that "there was no missing money" all the way to bank deposit, only that it was "about administrative hygiene to make sure that all the i's were dotted and t's were crossed." Rogers had no observations. The firm will also look at activity funds districtwide, including policy, procedures and data available from that, Leidner said. RSM said its audit of the records management process was delayed by IT audits. The firm has at least eight internal audit projects scheduled to begin from September through March, including extra duty pay, district timekeeping/overtime and the districtwide activity funds review. The first three projects of the 2025 fiscal year will be presented at the committee's Jan. 28 meeting. Advertisement Article continues below this ad She recently revealed she's selling her home in Miami to return home to the UK. And Kimberley Garner already seemed to be well-settled back in blighty, as she enjoyed a night out at London's Chiltern Firehouse on Friday. The former Made in Chelsea star, 33, looked sensational in the tiny Burberry miniskirt that showed off her toned pins as she departed the celebrity hotspot. The swimwear designer also wore a tight-fitting black crop top that showed off her toned tummy and plunging neckline. She completed the whole look with a pair of tan boots and carried with her a small leather handbag. Kimberley Garner, 33, put on a leggy display in a Burberry mini skirt as she enjoyed a night out at London's Chiltern Firehouse on Friday The former Made in Chelsea star looked sensational in the miniskirt that showed off her toned pins as she departed the celebrity hotspot The TV star was also seen inside a London taxi with a friend where she crossed her legs over and was all smiles as she seemed to call out to the driver. Kimberley has been splitting her time between her native London and the coastal city since she bought the pad in December 2018. But she recently listed the stunning abode for sale with an asking price of $1.29 million, and has has redone the interiors herself. In new snaps of the property, the swimwear designer looked incredible as she posed against backdrop of the ocean. She let her blonde locks flow free and struck a sultry pose in an all-white outfit that showed off her toned tummy. Kimberley has plans to move back to London full-time, and in further snaps showed off her incredible figure as she posed in her bedroom. In keeping with the all-white theme, Kimberley looked sensational as she displayed her hourglass figure in a crisp slit dress as she gazed out to the ocean. The master bedroom features a set of sliding doors that lead onto a balcony that provide stunning views of the area. The swimwear designer also wore a tight-fitting black crop top that showed off her toned tummy and plunging neckline She seemed to be in good spirits as she called out to the driver in front The swimwear designer has been splitting her time between her native London and the coastal city since she bought the pad in December 2018 Kimberley has plans to move back to London full-time, and in further snaps showed off her incredible figure as she posed in her bedroom She has decorated the boudoir in an all-white theme that is complimented by a wooden flooring and simple lighting accessories. In one snap, the TV star donned a pair of jeans and a vest as she put on a cheeky pose that displayed her pert behind while doing DIY on the luxury property. The sprawling living area is made up of a large veranda that spirals down into a spacious lounge. It comes after she recently revealed that she had 'just broken up with someone'. The star confirmed her relationship status at the screening of The Idea Of You at the Ham Yard Hotel in Soho, London. She told The Daily Mail's Richard Eden: 'I've just broken up with someone. Right decision and I am in a really good place.' Kimberley's last known romance began in summer 2023, when it was revealed that she was going out with financier Andreas Anthis. Chloe Sims was sure to turn heads on Friday night as she slipped into a racy ensemble to attend best pal Vas J Morgan's We Matter Dinner at the Maine restaurant in London's Mayfair. The former TOWIE star, 42, put on a leggy display as she slipped into a pair of tan knitted shorts which she teamed with towering knee-high cream boots. Nailing autumnal chic amid London Fashion Week, Chloe added a white lace tights and cosy cream jumper, which the knitwear cropped so as to give a glimpse of her toned torso. The TV personality finished off her stylish look with a white box bag and a selection of simple gold jewellery. Chloe was on fine form as she posed up a storm while heading to support her pal Vas and Barkha Shewakramani's star-studded event. Chloe Sims was sure to turn heads on Friday night as she slipped into a racy ensemble to attend best pal Vas J Morgan's We Matter Dinner at the Maine restaurant in London's Mayfair The former TOWIE star, 42, put on a leggy display as she slipped into a pair of tan knitted shorts which she teamed with towering knee-high cream boots Meanwhile, Vas, 35, was a vision in an eye-catching purple dinner jacket and black trousers at the bash. The glitzy celebrity guestlist was lead by the likes of Little Mix's Leigh-Anne Pinnock and actress Jodi Turner-Smith. Leigh-Anne left little to the imagination by slipping into a plunging hooded gown which showed off her toned abs. Meanwhile Jodie cut a stylish figure in a long leather trench coat, and finished her look with a cosy wool hat. The Anne Boleyn actress kept it casual underneath with her pair of flared jeans and a white shirt, styled the whole look out with a pair of chunky leather boots. Other stars in attendance included Selling Sunset's Chelsea Lazkani, musician Ella Eyre and actor Lucien Laviscount. Chloe's showstopping appearance comes months after it was reported she had split from Lionel Richie's son Miles. The couple called on their whirlwind romance just four months after they started dating. Nailing autumnal chic amid London Fashion Week, Chloe added a white lace tights and cosy cream jumper, which the knitwear cropped so as to give a glimpse of her toned torso Chloe was on fine form as she posed up a storm while heading to support her pal Vas and Barkha Shewakramani's star-studded event At one point, she cosied up with her fellow reality star Chelsea Lazkani, who wowed in a dramatic off-the-shoulder black dress Meanwhile, Vas, 35, was a vision in an eye-catching purple dinner jacket and black trousers at the bash Vas J Morgan, Barkha Shewakramani, Chelsea and Chloe posed for a snap together The former TOWIE star, who relocated to Los Angeles to start up her Netflix series House Of Sims, hit it off with the socialite, 30, after meeting at the Emmy Awards in March. But after Chloe's huge brawl with her sisters Frankie and Demi on the show, Chloe wants to focus on her daughter Madison, 19, and filming her show with zero drama. A source told The Sun: 'Chloe's been in the UK for a month and its just fizzled out. He's a bit of a party boy too and it's not really Chloe's scene. Chloe's showstopping appearance comes months after it was reported she had split from Lionel Richie's son Miles (Miles pictured in February) The former TOWIE star, who relocated to Los Angeles to start up her Netflix series House Of Sims , hit it off with the socialite after meeting at the Emmy Awards in March 'She's focused on spending time with her daughter and preparing for season three of her show, which starts filming soon. 'It's such a shame because he treated her so well. But they are still friends, so who knows? Maybe it will start up again in the future.' MailOnline contacted Chloe and Miles' representatives for a comment at the time. Chris Hemsworth delighted horse racing fans on Saturday when he made an unexpected visit to Brisbane's Eagle Farm Racecourse for an exclusive event. The Marvel star, 41, who has long been a keen horse rider, rocked up for the club's Members Rewards Day and looked thrilled to watch some exciting races. The Melbourne-born actor was seen excitedly cheering on the jockeys from the stands and later posed for a photo with winning jockey Courtney Bellamy. Chris rocked his best angles in the happy snap in a figure-hugging navy dress shirt paired with black pants, as he hammed it up alongside the star struck jockey. Brisbane Racing Club shared to Instagram a gallery of photos of Chris' fun day out and captioned it with some revealing words: 'Not a bad way to celebrate your first city winner. Chris Hemsworth decided to join in the celebrations! A day we'll never forget!' The Thor actor looked thrilled to be taking a well-deserved rest from promoting his upcoming animated movie Transformers One. Chris and his glamorous wife Elsa Pataky, 48, have long been keen equestrians and in 2022 The Spanish beauty brought Hollywood star power to the Birdcage during the Melbourne Cup Carnival. Chris Hemsworth delighted horse racing fans on Saturday when he made an unexpected visit to Brisbane's Eagle Farm Racecourse for an exclusive event. Pictured The Fast and Furious actress was announced as special guest of LANDMARK by Lexus at Derby Day. 'I've loved horses since I was little and own a few ex-racehorses for both pleasure riding and show jumping,' Pataky told The Herald Sun. 'Seeing the world's best thoroughbreds in action on the track is always so exciting, and to experience it in Landmark by Lexus will be really special. 'The races are always such a fun day out, and I can't wait to share it with my friends.' Chris and Elsa are the proud owners of nine horses which live in a stable at their $30million Byron Bay mansion. The Marvel star, 41, who has long been a keen horse rider, rocked up for the club's Members Rewards Day and looked thrilled to watch some exciting races Advertisement Eamonn Holmes proved that he was very much enjoying his new romance with girlfriend Katie Alexander as he was seen cheekily grabbing her bottom whilst on a romantic break in Ibiza. The GB News presenter, 64, and the relationship counsellor, 42, had jetted off for a luxury holiday together, with the two landing in Barcelona before embarking on a cruise. The getaway reportedly left Eamonn's estranged wife Ruth Langsford, 64, shocked, with the holiday occurring just four months after the former golden couple announced they were divorcing after 14 years of marriage. However, Eamonn - who was still wearing his wedding ring - put all thoughts of his wife behind him as he got to grips with his new love's behind. The broadcaster looked smitten as he gazed up at his girlfriend, who looked stunning in a leggy black mini dress. Eamonn Holmes proved that he was very much enjoying his new romance with girlfriend Katie Alexander as he was seen cheekily grabbing her bottom whilst on a romantic break The getaway reportedly left Eamonn's estranged wife Ruth Langsford, 64, shocked, with the holiday occurring just four months after the former golden couple announced they were divorcing after 14 years of marriage The two were clearing heading on a night out, with Eamonn dressed up to the nines in a navy blazer, pale blue shirt and ivory trousers. While Kate dressed to impress, teaming her thigh-skimming dress with towering heels, shades and a designer bag. The new couple appeared very relaxed in each other's company as they chatted and stayed close to one another during the excursion from the cruise. Eamonn, who was in a self-drive electric wheelchair amid his crippling back problems, looked particularly enamored with the blonde beauty as he playfully grazed her behind. The Northern Irish presenter has been open about his new relationship with the pair pictured enjoying two holidays together over the summer. The couple flew from Heathrow Airport on Sunday morning before landing in Barcelona for a luxury holiday together. However, a source has told MailOnline that Ruth wasn't expecting her estranged husband to be so open about his new romance. The insider shared: 'Ruth is shocked by how open Eamonn is being about his relationship, after all they both still have their wedding rings on.' However, Eamonn - who was still wearing his wedding ring - put all thoughts of his wife behind him as he got to grips with his new love's behind The broadcaster looked smitten as he gazed up at his girlfriend, who looked stunning in a leggy black mini dress Katie cut a very glamorous appearance as she dressed to impress in a thigh-skimming dress complete with towering heels, shades and a designer bag Eamonn proved wo be popular with fans as he stopped to pose for pics The TV company was very much enjoying himself in the company of fans The couple flew from Heathrow Airport on Sunday morning before landing in Barcelona for a luxury holiday together Eamonn was more-than happy to pose for snaps with passing fans as he enjoyed his holiday 'Ruth is shocked by how open Eamonn is being about his relationship, after all they both still have their wedding rings on' Revealing the real reason the estranged couple haven't removed their rings, they added: 'Neither of them want to be the first to take it off because they don't want to look like they're to blame for the split.' In contrast, Eamonn is said to be 'smitten' with his new love. Speaking about the presenter and Katie's holiday plans, the source shared: 'They both love cruises and they feel like they can be free onboard to act how they want. They are both smitten.' When approached by MailOnline, Ruth's representatives declined to comment and Eamonn's representatives did not respond to comment. The holiday comes after Katie denied claims she's set to move in with the broadcaster. The pair were reported to be house-hunting together just four months after their blossoming romance began. However, Katie's representatives have exclusively told MailOnline this is not the case. The rumours were sparked after a source told The Sun: 'Katies assured him she's in it for the long haul and theyre both settling down nicely.' The new couple appeared very relaxed in each other's company as they chatted and stayed close to one another during the excursion from the cruise Eamonn, who was in a self-drive electric wheelchair amid his crippling back problems, looked particularly enamored with the blonde beauty as he playfully grazed her behind The duo stayed close during their evening out together Katie and Eamonn leaned in close to one another while he kept an arm around her waist Eamonn slipped into navy loafers for his date night with Katie The Northern Irish presenter has been open about his new relationship with the pair pictured enjoying two holidays together over the summer Eamonn looked proud as he went public with his girlfriend Eamonn was still sporting his gold wedding band during the outing 'Revealing the real reason the estranged couple haven't removed their rings, a source added: 'Neither of them [Ruth and Eamonn] want to be the first to take it off because they don't want to look like they're to blame for the split' Katie was the epitome of glamour in her black dress which featured structured shoulders, a sweetheart neckline and a fluffy trim The relationship counsellor left her blonde locks loose, which blew in the wind as they waited outside for their taxi Ever the dutiful girlfriend, Kate was later spotted helping Eamonn into his van after an evening out The star helped her new man into the vehicle after jetting off for a holiday together 'Theyve been spending so much time at his Kingston flat that theyre even looking to move in together permanently.' It isn't the first trip the pair have been on this month, as Eamonn took his girlfriend Katie to visit his hometown of Belfast amid claims they are 'in it for the long haul.' Eamonn is said to be smitten with Katie and their relationship has been 'taken to the next level', MailOnline previously revealed. Friends claimed they are now spending most weekends together at his Richmond flat after his marriage to Ruth ended. Eamonn and Katie are mulling over when to take their relationship public and are said to have been offered 'big money' for the official first pictures of them together. Eamonn looked delighted to be out and about with Katie as he chuckled away The former This Morning host has now gone public with his affections for his girlfriend Katie looked glam in her fancy black frock teamed with a 850 Loewe raffia bag The stunner tottered around on high heels while waiting outside The pair were seen chatting away as they waited outside The pair appeared to be joined by pals for the trip The group appeared to be growing impatient as they waited for their ride Eamonn looked cheerful however as he chatted to another gentleman The couple attracted a few onlookers while out and about Eamonn happily stopped for photos with fans who had spotted him in Ibiza The star appeared thrilled to be spotted by several female fans At one point he was bizarrely seen holding a toy octopus while chatting to admirers The holiday comes after Katie denied claims she's set to move in with the broadcaster The pair were reported to be house-hunting together just four months after their blossoming romance began The source shared: 'They've been offered some decent money for the first pictures of them together.' They continued: 'Katie and Eamonn are definitely in it for the long haul. She says she loves him and he loves her.' The pair's friendship advanced when he moved out of the marital home he shared with Ruth after his devastated wife discovered messages between him and another mystery woman. In May, Ruth and Eamonn were said to be in the process of divorcing' after work commitments 'took their marriage in different directions'. A spokesperson for the couple said: 'Ruth Langsford and Eamonn Holmes have confirmed their marriage is over and they are in the process of divorcing.' Eamonn and Ruth, who wed in 2010 after 13 years together, are 'determined to stay friends and keep things amicable'. Priyanka Chopra posed up a storm in a skimpy bikini as she enjoyed a family getaway with her husband Nick Jonas and their daughter on Friday. The actress, 42, showed off her incredible figure in the dark red two piece as she reclined aboard a luxury yacht. The Love Again star shielded her eyes from the blazing sun with a pair of dark glasses and scraped her sleek black locks away from her face. Meanwhile, Priyanka has been married to former Disney Channel star Nick, 31, since 2018 and they have two-year-old daughter Malti together. In another snap, the brunette beauty was seen playing with the little one as the toddler gleefully played with her mother's long hair. Priyanka Chopra posed up a storm in a skimpy bikini during a getaway with her husband Nick Jonas on Friday In another snap, the brunette beauty was seen playing with her daughter Malti, two, as the toddler gleefully played with her mother's long hair The little one looked to be playing a game of Peek-A-Boo with her mother as she sat atop her knee inside the boat. The actress looked incredible as she donned a woven hat and striped blouse in another snap from her vacation. Priyanka soon shared some more snaps of herself reclining amid the luxury getaway, this time posing in a cream two-piece while Nick admired the view away from the boat. She then treated her 92 million followers to a snap of her sitting up bolt upright with her tousled hair blowing in the sea breeze. A birds-eye shot of the star showed more of her amazing figure as she reclined on a float in the middle of the ocean. And at one point, it seemed as if Priyanka was being serenaded by her pop star husband as he whipped out his guitar and strummed away. Nick then propped the little one up in an adorable striped outfit as she marvelled at the ocean in front of her. In one final snap once they had got off the boat , Priyanka soaked up the sun in a long woven dress that matched her hat. The little one looked to be playing a game of Peek-A-Boo with her mother as she sat atop her knee inside the boat The actress looked incredible as she donned a woven hat and striped blouse in another snap from her vacation. Priyanka soon shared some more snaps of herself reclining amid the luxury getaway, this time posing in a cream two-piece while Nick admired the view away from the boat A birds-eye shot of the star showed more of her amazing figure as she reclined on a float in the middle of the ocean And at one point, it seemed as if Priyanka was being serenaded by her pop star husband as he whipped out his guitar and strummed away Nick then propped the little one up in an adorable striped outfit as she marvelled at the ocean in front of her In one final snap once they had got off the boat , Priyanka soaked up the sun in a long woven dress that matched her hat Last month, the couple were spotted jetting out of Sydney, Australia and preparing to return to the United States after a trip Down Under. Chopra was there filming her new drama The Bluff with her husband and their daughter with her for support. Before jetting back home, she announced that she wrapped filming on the movie via a social media post. Shortly afterwards, she returned the favor and showed her support at Jonas' The Good Half premiere while modeling a daring, sheer ensemble. Deborah Mailman is one of Australia's most acclaimed actresses - and she is happy for it to stay that way. The star tells this week's issue of Stellar Magazine that heading to Hollywood after success at home isn't important to her. 'I think that whole idea of, "Oh, I'm going to Hollywood" doesn't feel like that's the path anymore' the 52-year-old says. 'You want to work where the great work is, right? 'And whether that's working in America, whether it's working here or whether that's working in England, it's really about finding the interesting people that you want to walk with and where the ideas are' she continued. 'And I found those ideas here at home. That's what I want to be a part of'. Last month, Mailman won her sixth Logie, this year bringing in the Best Lead Actress in a Drama for her role in Total Control. She returned for the second and final season of the critically acclaimed ABC drama last year. Deborah Mailman is one of Australia's most acclaimed actresses - and she is happy for it to stay that way. Pictured in Stellar Magazine Mailman again co-starred with Rachel Griffiths in the series, which is set amongst Australia's power brokers. The final season saw Indigenous activist-turned-politician Alex (Mailman) clashing with the former Prime Minister, Rachel Anderson (Griffiths). Rachel wants to form a breakaway political party. Meanwhile, Alex battles to survive a public controversy that could destroy her career, after launching a nation changing policy. As Alex tries to survive, she learns whether its possible to fight off her enemies - without losing her integrity. Last month, Mailman won her sixth Logie, this year bringing in the Best Lead Actress in a Drama for her role in Total Control She returned for the second and final season of the critically acclaimed ABC drama last year Co-starring are Rob Collins from RFDS, Wayne Blair (The Sapphires), and Anthony Hayes (Mystery Road). Wesley Patten (Total Control, S1), Steph Tisdell, Daniela Farinacci, Lisa Flanagan, and Benedict Hardie are also featured. Total Control season one debuted on the ABC in 2019. The plot centred on Mailman's character Alex who fights to improve conditions for her community. Peaky Blinders actor Joe Cole revealed on Friday that he is 'somewhere' on the neurotypical spectrum. Joe, 35, portrayed John Shelby, the younger brother of central character Tommy Shelby, on Peaky Blinders from 2013 to 2017. Missing out on the last two series which saw a huge increase in viewership, Joe left Peaky Blinders to pursue lead roles - and earned himself the British Independent Film Award for Best Actor in 2018. On Friday, Joe, who previously enjoyed stints on The Bill, Holby City, and cult teen drama Skins, opened up to The Times about his road to acting. He said: 'Im somewhere on the neurotypical spectrum. I found it hard to focus on my coursework and doing the work required to get to university. Drama and theatre studies was a different matter. That gave me the fire in my belly to go and pursue something else.' Peaky Blinders actor Joe Cole, 35, revealed on Friday that he is 'somewhere' on the neurotypical spectrum Joe's Peaky Blinders co-star Helen, who played his character John Shelby's (second from left) Aunt Polly (fourth from right), died from breast cancer in 2021 at the age of 52 Joe also spoke about his Peaky Blinders co-star Helen McCrory who died in 2021 from breast cancer at the age of 52. Helen, married to Damian Lewis, portrayed battleaxe Aunt Polly on the series, aunt to the Shelby brothers. Joe said: 'The people who burn the brightest in this world may be the people who are taken too soon. Its heartbreaking. You felt like you had to be on your toes around her, in a good way. He added: 'She was amazing with my little brother, Finn. Peaky Blinders was his first acting role. I know they were very close. She left a real mark on the world. I assumed everyone was as charismatic and funny as her, but as Ive gone through the industry Ive realised: "Oh, wait, no, that was just Helen."' Joe's character John was killed off in series four of Peaky Blinders, but there is still a chance he could return to television screens as a film adaptation is imminent. In June, it was confirmed that Peaky Blinders' original writing and directing team will be returning alongside Cillian Murphy (Tommy Shelby) for the Netflix film adaptation of the hit British series which wrapped in 2022 after six series. Cillian, 48, will be reprising his role as Thomas Shelby in the period crime drama, set in late 1910s to early 1930s Birmingham, with the show's creator Steven Knight and Tom Harper again writing and directing respectively. Netflix confirmed the news on X with a photograph of the script, while the Oscar winning actor told Deadline: 'It seems like Tommy Shelby wasnt finished with me In June, it was confirmed that Peaky Blinders ' original writing and directing team will be returning alongside Cillian Murphy (Tommy Shelby) for the Netflix film adaptation Cillian, 48, will be reprising his role as Thomas Shelby (pictured) in the period crime drama, set in late 1910s to early 1930s Birmingham - after the series wrapped in 2022 'It is very gratifying to be recollaborating with Steven Knight and Tom Harper on the film version of Peaky Blinders. This is one for the fans.' Tom shared: 'When I first directed Peaky Blinders over 10 years ago, we didnt know what the series would become, but we did know that there was something in the alchemy of the cast and the writing that felt explosive. 'Peaky has always been a story about family - and so its incredibly exciting to be reuniting with Steve and Cillian to bring the movie to audiences across the world on Netflix.' While Steven added: 'Im genuinely thrilled that this movie is about to happen. It will be an explosive chapter in the Peaky Blinders story. No holds barred. Full-on Peaky Blinders at war.' Matt LeBlanc was spotted on a rare outing with his daughter, Marina, as they went car shopping in Los Angeles this week. The 57-year-old Friends star who looked nearly unrecognizable earlier this month was casually dressed in light wash jeans and a black T-shirt. He added a navy blue and white Ferrari trucker hat and sporty black sunglasses, rocking a shadow of a white beard. His 20-year-old daughter, his only child with ex-wife Melissa McKnight, was similarly dressed. She wore light blue jeans with cargo pockets, a short-sleeved gray T-shirt, and light-colored sneakers. Matt LeBlanc was spotted on a rare outing with his daughter Marina as they went car shopping in Los Angeles this week The father-daughter duo perused RPM Motors, a luxury used car lot. Matt made a similar outing earlier this month at the same Van Nuys location, marking the first time he was seen in public since December, shortly after former castmate Matthew Perry's death. Perry was found unresponsive in a hot tub at his Pacific Palisades home on October 28. The Los Angeles County Medical Examiner's Office later revealed that his cause of death was a fatal ketamine overdose. At the time, LeBlanc wrote on Instagram: 'Matthew. It is with a heavy heart I say goodbye. The times we had together are honestly among the favorite times of my life.' He added, 'It was an honor to share the stage with you and to call you my friend. I will always smile when I think of you and I'll never forget you. Never. 'Spread your wings and fly brother. You're finally free. Much love....And I guess you're keeping the 20 bucks you owe me.' LeBlanc shared a photo of the Friends cast embracing at the finale taping in 2004. a snap previously shared in 2021. The 57-year-old Friends star was casually dressed in light wash jeans and a black T-shirt LeBlanc mourned the death of Friends co-star Matthew Perry last October; the actors pictured in April 2016 L-R Courteney Cox as Monica Geller, Matthew Perry as Chandler Bing, Jennifer Aniston as Rachel Green, David Schwimmer as Ross Geller, Matt LeBlanc as Joey Tribbiani, and Lisa Kudrow as Phoebe Buffay on the beloved sitcom Friends Last October the surviving five Friends stars Courteney Cox, Jennifer Aniston, David Schwimmer, Lisa and Matt released a joint statement about Perry's tragic passing. 'We are all so utterly devastated by the loss of Matthew. We were more than just cast mates. We are a family,' they said. 'There is so much to say, but right now we're going to take a moment to grieve and process this unfathomable loss.' The stars continued: 'In time we will say more, as and when we are able. 'For now, our thoughts and our love are with Matty's family, his friends, and everyone who loved him around the world.' Advertisement Nikki Garcia has been spotted for the first time since estranged husband Artem Chigvintsev filed for joint custody of their four-year-old son Matteo and spousal support amid their ongoing divorce after his domestic violence arrest late last month. The 40-year-old retired WWE star held her son on her hip while stepping out in Napa, California on Saturday morning. The Dancing With The Stars pro, 42 who removed Nikki from his Instagram profile before re-adding her responded to his wife's divorce filing this week, citing 'irreconcilable differences' as the reason for their split in documents obtained by Us Weekly. He listed the date of separation as August 29 the same day he was arrested for felony domestic violence charges following an alleged altercation at their home. In addition to requesting 50/50 custody of Matteo, the Russian-born dancer has asked for spousal support, and that Garcia pay his legal fees, per the documents. Nikki Garcia has been seen for the first time since estranged husband Artem Chigvintsev filed for joint custody of their four-year-old son Matteo and spousal support amid their ongoing divorce after his domestic violence arrest late last month Garcia wore black, skintight, capri leggings and a matching low-cut sleeveless black top The 40-year-old retired WWE star held her son on her hip while stepping out in Napa, California on Saturday morning Garcia wore black, skintight, capri leggings and a matching low-cut sleeveless black top that flashed a sliver of her toned abdomen. The mother-of-one, who was formerly known as Nikki Bella, slipped her red pedicured feet into a pair of black thong sandals. Per TMZ, she was headed to take her toddler to a dance class and dressed the tot in black shorts, a black T-shirt, and black sneakers. During some moments, the media personality wore a wide-brimmed visor as she pulled her lustrous raven locks into a messy bun. And she carried multiple bags, including a dark backpack, a quilted black Chanel purse with a chain strap, and a beige tote with a brown strap. The beauty appeared upbeat despite the drama in her life as she grabbed two green drinks and shielded her eyes with oversize black sunglasses. In his divorce filing, Artem claimed that he was a putative spouse an individual who believes they are legally married to someone, but the marriage itself is not valid between the dates of August 26, 2022 and January 19, 2023. Chigvintsev and Garcia said 'I do' in August 2022 during a ceremony in Paris, France. She put on a perky display in her low-cut crop top The beauty appeared upbeat despite the drama in her life as she grabbed two green drinks During some moments, the media personality wore a wide-brimmed visor as she pulled her lustrous raven locks into a messy bun Garcia went makeup-free for the weekend outing in sunny Napa Valley But in the documents, per Us Weekly, the professional dancer stated that they also tied the knot in the United States in January 2023. Garcia filed for divorce on Wednesday at the Superior Court of Napa and asked for sole legal and physical custody of their son. She stated she is open to Artem getting visitation rights for their son, who was born on July 31, 2020. While Chigvintsev has requested spousal support from Nikki, she has asked to block the court's ability to award spousal support to either party. Just days before Artem was placed into handcuffs, the couple had marked their second wedding anniversary. At the time, he shared a heartfelt message in an Instagram post that read, 'Happy anniversary my love, can't see my life without you. You are my everything.' Garcia filed for divorce from Chigvintsev on Wednesday at the Superior Court of Napa and asked for sole legal and physical custody of their son Artem was booked into the Napa County Jail on felony domestic violence charges at 10:59am before being released on a $25,000 bond at approximately 2:18pm Sources recently told DailyMail.com that Chigvintsev is desperately trying to salvage the marriage. Cops were called to the couple's $1.5 million marital home in Yountville, California, following reports of a quarrel on August 29. Sources said the couple dialed 911 twice first to request assistance for an injury and then to ask paramedics not to come. But due to the sounds of a domestic disturbance in the background, cops from the Napa Sheriff's Department arrived at the couple's residence anyway, eventually arresting Chigvintsev. He was booked into the Napa County Jail on felony domestic violence charges at 10:59am before being released on a $25,000 bond at approximately 2:18pm. Chigvintsev is scheduled to appear in court in Napa on Tuesday and cannot leave Napa County until the case is resolved. Ana de Armas admitted that she once snuck out of a friend's wedding in order to take an important business call. During a question and answer session following the September 7 screening of Eden at the Toronto International Film Festival, the philanthropic star spoke about her decision to take a call from director Ron Howard's call over watching her pal get hitched. The Ghosted star was anxious to speak to Howard, 70, about the opportunity to join the film focused on a group of people who move to a deserted location to create their own utopia. 'I never saw those people getting married because I was on the phone with Ron,' she revealed. 'Do you remember that? When then you [said], "I can't hear you,"' the actress reminded her friend. Ana de Armas sneaked out of a friend's wedding in order to take a business call, but the actress, 36, seems to think it was the right call to make (pictured earlier this month) De Armas stars as Eloise Bosquet de Wagner Wehrhorn, a self-proclaimed baroness, in the survival thriller based on a true story. 'We talked about the baroness and I told [Ron] how I felt and all these things that I was already imagining,' she told the audience. The plot is based on the experience of a German philosopher who traveled to the Galapagos with his lover/disciple in the late 1920s to set up an experimental society. Problems arose when others, including the opportunistic baroness, arrived on the remote island for their own purposes. The Oscar nominee admitted she was surprised at how 'relatable' the story turned out to be. 'I couldn't believe how crazy it is and how relatable it is as well nowadays,' she explained. The Blonde star joked she thought working as the femme fatale on Eden might mean the 'end of her career,' but decided to take part anyway. 'From day one, there was great communication and back and forth and I was like, "This might be the end of my career, but it looks like fun, so I'm going to go for it,"' she explained. The actress spoke about her decision to say 'I do' to a call from director Ron Howard, 70 during a Q+A following the Eden premiere at the Toronto International Film Festival (Pictured September 7 in Toronto) De Armas stars with Jude Law, Sydney Sweeney, Daniel Bruhl, and Vanessa Kirby in the thriller set on a remote island in the Galapagos in the late 1920s (Pictured September 7 in Toronto) 'I just really fell in love with the character.' De Armas stars alongside Sydney Sweeney, Jude Law, Vanessa Kirby, Daniel Bruhl and others in the film. It's unclear when Eden might be released in theaters or streaming. The movie's international rights were sold to Amazon Prime Video at Cannes in May, but the US rights have yet to be grabbed. Octavia Johnson is a general assignment reporter for the Houston Chronicle. She can be reached at octavia.johnson@houstonchronicle.com. Before joining the Chronicle, Octavia worked as a digital content producer at WATE-TV in Knoxville, Tenn., where she became a leader in producing fast paced, audience-focused content. Octavia graduated from UNC Pembroke with a bachelor's degree in journalism before earning her master's degree in media and communications at Norfolk State University. Jamie Borthwick was branded a 'cheat' by one of his Strictly Come Dancing co-stars during the launch show on Saturday. His stint will mark a return to the BBC show after he competed in the Christmas Special last year, being crowned champion alongside professional dancer Nancy Xu. And things took an awkward turn during the first episode of the series as Jamie was dubbed a 'cheat' for previously appearing on the show. Host Tess Daley told Jamie: 'Jamie you had a taste of Strictly last Christmas,' to which co-star Wynne Evans interrupted and quipped: 'Cheat!' before coughing. Elsewhere, Pete Wicks also took a dig at Jamie when he said: 'I'm in for a penny in for a pound Pete. I'm never going to be here again am I, unless I am Jamie'. Jamie Borthwick was branded a 'cheat' by one of his Strictly Come Dancing co-stars during the launch show on Saturday (pictured with pro partner Michelle Tsiakkas) His stint will mark a return to Strictly Come Dancing after he competed in the Christmas Special last year, being crowned champion alongside professional dancer Nancy Xu Jamie rose to fame for his portrayal as Jay Brown/Mitchell in EastEnders, which he has starred in since 2006, and is now one of the longest serving actors on the show. In 2008 his role in EastEnders earned him a British Soap Award for Best Dramatic Performance from a Young Actor, he then went on to win Best Actor at the Inside Soap Awards in 2023. Jamie had nothing but good things to say about Strictly as he praised the show ahead of the start of the 20th anniversary series The EastEnders actor, 30, is due to take part in the latest line up after previously winning the Christmas special with partner Nancy Xu back in 2023. And as the soap star prepares for the live shows he confirmed he is getting along with his new partner despite 'aching in places he didn't know he could'. Speaking to MailOnline at the National Television Awards on Wednesday Jamie gushed that the show is 'magic' as he confessed: I'm really enjoying it so far. I 'm aching in places I didn't know I could. 'Strictly's magic. It's a magical show, and it brings a lot of happiness to people, and I'm just happy to be part of it.' It has been a year of scandal for the show with the results of the BBC's internal investigation into allegations against Giovanni Pernice due any day now. Things took an awkward turn during the first episode of the series as Jamie was dubbed a 'cheat' for previously appearing on the show Host Tess told Jamie: 'Jamie you had a taste of Strictly last Christmas,' to which co-star Wynne Evans (pictured on Saturday) interrupted and quipped: 'Cheat!' before coughing Elsewhere, Pete Wicks (pictured) also took a dig at Jamie when he said: 'I'm in for a penny in for a pound Pete. I'm never going to be here again am I, unless I am Jamie' Speaking to MailOnline at the NTAs on Wednesday Jamie gushed: 'I'm really enjoying it so far. I'm aching in places I didn't know I could. Strictly's magic. It's a magical show, and it brings a lot of happiness to people, and I'm just happy to be part of it' Jamie rose to fame for his portrayal as Jay Brown/Mitchell in EastEnders, which he has starred in since 2006, and is now one of the longest serving actors on the show Commenting on the controversy, Jamie added: 'I wasn't there at that time. I can only speak from when I've been there and it's been an absolutely fantastic place to be. 'I did the Christmas show, and it was absolutely fantastic and it was that it was the environment that made me want to go back for the series. 'So absolutely not a bad word at all.' While Jamie may have won the Christmas special with his 40 point quickstep, he insisted his festive taster does not give him an advantage over the other contestants. He joked: 'It's gonna be good w hen I go on and I'm not really great. Everyone will see that this whole advantage thing is not actually real. We're all on a level playing field now.' It was just a few months ago that TV chef Jamie Oliver spoke of his pride in his daughter Daisy Boo, who is training as a nurse. But now the 21-year-old, who has just finished a three-month placement at a hospital in Leeds, has shared a series of graphic posts which could be deemed unprofessional on social media about her work and her patients. Some of the posts appear to go against a strict code of conduct drawn up by nurses' regulator, the Nursing and Midwifery Council (NMC) which has the power to strike off nurses. Posting on TikTok, and wearing a fleece with her name embroidered under the NHS logo, Daisy the second of five children born to Jamie and his wife Jools described in detail too graphic for a family newspaper that she had done a 'bowel evacuation' and added: 'Why am I ok with this?' In another post, she wrote that she was in A&E for gastritis inflammation of the stomach lining which she said was 'most likely caused by the woman who threw her pad in my face'. She also said the incident had left her with faeces in her mouth. Jamie and Jools Oliver pose with their family in 2016. Their children from left to right: Daisy Boo Pamela, Petal Blossom Rainbow, River Rocket, Buddy Bear Maurice and Poppy Honey Rosie A selfie featuring Daisy in her white nursing scrubs, looks like it was taken on a hospital ward 'I wish I was joking but I'm not,' she wrote. She also described 'crying in public transport... when the reality of wrapping up dead people decides to kick in'. Another image, featuring Daisy in her white nursing scrubs, looks like it was taken on a hospital ward. NHS hospitals and training institutions have strict rules about posting content linked to the workplace on social media. The NMC also has a code of conduct which 'emphasises the importance of putting the interests of people using or needing nursing or midwifery services first'. Nurse students may jeopardise their future ability to join the NMC's register which means they would not be able to work as a nurse in the UK if their actions on social media are 'unprofessional or unlawful'. Daisy did not name any patients, but the code still says they should be protected 'regardless of whether you believe that there is a risk they could be identified'. Daisy is training to be a nurse and as part of the gushing birthday tribute Jamie shared a snap of her in her nurse scrubs Anne Trotter, assistant director of Education and Standards at the NMC, said: 'As the professionals of tomorrow, students will learn what it means to live by our Code and standards. 'This means that when they qualify, they can deliver the safe and effective care everyone has the right to expect.' Jamie, 49, shared a snap of Daisy in her nursing scrubs when he paid tribute to her on her 21st birthday in April, describing her as 'amazingly kind'. Shannen Doherty was honored by some of her former Beverly Hills, 90210 castmates at 90s Con this week. The 53-year-old actress died on July 13 after battling cancer for multiple years. She rose to fame in the early 90s while portraying Brenda Walsh on the popular teen drama. Brian Austin Green, Jennie Garth, Ian Ziering, and Gabrielle Carteris reunited on stage in Daytona Beach, Florida and paid tribute to the Charmed star. Green, 51, said about his late friend, 'She's to me an amazing example for everyone of [how] she put her own challenges and her own health things aside and really wanted to share with everyone the experience of all of it, and it was incredibly brave.' He added, 'Going through something as difficult as that and being open to being completely transparent and sharing with everyone is brave.' Shannen Doherty was honored by some of her former Beverly Hills, 90210 castmates at 90s Con this week; pictured in 2019 She rose to fame in the early 90s while portraying Brenda Walsh on the popular teen drama Tori Spelling was slated to appear at the three-day-long event but cancelled days before it started on September 13. Carteris, 63, shared that in the wake of her cancer struggle, Shannen became an inspiration to people in her own life. The star revealed, 'I have a friend right now who is really sick with cancer, and she said that Shannen really inspired her. 'I think that her legacy is truly making a difference for those who are struggling to know that theres hope.' Gabrielle shared with People at the time of Doherty's death: 'Shannen was young and so courageous. By sharing her journey, she has helped so many.' And online, she wrote, 'So young - so sad. May you RIP Shannen. I know Luke is there with open arms to love you,' referring to Beverly Hills, 90210 actor Luke Perry, who died in 2019 at 52. For her part, Garth, 52, took to social media in July to pay her respects to the former teen star, writing, 'Our connection was real and honest. We were so often pitted against each other, but none of that reflected the truth of our real relationship which was one built on mutual respect and admiration.' Jason Priestley, 55, who played Brenda's brother on the series, recently told DailyMail.com about the collective cast bond: 'We all felt like family. We had fun at work and outside of work too.' Ian Ziering reunited with his Beverly Hills, 90210 costars on stage in Daytona Beach, FL Jennie Garth was among the attendees at 90s con Garth, 52, took to social media in July to pay her respects to the former teen star, writing, 'Our connection was real and honest He said, 'Shannen was a great kid. She obviously had some struggles like everybody and was very forthcoming about all that.' The Hollywood fixture added, 'Being able to spend as much time with her as I did, especially in the last few years, is something Im thankful for.' 'So when she passed, I felt there was nothing left between us that had been unsaid. Shannen fought that cancer for as long and as hard as she could and was able to maintain her career and her life; she was impressive in the way she fought.' Ruth Langsford has hired a 'fierce' divorce lawyer amid her bitter split from estranged husband Eamonn Holmes. As reported by The Sun, Catherine Costley has been called up by Loose Women host Ruth, 64, who seeks to end her 14-year marriage to Eamonn, also 64, with a financial settlement. Ms Costley is known for her fierce approach to divorce settlements and has said all women should have a 'go bag' fund when exiting a relationship, according to The Sun. The divorce lawyer was once an apprentice of 'Steel Magnolia' Baroness Fiona Shackleton, Britain's highest-profile divorce lawyer who famously represented Charles in his split from Diana in 1996. Baronness Shackleton has also worked with A-list stars including Paul McCartney, Liam Gallagher and Madonna, and was once doused with water in court by a furious Heather Mills while representing the Beatles legend during the couple's divorce in 2008. Ruth Langsford has hired a 'fierce' divorce lawyer amid her split from estranged husband Eamonn Holmes. This comes four months after the golden couple announced they would be going their separate ways after 14 years of marriage The news comes as as GB News presenter Eamonn Holmes was seen cheekily grabbing his new lover Katie Alexander's bottom in Ibiza yesterday Reports of Ruth hiring a divorce lawyer come as Eamonn was seen with is girlfriend Katie on holiday in Spain Ruth Langsford's team declined to comment when contacted by MailOnline. This comes as Eamonn proved he was very much enjoying his new romance with his relationship counsellor girlfriend Katie Alexander, 42, after the pair jetted off for a luxury holiday together. The GB News presenter was seen cheekily grabbing his new lover Katie's bottom in Ibiza yesterday. The getaway reportedly left Eamonn's estranged wife Ruth shocked, with the holiday occurring just four months after the former golden couple announced they were divorcing. However, Eamonn - who was still wearing his wedding ring - put all thoughts of his wife behind him as he got to grips with his new love's behind. The broadcaster looked smitten as he gazed up at his girlfriend, who looked stunning in a leggy black mini dress. The Northern Irish presenter has been open about his new relationship with the pair pictured enjoying two holidays together over the summer. In May, Ruth and Eamonn were said to be in the process of divorcing' after work commitments 'took their marriage in different directions'. Eamonn's getaway with new girlfriend left estranged wife Ruth (pictured) shocked, with the holiday occurring just four months after the former golden couple announced they were divorcing. The Northern Irish presenter has been open about his new relationship with Katie Alexander (pictured) Former 'This Morning' and 'GMTV' presenter Eamonn Holmes pictured with new girlfriend relationship counsellor Katie Alexander enjoying a late summer break in Ibiza. Eamonn was more than happy to take pictures with fans A spokesperson for the couple said: 'Ruth Langsford and Eamonn Holmes have confirmed their marriage is over and they are in the process of divorcing.' Eamonn and Ruth, who wed in 2010 after 13 years together, are 'determined to stay friends and keep things amicable'. Their split brought to an end one of Britain's best known TV power couples after 27 years together, 14 of which were spent in wedlock. They are parents to son Jack, 22, while Eamonn also has sons Declan, 35, Niall, 31, and daughter Rebecca, 33, from his previous marriage. The former couple, who friends say were 'as close to Richard Madeley and Judy Finnigan as you could get', had first sparked rumours of a split after not appearing on each other's Instagram accounts for months. They first met in through a mutual friend, GMTV star Sally Meen, while Eamonn was also on the show in 1997. Two years later, he had split from his first wife Gabrielle Holmes, the mother of his three eldest children. And despite the pair feeling an instant connection, he ensured it was the nation's best-kept secret, as he did not publicly confess his love for Ruth for two years. Eamonn even refused to hold her hand in public or attend events together for the first few years of their relationship in a bid to stay respectful to his ex of nearly 20 years. They eventually tied the knot in 2010 after 13 years together, in a lavish ceremony at the grand 19th century Hampshire hotel, The Elvetham. Among those on the guestlist were TV presenters Gloria Hunniford and Jeremy Kyle, EastEnders actress Zoe Lucker and former champion boxer Barry McGuigan. And while they had shared a lavish 3.2million mansion in Surrey, Eamonn is believed to have moved out before the break-up was announced. Their split came just days after Ruth revealed she fears Eamonn 'might never be 100 per cent right' after undergoing back surgery due to suffering chronic back pain for almost two years. Pharrell Williams is no longer speaking to his Neptunes bandmate Chad Hugo. The musicians had been friends since high school, but in March, Chad filed a trademark opposition at a federal tribunal, which has caused a rift between the pair. Despite this, the Happy hitmaker insisted he still wishes 'the best' for his former pal. When asked about their relationship, Pharrell told The Hollywood Reporter: 'I always wish him the absolute best.' As for whether they are on speaking terms, the 13-time Grammy winner admitted they weren't. 'No. But I love him, and I always wish him the absolute best, and Im very grateful for our time together,' Williams explained. Pharrell Williams is no longer speaking to his Neptunes bandmate Chad Hugo, seen here in 2022 Pharrell also confirmed to the outlet that Chad had voiced his own character for his new LEGO-animated documentary Piece by Piece. The rift began when Chad's legal team claimed Pharrell had 'knowingly and intentionally' filed trademark applications for his band N.E.R.D., his Miami hotel Goodtime Hotel and more without consulting Hugo, despite being 'fully aware' that his partner should have been listed as a co-owner. His lawyer wrote: 'Throughout their over 30 year history, [Hugo] and Williams agreed to, and in fact, have divided all assets. 'By ignoring and excluding [Hugo] from the any and all applications filed by applicant for the mark The Neptunes, applicant has committed fraud in securing the trademarks and acted in bad faith.' Elsewhere in the interview, Williams revealed he has rejected approaches to sell the rights to his back catalogue, insisting there is 'no number' that would persuade him to do so. He said: 'Selling is not for me. Theres no number to sell your rights. Not for me. But to each his own. For some people, I guess, it makes sense. When theyre done, theyre done. But I love music and I love my work, and I love my ability to make music, so selling is not a thing.' Hugo's lawyer Kenneth D. Freundlich told Billboard, 'Throughout their over thirty year history, [Hugo] and Williams agreed to, and in fact, have divided all assets.' Freundlich said that 'by ignoring and excluding [Hugo] from the any and all applications filed by applicant for the mark "The Neptunes," applicant has committed fraud in securing the trademarks and acted in bad faith.' The rift began when Chad's legal team claimed Pharrell had 'knowingly and intentionally' filed trademark applications for his band N.E.R.D., his Miami hotel Goodtime Hotel and more without consulting Hugo, despite being 'fully aware' that his partner should have been listed as a co-owner. Pictured here at the 2024 Toronto International Film Festival Pharrell's rep told Billboard in a statement that Williams was 'surprised by this' lawsuit, as he and his team 'have reached out on multiple occasions to share in the ownership and administration of the trademark and will continue to make that offer. 'The goal here was to make sure a third party doesn't get a hold of the trademark and to guarantee Chad and Pharrell share in ownership and administration.' The paperwork Pharrell filed suggested otherwise, Freundlich told the outlet in a response statement. 'If Pharrell's intent was to include Chad in the filing, he should have registered it in the name of their jointly owned company Neptunes, LLC and not in his own name,' Freundlich said. 'This was a land grab in a long simmering dispute that has yet to be resolved.' According to Hugo's legal team, on three occasions in 2022, Pharrell's company PW IP Holdings LLC attempted to trademark the name The Neptunes for purposes of streaming; music videos and ancillary content; and live shows, Billboard reported. Pharrell uses the company PW IP Holdings LLC for other business endeavors including his musical group N.E.R.D.; his hotel, The Goodtime Hotel: South Beach Miami Hotel; and other brands he's endorsed. Hugo's legal team told the court Pharrell 'knowingly and intentionally' filed the trio of applications without contacting Hugo, despite being 'fully aware' Hugo should have been named a partner on the papers. 'Nothing, either written or oral, provided Williams or [PW IP Holdings] with the unilateral authority to register the trademarks,' Hugo's attorneys told the court, noting that Pharrell and his team have 'repeatedly' been flagged about the issue. Hugo's legal team said in docs filed at a federal tribunal that Pharrell sought to register trademarks for The Neptunes name without Hugo's involvement, in breach of a past professional pact they had made to divide everything down the middle, seen here in 2023 Pharrell's rep told Billboard in a statement that Williams was 'surprised by this' lawsuit, as he and his team 'have reached out on multiple occasions to share in the ownership and administration of the trademark and will continue to make that offer. N.E.R.D. seen here at the 2018 Kid's Choice Awards Pharrell has 'admitted' Hugo 'is equal co-owner of the trademarks' and vowed to involve him, Hugo's lawyer said. Hugo's legal team said that Pharrell's business associated have presented Hugo with 'onerous business terms' when it comes to control and finances. Prior to his breakout as a solo artist, Pharrell and Hugo - who formed a friendship as children - formed a producing tandem that was responsible for a number of pop hits more than two decades back They included Nelly's 2002 hit Hot in Herre, Justin Timberlake's 2002 song Rock Your Body, Gwen Stefani's 2004 song Hollaback Girl, and Snoop Dogg's 2004 track Drop It Like It's Hot, among others. In June of 2002, the pair were indicted into the Songwriters Hall of Fame, which Pharrell dubbed 'a huge honor,' according to Revolt TV. 'The immense sense of gratitude that I feel is probably taking over everything in terms of like the being in it-ness,' Pharrell said in a red carpet interview. 'I'm failing at that because I always have delayed reactions, so two to three days from now, I'll be like whoa I was really in the room with like the Eurythmics and Ronald Isley. 'It'll probably hit me by then but right now it's kinda like, "Oh wow."' Dailymail.com has reached out to Pharrell's reps for comment on this story. Kelly Brook and her husband Jeremy Parisi accidentally checked into a sex hotel during their stint on Celebrity Race Across The World, Kelly revealed on Saturday. Celebrity Race Across The World sees celebrities and their partners, friends, or family attempt to get to different locations across the world as quickly has possible - and with limited funds. Series two sees ex-glamour model Kelly, 44, and French model Jeremy, 39, and their six fellow competitors travel from Belem, Brazil to Frutillar, Chile. While desperately trying to beat the other three pairs - Jeff Brazier and his youngest son, Scott Mills and his husband, and Kola Bokinni and his cousin - Kelly and Jeremy ended up in a sex motel. Of the error, Kelly told The Mirror: 'Having a shower was much more important than us having sex.' Kelly Brook, 44, and her husband Jeremy Parisi, 39, accidentally checked into a sex hotel during their stint on Celebrity Race Across The World, Kelly revealed on Saturday Celebrity Race Across The World sees celebrities and their partners, friends, or family attempt to get to different locations across the world as quickly has possible - and with limited funds Series two sees ex-glamour model Kelly and French model Jeremy and their six fellow competitors travel from Belem, Brazil to Frutillar, Chile She continued: 'We didnt realise that a motel in Brazil is a sex hotel. I thought it would be like a Premier Inn but then we realised we were in a hotel where people take their mistresses. 'You dont see anyone they even pass your breakfast through a hatch.' In the most recent episode, which aired on Thursday, Kelly and Jeremy shared a smooch in front of the Iguazu Waterfalls in Brazil. After some hard weeks of watching herself back travelling across the world with little money and no internet, Kelly shared the sweet snap to Instagram. Her photo showed a wet-looking Kelly and Jeremy putting on a passionate display while standing in front of a breathtaking view of Brazil's most famous waterfalls. Iguazu are waterfalls of the Iguazu River on the border of the Argentine province of Misiones and the Brazilian state of Parana. Sharing the post with her 1.3million followers, she penned: 'The Morale Boosting Moment we both needed on this Leg !! ' Kelly left viewers stunned in the very first episode of the BBC series on August 14 when she revealed her real name. After some hard weeks of watching herself back travelling across the world with little money and no internet, Kelly shared the sweet snap to Instagram The surprise came as she and Jeremy planned their next move after reaching the first checkpoint in Brazil. The Heart FM presenter and actress was born as Kelly Anne Parsons, but adopted the surname Brook when she kicked off her modelling career. Kelly said: 'Kelly Brook doesn't actually exist, it's not even a real name, it's never even been on my passport or anything. In my mind I'm Mrs Parisi, Jeremy's wife.' The sixth and final episode of Celebrity Race Across The World series two airs Wednesday at 9pm on BBC One and iPlayer. A furious Florida resident has revealed how he has twice been 'spied on' by home insurers and had his premium hiked or his coverage cut. Despite living near hurricane-prone Daytona Beach, Mike Arman had not made a home insurance claim in 52 years. So he was shocked when, two years ago, his company said it did not want to renew his policy, and then later dropped his cover, claiming there were problems with his roof. Arman, the economic development director for the city of Oak Hill, found replacement coverage, but this then soared in price, he said. In both cases the insurers had taken aerial photographs of his roof, and used these to change the coverage. In comes amid increasing claims of insurance companies 'spying' on customers with drones, resulting in homeowners losing coverage without knowing their properties were being watched from above. Insurance companies flying drones is a growing tactic in the industry According to Arman's broker, the first insurer had taken a satellite image of his roof and decided it 'looked deteriorated,' Realtor.com reported. 'The photo looked like it was taken in 1936,' Arman told the outlet, despite the roof only being six years into a typical 20 to 30-year shelf life. When he asked for someone from the insurance company to come and look at the roof in person, he was told that a house call was not an option. This was despite the head office being located just three miles away, Arman told DailyMail.com. Three months later, Arman's policy was dropped - even though he had given proof of the roof's age. 'At one point, I presented Google Earth photos which actually showed the roofers replacing the roof,' Arman told DailyMail.com. 'Their response was that their satellites were better than Google's.' He found a replacement policy with Citizens, a state-run insurer of last resort, and hired a home inspector who said his roof was in good shape. But when his policy came up for renewal, Arman learnt that Citizens had also taken photographs of the roof. 'Citizens didn't use a satellite - they used a drone,' he said. 'And the pictures were taken from way up and not even close to the roof.' Arman, who is a pilot, said he estimated the photos were taken from at least 400 feet above. He said that the company demanded a $250 inspection, and did eventually renew his policy, but with a 25 percent price increase. Mike Arman revealed how he has twice been 'spied on' by home insurers and had his premium hiked or his coverage cut Despite living near hurricane-prone Daytona Beach, Mike Arman had not made a home insurance claim in 52 years 'Policy holders in Florida have no protection from any predatory, arbitrary or capricious decisions of insurance companies,' Arman told DailyMail.com. 'Part of the problem is that the insurance companies really don't know much about houses or construction. They rate homes primarily on location, not on how well it was built or what it was built out of.' They also do not appear to look at historical losses, he claimed, other than denying people if they have ever had a loss of any kind. And Arman is by no means alone. Satellite footage and drones are increasingly being used by insurers to keep tabs on homes. A California woman last month claimed her home insurance company spied on her with drones while she was doing renovations then used the footage of 'clutter' to sever her coverage. Joan Van Kuren said she was stunned when CSAA, her insurer for almost 40 years, made the drastic move to drop her as a client due to 'hazardous' construction clutter they spotted in her yard. The Modesto, California resident had been renovating her home for over three years, spending hundreds of thousands of dollars to remodel her kitchen, bathrooms, and driveway, she told CBS News. But she said that once almost all of the work was completed, CSAA sent her a letter citing 'hazards' and unsanitary conditions on her property that were a liability. '(The company) said they flew a drone over the home,' she claimed. 'It almost feels like someone's looking in your windows, you know, when they tell you that they flew a drone over your home and looked at it.' California homeowner Joan Van Kuren said she was stunned when her home insurance was axed after the insurer took aerial images of her home without her knowledge Van Kuren said she was shocked by the insurance company flying overhead, saying it 'almost feels like someone's looking in your windows' CBS News said that after contacting CSAA, the company claimed it does not fly drones specifically, but does use aerial imagery captured by satellites and third-party, fixed-wing aircrafts. Cindy Picos, from Auburn, California, also said she was dropped by CSAA Insurance, after it obtained aerial photos of her roof. Nichole Brink, a former Farmers Insurance agent who quit the company in protest over its surveillance policies, told The Wall Street Journal in April that the insurer was dropping customers over aerial images that were two or three years old. She claimed the agency was sending nonrenewal notices for everything from trampolines to moss on the side of a home. 'It's like they're using anything as an excuse to get people off their books,' Brink told the outlet. Some argue that the insurance industry needs better regulation in order to control the level of surveillance companies are able to carry out. 'There's a need for updated insurance regulations,' Albert Fox Cahn, founder of privacy think tank the Surveillance Technology Oversight Project, told Realtor.com. 'State law hasn't caught up with the technology.' Experts said homeowners have certain rights if their homes are surveyed by drones, including requesting the images taken to scan for errors or misunderstandings Cindy Picos, a resident of Auburn, California, said she was dropped by her home insurer, CSAA Insurance, after they obtained aerial photos of her roof Nichole Brink, a former Farmers Insurance agent, quit the company in protest over its surveillance policies Cahn advises Americans fight back if they find themselves facing nonrenewal from their insurer over aerial surveillance footage. Ask to see the aerial photos, demand to know exactly what the issue is, and request a chance to remedy it, he said. Mark Friedlander, from the Insurance Information Institute, an insurance-funded research group, disagrees that aerial surveillance constitutes 'spying.' He told Realtor.com: 'It's a much less intrusive way to inspect your home than sending an individual to your property.' Aerial photography is more accurate and safer than human inspection, he said. He insisted that most insurers will let customers know what day surveillance is scheduled, and give them a chance to remedy issues and appeal nonrenewals. 'Talk to your insurance agent,' he added. 'Tell them, "I understand that my insurance company might be doing an aerial inspection. What can I do to avoid problems, and what are they looking for?" 'Be proactive. Don't wait until you get a letter saying that your policy won't be renewed.' Shark Tank star Kevin O'Leary has slammed office workers for spending money on takeout coffee and lunches. 'Stop buying coffee for $5.50. You got to work and spend $15 on a sandwich what are you, an idiot?,' O'Leary said in a video on his Instagram page. The businessman, who is estimated to have a net worth of around $400 million, urged workers to kick the habit and save thousands of dollars. 'It costs 99 cents to make a sandwich at home and bring it with you,' he said. 'You start to add that up every day, it's a ton of money. 'Most people, particularly working in metropolitan cities, are just starting on their job, making their first $60,000, [and they] piss away about $15,000 a year on stupid stuff, and that's what they should stop doing.' Shark Tank star Kevin O'Leary has slammed office workers for spending money on takeout coffee and lunches O'Leary's comments echo statements made by personal finance expert Suze Orman about how much Americans can save by ditching their overpriced daily coffee. In particular, she stressed how young people can start putting money toward retirement instead - and begin building up a healthy pot of savings. The financial advisor and former CNBC host said Gen Z Americans could amass a staggering $1 million in savings for later life simply by foregoing their daily $6 Starbucks. Speaking to MSNBC host Mika Brzezinski, Orman emphasized the power of small investments over daily discretionary spending. If a 25-year-old puts a small amount away each month in a retirement account, compound interest will cause this to snowball over the course of 40 years, she explained. 'All of you are paying $1 million down the drain. I've never bought a Starbucks in my life,' she said. In the interview in March, Orman recounted what she had said to Oprah on her show when she had asked what people were wasting their money on. She told Brzezinski: 'I said, Oprah, do you know if you were 25 years of age and you bought a Starbucks every single day and rather than doing that, you put $100 a month into a Roth IRA, a retirement account, and you did that every single day until you were 65. 'You averaged 12 percent on your money over all those years. Do you know how at the age of 65, you'd have $1 million? So all of you are paying $1 million down the drain?' Foregoing a $6 coffee and putting it in a retirement account with a 10 percent rate of return could grow to over $1 million over the course of 40 years Personal finance expert Suze Orman revealed how ditching your overpriced coffee habit could save you a fortune in retirement DailyMail.com analysis shows that foregoing a $6 coffee would save $180 a month. By putting those savings into a retirement account with a 10 percent average rate of return instead, the funds would grow to $14,070.91 over five years and to $130,497.61 over 20 years. With the help of compound interest, this pot would balloon to a huge $1,007,209.33 over the course of 40 years. Investment advisor Patrick Donnelly previously told DailyMail.com how resisting the temptation to buy a cold brew or latte, and making it at home instead, could mean you have more to fall back on in later years. Donnelly, of Donnelly financial services, said: 'That is real money that can drastically change your timeline toward retirement, and it can drastically change your retirement stability.' He pointed out, however, that it can be difficult for people to give up all their discretionary spending. The key, he said, is to consider your 'guilty-pleasure' spending habits and pick one thing that you could live without which is detrimental to your long-term savings. Actor Ananya Panday is set to perform at the International Indian Film Academy (IIFA) Awards 2024 in Abu Dhabhi. The three-day award gala returns to Yas Island, Abu Dhabi, for the third consecutive year and will be held from September 27 to 29. Superstar Shah Rukh will host the award ceremony with filmmaker Karan Johar and Vicky Kaushal. There will also be performances by veteran actor Rekha and stars such as Shahid Kapoor, Janhvi Kapoor, and Kriti Sanon. "I am absolutely thrilled to be performing at the IIFA Awards this year! The energy, the celebration, and the love for Indian cinema that IIFA brings is unmatched. Having had the honour to perform before, I know how magical the iconic IIFA stage feels, and I'm excited to bring something exciting and special for the global audience," Panday said in a statment. "It's an incredible platform that unites our Indian cinema lovers from all over the world, and I can't wait to be part of this unforgettable night once again at Yas Island, Abu DhabI," the 25-year-old actor added. Panday was most recently seen in the Prime Video series "Call Me Bae", directed by Collin D'Cunha. Her next film is cyber-thriller movie "CTRL", which marks her maiden collaboration with filmmaker Vikramaditya Motwane. FILE - Beyonce, left, accepts the Innovator Award during the iHeartRadio Music Awards, April 1, 2024, at the Dolby Theatre in Los Angeles. Chris Pizzello/Chris Pizzello/Invision/AP Central Market, a Texas-based grocery store owned by H-E-B, just broke the record for the world's largest charcuterie board. Courtesy of Central Market Attendees mill around the entrance after the light came back on during the marquee re-lighting of the River Oaks Theater Monday, Sept. 9, 2024 in Houston. The marquee has been dark since 2021. Michael Wyke/Contributor Thumbs down: The BeyHive is outraged after Beyonce was shut out of the Country Music Awards. Beyonce doesnt seem to care much, having declared early on: This aint a Country album. This is a Beyonce album. She is, however, joining a long list of Texas greats rejected by Nashville at some point or another. Why else would Willie and Waylon become outlaws and hide out in the underground country movement. Even George Strait lamented in Murder on Music Row what Nashvilles corporate country music machine had done to the genre. Robert Earl Keen has recounted having people literally close doors in his face. He found Nashville confining and his creativity flowed when he left. But rejection can be a great inspiration in itself: The only two things that mean anything to me are loving you, and leaving Tennessee, Keen later sang. Theres always a place for real country music based on real life and not a profit-minded corporate formula. Its called Texas. Thumbs down: Kudos to Texas lawmakers for taking threats from hostile foreign organizations seriously. Too bad they couldnt keep their own Zoom hearing on the matter from getting hacked. KXAN reported that during a Tuesday hearing of a committee tasked with countering cyberthreats from adversaries such as Russia, a hacker displayed what seemed to be Russian military training videos and posted offensive slurs under the name RUSSIAN GOD. The commenter wrote in Russian, F- - - you, to all those connected, in the meeting chat. State Rep. Cole Hefner, the committees chair, spun the episode as a learning opportunity: This incident underscores the very real cybersecurity threats posed on our state by hostile forces threats that led to the committees formation in the first place. Yup, also makes clear our embarrassing vulnerability to those threats. Thumbs up: The beloved, historic River Oaks Theatre once threatened with demolition, and closed for more than three years announced that itll start screening movies again on Oct. 3. Yes, The Rocky Horror Picture Show will return, but no, the theater isnt doing the time warp now. New features include food delivered to your seat, plus live performances by comedians. Advertisement Article continues below this ad Thumbs down: After Taylor Swift endorsed Kamala Harris, signing herself Childless cat lady, quasi-Texan and mega-troll Elon Musk couldnt contain himself. He posted on X, Fine Taylor you win I will give you a child and guard your cats with my life. Ew. Theres no blank space on her calendar, Elon. Shes not gonna write your name. Thumbs twiddled: Early this week well before Theyre eating the pets! went viral during the presidential debate Reddit posters worried about the killer behind cat corpses found cut cleanly in half in the East End. The good news: The Houston SPCA investigation found that no, the killer probably isnt a satanic cult. The bad news? The cats were probably killed by coyotes, whose numbers are growing in urban areas. Perhaps Musk is available to guard the East Ends cats? Thumbs down: Out in El Paso, its the states guardians of nature whore getting the blame for the death of Bob the goat, a farm animal gone feral in the Franklin Mountains and beloved since drivers first spotted him in 2015. While Texas Parks and Wildlife Department tried to relocate him to make way for the reintroduction of native desert bighorn sheep, Bob apparently died from stress. Now hes a martyr for anti-government folks. As one Facebook commenter put it, Bob the goat was just minding his own business, living off the grid and then the government stepped in. Thumbs twiddled: Central Market in Austin set a Guinness World Record on Wednesday for the largest charcuterie board in the world, made for the grocery stores 30th anniversary celebration. Piled with 1,000 pounds of meat and cheese, the 12-by-24-foot platter looks so unappealing it may have also set the record for converting the most people to vegetarianism. Whyd one of the best grocery stores in Texas want to transport us back in time to a 1990s cocktail party on steroids? We can practically smell the mix of cigarette smoke and salami from here. Advertisement Article continues below this ad Thumbs twiddled: No respect, as we wrote last week, for the University of Houston. How else to explain that were only finding out now that Tim Walz was a Cougar long before he was the governor of Minnesota and Democratic vice presidential candidate. Turns out he was enrolled from the fall of 1985 to the spring of 86. Great that UH had a role in educating the cuddly Midwesterner, but he oughta put that front and center in his bio. UH deserves to have a national rep for opening its doors to people of humble beginnings and pumping out political powerhouses for both major parties including former House Majority Leader Tom DeLay and Massachusetts Sen. Elizabeth Warren. No respect, we tell you, no respect. South star Samantha Ruth Prabhu will be honoured with the Woman Of The Year' award at the upcoming edition of the International Indian Film Academy (IIFA) Utsavam awards, the organizers have announced. The IIFA Utsavam Awards, to be held on September 27 at Yas Island, Abu Dhabi, will kick off the celebration of South Indian cinema by introducing the prestigious Woman of the Year' in Indian Cinema award, honouring Ruth Prabhu for her achievements. A powerhouse in the Tamil and Telugu industries, the actor is known for her work in films like Ye Maaya Chesave, Eega, Neethaane En Ponvasantham, Mahanati, and Super Deluxe. IIFA Utsavam has always been special and I'm so glad to join its global tour that spotlights the rich tapestry of creativity and storytelling from South Indian Cinema to a worldwide audience. "It's also a gentle reminder for me as an artist and a woman to continue pushing my boundaries and embrace the endless possibilities that this incredible journey offers, Ruth Prabhu said in a statement. Andre Timmins, Founder and Director, IIFA Utsavam, they are proud to celebrate Ruth Prabhu's contribution to Indian cinema. As one of the most sought-after actresses of the generation, her remarkable journey in Indian cinema has been an extraordinary testament to her versatility, dedication, and indelible ability to deeply connect with audiences through ca In a scathing attack on Congress, Prime Minister Narendra Modi on Saturday said now it is not the same old party and has become new form of urban Naxal. Modi also dubbed the Congress as the biggest dishonest and deceitful party in the country. Slamming the grand old party, Modi said Its politics today has reduced to spreading falsehoods and anarchy in the country. Terming the party anti-Dalit and anti-farmer, Prime Minister Modi stated, Congress family is the biggest anti-OBC, anti-ST and anti-SC; they always hated Babasaheb Ambedkar. Who knows Congresss anti-Dalit stance better than Haryana? Every time Congress forms a Government in Haryana, Dalits face hardships. He said the Congress feels no shame in defaming the country for defaming the BJP. So you have to be wary of the Congress and their associates. Kicking off his partys campaign in Haryana by addressing a poll rally in Kurukshetra, he questioned the track record of Congress and said it talks big when it comes to farmers. If the Congress has the guts, why dont they implement their farmer schemes in Karnataka and Telangana, Modi said. Modi said the Congress has come to power in Himachal Pradesh, Karnataka and Telangana by making false promises, and has now destroyed the States which used to be prosperous. People now repent voting for the Congress in these States, he said. The Congress made hue and cry over Minimum Support Price (MSP), I ask them how many crops they buy at MSP in Congress ruled States- Karnataka and Telangana? Those who trusted Congress are now regretting it. Their only agenda is to empty public coffers to win elections. Just witness the condition of Punjab. The people of Haryana must keep such parties far from power, Modi said. Did any farmer receive money in their accounts during Congress rule? Prime Minister asked. Polling for the 90 Assembly seats in Haryana will be held on October 5 and the votes will be counted on October 8. Addressing the rally, Modi said, Two years ago, the Congress Government was formed in Himachal Pradesh. But what is the situation there today? No citizen of Himachal is happy today. Congress fed lies to every section there but none of the promises made were fulfilled. Under the Kissan Samman Nidhi, more than Rs 3.25 lakh crore has been deposited in farmers accounts, he said while highlighting his Government achievements. See what is happening in Karnataka. During a short period of Congress rule in that State, about 1,200 farmers have committed suicide there, the Prime Minister said. The Prime Minister added, When the nation exposed their lies, they stopped talking about the Old Pension Scheme altogether. In contrast, BJP has introduced the New Pension Scheme, guaranteeing a secure future for employees. Government employees have widely appreciated this scheme. He also shared an emotional moment with the crowd, Just a few days ago, we completed the third revision of the One Rank One Pension (OROP) scheme, and starting this October, my ex-servicemen brothers will begin receiving its benefits. For Congress, appeasement is their only agenda. In Karnataka, under Congress rule, even Lord Ganpati is being disrespected. Modi also addressed the issue of reservations, stating, Remember, when Nehru was the Prime Minister, he opposed reservations, claiming that hiring through reservations would lower the quality of Government services. Indira Gandhi also blocked OBC reservations, and it wasnt until the Janata Party Government that the Mandal Commission was formed. But when Congress returned, they buried the report. Rajiv Gandhi too opposed OBC reservations and insulted the community. Even today, Congress continues its royal familys agenda against reservations. But let me make one thing clear, as long as Modi is here, not a single penny of Dr Ambedkars reservation policy will be touched. SC/ST/OBC reservations are here to stay forever. Shifting focus to the efforts of the Government, the Prime Minister highlighted the support given to farmers, stating, Our Government has taken many steps to reduce the burden on farmers. Take urea, for example. While a bag of urea costs Rs 3,000 internationally, we provide it to our farmers for less than Rs 300. Expressing confidence in his partys future, Modi added, In Haryana, BJP is working with full dedication, transforming the State into a top performer in investment and revenue. Remember when Congress limited development funds to just one district? Haryana saw how those funds vanished into the wrong hands. But the BJP has connected the entire State to the stream of progress. Where half the homes once lacked water connections, today, Haryana is on track to achieve 100 per cent tap water connectivity. He said the new NDA government at the Centre is yet to complete 100 days but it has already started work worth nearly Rs 15 lakh-crore. The BJP Government has approved three crore pucca houses for poor, he said. Attacking the Hooda family and previous Congress Governments in Haryana, Modi said, We have seen that period of the Congress Government when money for development used to be limited to one district only. Not only that, in whose pockets that money used to go? Everyone in Haryana knows that, He praised Haryana Chief Minister Nayab Singh Saini for his humility and said he has dedicated himself for the States progress. Modi said people gave him a third term at the Centre in the Lok Sabha elections, and going by their enthusiasm, the BJP is set to score a hat-trick in Haryana too. I have come once again to ask for your support to form a BJP Government on this sacred land. You have entrusted me with the opportunity to serve in Delhi for the third consecutive time, and the enthusiasm I see here today makes it clear, BJPs hat-trick is inevitable, he urged. The BJP is contesting the Haryana Assembly polls alone after parting ways with Dushyant Chautala-led Jannayak Janata Party (JJP) last year. Meanwhile, the Congress and Aam Aadmi Party (AAP) are also contesting the polls solo as the two members of the INDIA bloc could not finalise a seat-sharing deal. Ahead of the maiden visit of Prime Minister Narendra Modi to address an election rally in the land-locked Doda district on Saturday, two soldiers of the Indian army sacrificed their lives while two others received injuries during a counter-terrorism operation in the Chatroo area of Kishtwar on Friday. In another overnight operation launched in the kreeri area of North Kashmir's Baramulla district, three terrorists were gunned down by the joint team of security forces on Saturday. The security forces were able to neutralise a group of hiding terrorists after identifying their exact locations inside the building with the help of drone cameras. With this, the number of terrorists eliminated in the last three anti terrorist operations have gone up to seven. Chinar Corps of the Indian army in a post on X confirmed, Three terrorists have been neutralised by the security forces in the ongoing Operation. Weapons and war-like stores have been recovered. The Chinarcorps has dealt some crippling blows to the terror network in North Kashmir over the past few days with significant recoveries and elimination of terrorists, inspiring confidence and assurance for upcoming events scheduled in the region. Before the day ended, another army personnel was injured in an encounter with terrorists attempting to infiltrate across the Line of Control (LoC) in Rajouri district. According to a spokesman of the Nagrota-based White Knight Corps of the Indian army, Based on the intelligence inputs, a joint operation with J and K Police was launched in Chatroo at Kishtwar. A contact was established and a scout leading the patrol exchanged heavy volume of fire with the terrorists at around 3.30 pm on Friday. In the ensuing firefight, four army personnel were injured. Later two soldiers who have been identified as Naib Subedar Vipan Kumar and Sepoy Arvind Singh succumbed to their fatal injuries during treatment at the military hospital. It is believed these Pakistani terrorists belong to the Jaish-e-Mohammad (JeM) terrorist outfit and were responsible for the terror attack on the Indian army in the Desa forest area of Kishtwar on 16 July. An Indian army captain along with three other soldiers was 'killed in action' during a fierce firefight in the area. Meanwhile, the mortal remains of the soldiers were dispatched to their native places after a solemn wreath laying ceremony. GOC (General Officer Commanding) White Knight Corps and all ranks salute the supreme sacrifice of the bravehearts; offer deepest condolences to the families, the Army said. Naib Subedar Vipan Kumar, hailed from village Patrara in Sunderbani area of Rajouri district and Sepoy Arvind Singh was a resident of Hamirpur, Himachal Pradesh. Episodes of diarrhoea at Kewal Tola, Ramgarh under Chhaterpur subdivision are now controlled, said the deputy superintendent of the Chhaterpur sub divisional hospital Dr Rajesh Agrawal. This hamlet saw the death of two children belonging to the family of Dilip Prajapati, Dr Agrawal said. Dilip's daughter Archana who died of diarrhoea was not brought to the sub divisional hospital, added Dr Agrawal. "She was taken down to some private nursing home from where was referred to Daltonganj and there she died. Dilip's son Ravi was brought to our sub divisional hospital in an extreme and severe watery diarrhoeal condition. He was in shock. We gave him treatment and rushed him to the higher centre in Daltonganj where he died" he added. Medical team was rushed to the Kewal Tola which treated a couple of more people there afflicted with fever, vomiting and loose motion. The afflicted ones have recovered. Dr Agrawal said "Residents of Kewal Tola have been advised to maintain hygiene and cleanliness. They have been asked to use safe drinking water." Contamination to water is suspected behind this diarrhoeal death. Dr Agrawal said the villagers told our medical team that they use tube well water. It's not known if the tube well underground pipe is deep or not. Cow dung and dirt were found littering the areas around the houses, Dr Agrawal added. A senior community member of the Prajapati Avinash Dev has urged the civil surgeon Dr Anil Kumar to provide adequate medical assistance to families afflicted with diarrhoea. Avinash Dev said the death of two children in one family is very tragic and that there will be no repetition of it anymore with other families. Civil surgeon Dr Anil Kumar said "I have directed the DS sub divisional hospital Chhaterpur Dr Rajesh Agrawal to find out if the two children who died had their Rotavirus vaccines in their due ages." Civil surgeon said Rotavirus vaccine administration helps save children from the severity of diarrhoea. Bharatiya Janata Party (BJP) has completed its preparations for the grand welcome of Prime Minister Narendra Modi, who is set to arrive in Jamshedpur for a road show and public meeting at Gopal Maidan. BJP State President and former Chief Minister Babulal Marandi held a press conference on Saturday to brief the media about the arrangements. He also took the opportunity to criticize the Hemant Soren-led government, accusing it of corruption and mismanagement. Marandi confirmed that PM Modi will arrive at Sonari Airport in the morning and head directly to Tatanagar Station, where he will participate in two key government programs, including an event organized by the Rural Development Department and the Railways. During his visit, the Prime Minister is expected to announce several developmental projects and initiatives for Jharkhand, with a particular focus on Jamshedpur. Following the programs at Tatanagar Station, the Prime Minister will lead a road show from the Voltas House roundabout in Bistupur to Gopal Maidan. Thousands of BJP supporters, social organizations, and local citizens are expected to line the streets, welcoming PM Modi with grand celebrations. The entire route has been decorated to showcase Jharkhand's rich cultural heritage, with folk artists from various communities set to perform along the way. Babulal Marandi urged the people of Jamshedpur to attend the public meeting in large numbers. "We are expecting a historic turnout, with lakhs of people coming from different parts of Jamshedpur to witness this momentous occasion," Marandi said. He emphasized that the road show would be a grand display of Jharkhands diverse culture, calling it a miniature India that reflects the unity of different castes, religions, and communities. During the press conference, Marandi also took aim at the states Chief Minister, Hemant Soren. He accused Soren of failing to fulfill his election promises, such as providing financial aid to women, employment to youth, and pension for the elderly. Marandi alleged that most of these promises have either been abandoned or were poorly implemented. Five years have passed, and the Hemant Soren government cannot point to five concrete accomplishments. Their populist schemes are riddled with corruption, benefitting brokers and middlemen rather than the common people, Marandi stated. He criticized the government for mismanagement of public funds and accused them of failing to address the needs of Jharkhands tribals and marginalized communities. Marandi also expressed concerns about the changing demography in Santhal Pargana, alleging that illegal immigrants from Bangladesh are taking over tribal lands and resources with the governments tacit approval. He promised that if the BJP comes to power, they would implement a strict policy, similar to the National Register of Citizens (NRC) in Assam, to remove illegal immigrants from Jharkhand. He further accused the Hemant government of prioritizing personal gain over the welfare of the state, claiming that Sorens administration has been more focused on retaining power than addressing the real issues facing Jharkhands citizens. Marandi also pointed to the recent deaths of youths during the states constable recruitment process, attributing the loss of lives to the government's lack of preparation and insensitivity. He condemned the hurried recruitment process, stating that insufficient planning led to the death of about two dozen candidates. The police force is being misused by this government for political gain, rather than serving the people. Law and order have deteriorated, and our sisters safety is at risk under this administration, Marandi said, calling for a change in leadership to restore safety and order in the state. Apollo Multispeciality Hospitals, Kolkata, held a press conference at Hans Regency, Bokaro, to highlight its groundbreaking achievements in treating complex gynecological cancer cases. Renowned for its advanced oncology department, the hospital has built a reputation for providing high-success treatments at economical costs, with minimal pain, offering new hope to cancer patients, especially from the Jharkhand region. The event showcased Apollo's pioneering use of robotic surgery, a cutting-edge technique that allows for highly precise, minimally invasive procedures, leading to faster recovery times. Dr. Monika Meena, an expert in Robotic Gynaecology and Gynae Onco Surgery, led the discussion, stressing the importance of early diagnosis and timely intervention in managing gynecological diseases and cancers. Dr. Meena highlighted the need for awareness around early symptoms like painful urination, abnormal vaginal bleeding, or unusual discharge, which could be signs of serious conditions such as cervical or endometrial cancer. "Early diagnosis and intervention are key for women facing gynecological cancers," she emphasized. "Robotic surgery not only improves surgical accuracy but also reduces recovery time significantly, allowing patients to return to their normal lives much faster." The hospitals commitment to accessible healthcare in Jharkhand was also a central point of the discussion. Apollo's focus on early detection and the integration of advanced robotic surgery have made treatments more effective and affordable, reducing the need for long hospital stays. Many patients can be discharged within two days, enabling a quicker return to daily routines. Apollo Multispeciality Hospitals continues to redefine cancer care, ensuring that women from Jharkhand and neighboring areas have access to world-class medical treatment at an affordable cost, closer to home. Delhi Police has filed an FIR over bursting of firecrackers outside Chief Minister Arvind Kejriwal's residence in north Delhi's Civil Lines to welcome him upon his release from jail, officials said on Saturday. There is a ban on the production, sale and use of firecrackers in the national capital to control air pollution in the coming winter season. The ban was announced by the Kejriwal government on Monday. A case under section 223 (disobedience to orders promulgated by public servant) of the Bharatiya Nyaya Sanhita has been registered against unknown persons at the Civil Lines Police station over fireworks outside the chief minister's official residence, an official said. Firecrackers were burst outside the CM's residence after his release from the Tihar Jail on Friday evening. The AAP (Aam Aadmi Party) workers had gathered to welcome their leader. The FIR is registered on the complaint of a sub-inspector who was deployed at the 6 Flag Staff Road on Friday evening. "I was deployed at 6 Flag Staff Road for the law and order arrangements at 6.45 pm. A lot of people were gathered and I heard the sound of fire crackers creating pollution," he has stated in the FIR. The officer said when he went to see, the people who were bursting the crackers ran away. The Supreme Court on Friday granted bail to Kejriwal in a corruption case filed the Central Bureau of Investigation's (CBI) in connection with the Delhi excise policy case. He was lodged in the Tihar Jail for more than five months. A number of research firms have changed their ratings and price targets for Bank of Nova Scotia (TSE: BNS): 9/6/2024 Bank of Nova Scotia had its price target raised by analysts at CIBC from C$68.00 to C$78.00. 8/28/2024 Bank of Nova Scotia had its price target raised by analysts at Royal Bank of Canada from C$62.00 to C$65.00. They now have a sector perform rating on the stock. 8/28/2024 Bank of Nova Scotia had its price target lowered by analysts at BMO Capital Markets from C$74.00 to C$72.00. They now have a market perform rating on the stock. 8/28/2024 Bank of Nova Scotia had its price target raised by analysts at Jefferies Financial Group Inc. from C$65.00 to C$69.00. They now have a hold rating on the stock. 8/28/2024 Bank of Nova Scotia had its price target raised by analysts at TD Securities from C$69.00 to C$71.00. They now have a hold rating on the stock. 8/20/2024 Bank of Nova Scotia had its price target lowered by analysts at Cormark from C$68.00 to C$65.00. They now have a market perform rating on the stock. 8/12/2024 Bank of Nova Scotia had its price target raised by analysts at Jefferies Financial Group Inc. from C$64.00 to C$65.00. They now have a hold rating on the stock. 8/8/2024 Bank of Nova Scotia had its price target raised by analysts at TD Securities from C$68.00 to C$69.00. Bank of Nova Scotia Trading Up 0.7 % Shares of TSE:BNS traded up C$0.48 on Friday, hitting C$70.72. 4,266,204 shares of the company were exchanged, compared to its average volume of 4,021,807. The firm has a market capitalization of C$87.69 billion, a P/E ratio of 12.39, a price-to-earnings-growth ratio of 1.33 and a beta of 0.96. The Bank of Nova Scotia has a 1-year low of C$55.20 and a 1-year high of C$70.82. The stocks 50 day moving average price is C$64.76 and its 200 day moving average price is C$65.20. Bank of Nova Scotia Announces Dividend The firm also recently disclosed a quarterly dividend, which will be paid on Tuesday, October 29th. Stockholders of record on Wednesday, October 2nd will be issued a $1.06 dividend. This represents a $4.24 annualized dividend and a dividend yield of 6.00%. The ex-dividend date of this dividend is Wednesday, October 2nd. Bank of Nova Scotias dividend payout ratio (DPR) is currently 74.26%. The Bank of Nova Scotia provides various banking products and services in Canada, the United States, Mexico, Peru, Chile, Colombia, the Caribbean and Central America, and internationally. It operates through Canadian Banking, International Banking, Global Wealth Management, and Global Banking and Markets segments. Recommended Stories Receive News & Ratings for The Bank of Nova Scotia Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for The Bank of Nova Scotia and related companies with MarketBeat.com's FREE daily email newsletter. BlackRock Emerging Europe plc (LON:BEEP Get Free Report) crossed above its 50 day moving average during trading on Wednesday . The stock has a 50 day moving average of GBX 318.50 ($4.17) and traded as high as GBX 318.50 ($4.17). BlackRock Emerging Europe shares last traded at GBX 318.50 ($4.17), with a volume of 1,038 shares changing hands. BlackRock Emerging Europe Trading Down 0.2 % The company has a fifty day moving average price of GBX 318.50 and a 200 day moving average price of GBX 318.50. About BlackRock Emerging Europe (Get Free Report) BlackRock Emerging Europe plc, formerly Eastern European Trust PLC, is a United Kingdom-based investment trust company. The Company conducts its business as an investment trust and its principal activity is portfolio investment. The Companys objective is to achieve long-term capital growth, principally by investing in companies that do business primarily in Eastern Europe, Russia, Central Asia and Turkey. Featured Stories Receive News & Ratings for BlackRock Emerging Europe Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BlackRock Emerging Europe and related companies with MarketBeat.com's FREE daily email newsletter. BlackRock Multi-Sector Income Trust (NYSE:BIT Get Free Report) was the target of a large increase in short interest in the month of August. As of August 31st, there was short interest totalling 39,500 shares, an increase of 69.5% from the August 15th total of 23,300 shares. Based on an average trading volume of 119,200 shares, the short-interest ratio is presently 0.3 days. Wall Street Analysts Forecast Growth Separately, Royal Bank of Canada upgraded BlackRock Multi-Sector Income Trust to a hold rating in a report on Thursday, July 25th. Get BlackRock Multi-Sector Income Trust alerts: Get Our Latest Stock Report on BIT Institutional Trading of BlackRock Multi-Sector Income Trust BlackRock Multi-Sector Income Trust Stock Up 0.6 % Institutional investors and hedge funds have recently bought and sold shares of the stock. Janney Montgomery Scott LLC grew its position in BlackRock Multi-Sector Income Trust by 2.1% in the 1st quarter. Janney Montgomery Scott LLC now owns 54,153 shares of the investment management companys stock valued at $860,000 after acquiring an additional 1,120 shares in the last quarter. NewEdge Advisors LLC grew its position in BlackRock Multi-Sector Income Trust by 4.5% in the 2nd quarter. NewEdge Advisors LLC now owns 72,704 shares of the investment management companys stock valued at $1,060,000 after acquiring an additional 3,140 shares in the last quarter. ORG Partners LLC acquired a new position in BlackRock Multi-Sector Income Trust in the 1st quarter valued at about $51,000. Principal Securities Inc. acquired a new position in BlackRock Multi-Sector Income Trust in the 4th quarter valued at about $93,000. Finally, Cambridge Investment Research Advisors Inc. grew its position in shares of BlackRock Multi-Sector Income Trust by 6.7% during the first quarter. Cambridge Investment Research Advisors Inc. now owns 109,332 shares of the investment management companys stock worth $1,736,000 after buying an additional 6,865 shares in the last quarter. Shares of NYSE BIT traded up $0.10 during trading on Friday, hitting $15.10. 101,983 shares of the company were exchanged, compared to its average volume of 104,349. BlackRock Multi-Sector Income Trust has a 12-month low of $13.65 and a 12-month high of $15.98. The company has a fifty day moving average price of $14.78 and a 200-day moving average price of $15.06. BlackRock Multi-Sector Income Trust Dividend Announcement The business also recently disclosed a monthly dividend, which will be paid on Monday, September 30th. Investors of record on Monday, September 16th will be issued a $0.1237 dividend. The ex-dividend date is Monday, September 16th. This represents a $1.48 annualized dividend and a yield of 9.83%. About BlackRock Multi-Sector Income Trust (Get Free Report) BlackRock Multi-Sector Income Trust is a close ended fixed income mutual fund launched by BlackRock, Inc It is co-managed by BlackRock Advisors, LLC and BlackRock (Singapore) Limited. The fund invests in fixed income markets. It invests primarily in loan and debt instruments and other investments with similar economic characteristic. Further Reading Receive News & Ratings for BlackRock Multi-Sector Income Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BlackRock Multi-Sector Income Trust and related companies with MarketBeat.com's FREE daily email newsletter. China calls for pushing SCO law enforcement, security cooperation to new level Xinhua) 09:24, September 14, 2024 Chinese State Councilor and Minister of Public Security Wang Xiaohong meets with heads of delegations of the 42nd meeting of the Council of the Regional Anti-Terrorist Structure (RATS) of the Shanghai Cooperation Organization (SCO) in Beijing, capital of China, Sept. 13, 2024. (Xinhua/Yin Bogu) BEIJING, Sept. 13 (Xinhua) -- Chinese State Councilor and Minister of Public Security Wang Xiaohong met with heads of delegations of the 42nd meeting of the Council of the Regional Anti-Terrorist Structure (RATS) of the Shanghai Cooperation Organization (SCO) Friday in Beijing. Wang said China is ready to work with all parties to fully implement the important consensus reached by the heads of state of the SCO member states, implement the Global Security Initiative, carry forward the Shanghai Spirit, and deepen practical cooperation in cyber security, institutional building, and in combating the "three evil forces" of terrorism, extremism and separatism. He called for pushing the SCO law enforcement and security cooperation to a new level, better safeguarding the security and development interests of all member states, maintaining regional peace, and building a community with a shared future for humanity with universal security. (Web editor: Zhang Kaiwei, Liang Jun) Buffalo Bayou floods near downtown Houston just after Hurricane Beryl made landfall on July 8 in Houston. The city recorded several inches of rain as the storm whipped through Southeast Texas. Raquel Natalicchio/Staff photographer Warm water in the Atlantic Ocean and Gulf of Mexico can fuel powerful hurricanes, but how destructive a storm becomes isnt just about the climate and weather it also depends on the people and property in harms way. In many coastal cities fast population growth has left more people living in areas at high risk of flooding. Houston is a prime example. I am a geographer who studies the human dimensions of climate change and natural disasters. My research and mapping with colleagues shows that socially vulnerable communities those least able to prepare for disasters or recover afterward tend to be concentrated in areas that are more susceptible to flooding, particularly on the Gulf Coast. Advertisement Article continues below this ad Nearly 40% of the U.S. population lives in a coastal county today. Many of these areas are increasingly exposed to disasters, including hurricanes and high tide flooding that has been worsened by sea level rise. The Gulf of Mexico region, in particular, is prone to climate change-induced concurrent disasters when multiple disasters strike at once. For example, when Hurricane Beryl hit Texas in July 2024, 3 million homes and businesses lost power for several days in the middle of searing summer heat in addition to dealing with heavy rain and flooding. To further complicate matters, more than one-fifth of the population in Harris County, home to Houston, is considered socially vulnerable, meaning people who are likely more susceptible to harm from extreme weather. Dana Jones in her north Houston home after Hurricane Beryl. She said she has to keep her valuables elevated after repeated floods. John Lomax/Staff writer Socially vulnerable populations in the U.S. include many older adults, people with disabilities, people living in poverty, mobile home dwellers and other marginalized groups. Often, they dont have the resources or the physical ability to prepare for a storm, or the means to rebuild afterward. Advertisement Article continues below this ad Several cities along the Gulf Coast including Houston; New Orleans; Mobile, Ala.; and Tampa, Fla. have large socially vulnerable populations that are at high risk from hurricane damage. In many of these cities, patterns of land development and political decisions have elevated the potential for harm. Houston offers a case study in the challenges created by unbridled urban sprawl in coastal cities. In the wake of Hurricane Harveys widespread flood damage in 2017, a heated debate ensued. Many observers pointed out a thorny reality: Houston was built on a swamp. The laissez-faire mentality typical of Texas politics that prioritizes not intervening in growth has further contributed to unbridled urban sprawl, turning wetland to concrete land. With wetlands simply paved over, heavy rain couldnt be easily absorbed, making new neighborhoods extremely vulnerable to flooding. Days after Hurricane Beryl hit Houston, East End streets resembled rivers. Raquel Natalicchio/Staff photographer Preliminary research by my research group, which focuses on risk decision-making, finds that, among all land use and land cover types in Harris County, developed land has increased fastest, rising from 35% of the countys land in 2000 to 50% in 2020. Advertisement Article continues below this ad Harvey was a vivid example of the importance of planning for storm water in urban development. Yet, as the storms devastation fades in the collective memory, more people are moving to Houston. With such high concentrations of people and infrastructure in the coastal region, more people are in harms way. More people means that when a disaster strikes, the impact can be much larger than it was just a few decades ago. Coastal communities cant afford to wait for a disasters wake-up call to invest in protecting themselves. To prepare for future disasters, I believe they need to rethink urban development with climate change in mind. Canadian National Railway (TSE:CNR Free Report) (NYSE:CNI) had its price objective cut by Desjardins from C$192.00 to C$181.00 in a report published on Wednesday, BayStreet.CA reports. They currently have a buy rating on the stock. Desjardins also issued estimates for Canadian National Railways Q3 2024 earnings at $1.71 EPS, Q4 2024 earnings at $2.11 EPS, FY2024 earnings at $7.32 EPS, FY2025 earnings at $8.32 EPS, FY2026 earnings at $9.18 EPS, FY2027 earnings at $10.01 EPS and FY2028 earnings at $11.02 EPS. Other equities analysts have also issued reports about the stock. TD Securities dropped their price objective on shares of Canadian National Railway from C$185.00 to C$180.00 and set a hold rating on the stock in a research report on Wednesday, July 24th. Sanford C. Bernstein downgraded shares of Canadian National Railway from an outperform rating to a market perform rating and reduced their price objective for the stock from C$195.00 to C$179.00 in a research note on Monday, July 8th. Stephens upgraded shares of Canadian National Railway to a hold rating in a research note on Wednesday, July 24th. ATB Capital reduced their price target on shares of Canadian National Railway from C$180.00 to C$177.00 in a research note on Wednesday, July 24th. Finally, UBS Group reduced their price target on shares of Canadian National Railway from C$202.00 to C$189.00 in a research note on Wednesday, July 24th. One equities research analyst has rated the stock with a sell rating, nine have assigned a hold rating, five have given a buy rating and one has given a strong buy rating to the companys stock. According to MarketBeat, the stock has an average rating of Hold and an average price target of C$174.93. Get Canadian National Railway alerts: Get Our Latest Stock Report on CNR Canadian National Railway Stock Down 0.5 % Shares of TSE:CNR opened at C$161.44 on Wednesday. Canadian National Railway has a 52 week low of C$143.13 and a 52 week high of C$181.34. The company has a debt-to-equity ratio of 107.62, a quick ratio of 0.58 and a current ratio of 0.63. The company has a market capitalization of C$101.63 billion, a PE ratio of 19.17, a PEG ratio of 2.06 and a beta of 0.65. The company has a 50 day moving average price of C$158.80 and a two-hundred day moving average price of C$167.48. Canadian National Railway (TSE:CNR Get Free Report) (NYSE:CNI) last released its quarterly earnings results on Tuesday, July 23rd. The company reported C$1.84 earnings per share for the quarter, missing the consensus estimate of C$1.93 by C($0.09). Canadian National Railway had a net margin of 32.02% and a return on equity of 27.41%. The company had revenue of C$4.33 billion during the quarter, compared to analyst estimates of C$4.40 billion. Analysts forecast that Canadian National Railway will post 8.8716518 earnings per share for the current year. Canadian National Railway Announces Dividend The business also recently disclosed a quarterly dividend, which will be paid on Friday, September 27th. Investors of record on Friday, September 27th will be given a $0.845 dividend. This represents a $3.38 annualized dividend and a dividend yield of 2.09%. The ex-dividend date of this dividend is Friday, September 6th. Canadian National Railways dividend payout ratio (DPR) is 40.14%. Insiders Place Their Bets In other news, Director Shauneen Elizabeth Bruder bought 544 shares of the businesss stock in a transaction on Tuesday, June 25th. The stock was acquired at an average price of C$160.21 per share, with a total value of C$87,156.42. In other Canadian National Railway news, Director Shauneen Elizabeth Bruder bought 544 shares of the firms stock in a transaction that occurred on Tuesday, June 25th. The stock was bought at an average price of C$160.21 per share, for a total transaction of C$87,156.42. Also, Director Josephine Ann Marie Depass Olsovsky acquired 2,610 shares of the firms stock in a transaction on Friday, July 26th. The stock was purchased at an average price of C$115.29 per share, with a total value of C$300,906.90. 1.69% of the stock is owned by insiders. About Canadian National Railway (Get Free Report) Canadian National Railway Company, together with its subsidiaries, engages in the rail, intermodal, trucking, and marine transportation and logistics business in Canada and the United States. The company provides rail services, which include equipment, custom brokerage services, transloading and distribution, business development and real estate, and private car storage services; and intermodal services, such as temperature controlled cargo, port partnerships, and logistics parks. Featured Articles Receive News & Ratings for Canadian National Railway Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Canadian National Railway and related companies with MarketBeat.com's FREE daily email newsletter. First Trust Mortgage Income Fund (NYSE:FMY Get Free Report) was the recipient of a large growth in short interest in August. As of August 31st, there was short interest totalling 10,500 shares, a growth of 84.2% from the August 15th total of 5,700 shares. Based on an average daily trading volume, of 6,500 shares, the short-interest ratio is presently 1.6 days. Institutional Investors Weigh In On First Trust Mortgage Income Fund An institutional investor recently bought a new position in First Trust Mortgage Income Fund stock. Global Assets Advisory LLC purchased a new stake in First Trust Mortgage Income Fund (NYSE:FMY Free Report) during the 1st quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The firm purchased 90,985 shares of the companys stock, valued at approximately $1,108,000. Get First Trust Mortgage Income Fund alerts: First Trust Mortgage Income Fund Stock Performance Shares of NYSE:FMY traded up $0.02 during mid-day trading on Friday, hitting $12.38. The company had a trading volume of 6,655 shares, compared to its average volume of 7,690. First Trust Mortgage Income Fund has a one year low of $10.71 and a one year high of $12.57. The firm has a fifty day moving average price of $12.25 and a 200 day moving average price of $12.01. First Trust Mortgage Income Fund Cuts Dividend First Trust Mortgage Income Fund Company Profile The company also recently declared a monthly dividend, which will be paid on Monday, September 16th. Investors of record on Tuesday, September 3rd will be paid a dividend of $0.075 per share. This represents a $0.90 dividend on an annualized basis and a yield of 7.27%. The ex-dividend date is Tuesday, September 3rd. (Get Free Report) First Trust Mortgage Income Fund is a closed-ended fixed income mutual fund launched and managed by First Trust Advisors L.P. It is co-managed by Brookfield Investment Management Inc The fund invests in fixed income markets. It seeks to invest in fixed income securities including mortgage-backed securities, consisting of pass-through certificates, collateralized mortgage obligations, residential mortgage-backed securities, and commercial mortgage-backed securities. Further Reading Receive News & Ratings for First Trust Mortgage Income Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for First Trust Mortgage Income Fund and related companies with MarketBeat.com's FREE daily email newsletter. Shares of High Liner Foods Inc (TSE:HLF Get Free Report) crossed above its two hundred day moving average during trading on Wednesday . The stock has a two hundred day moving average of C$13.21 and traded as high as C$13.37. High Liner Foods shares last traded at C$13.27, with a volume of 6,529 shares. High Liner Foods Price Performance The stock has a 50 day moving average of C$13.38 and a 200-day moving average of C$13.21. The company has a current ratio of 2.88, a quick ratio of 0.38 and a debt-to-equity ratio of 61.80. The stock has a market capitalization of C$392.08 million, a P/E ratio of 6.76 and a beta of 0.90. Get High Liner Foods alerts: High Liner Foods (TSE:HLF Get Free Report) last released its earnings results on Wednesday, August 7th. The company reported C$0.47 earnings per share for the quarter, topping the consensus estimate of C$0.35 by C$0.12. The business had revenue of C$298.72 million during the quarter, compared to the consensus estimate of C$286.86 million. High Liner Foods had a return on equity of 12.18% and a net margin of 4.82%. On average, equities research analysts anticipate that High Liner Foods Inc will post 1.9895052 earnings per share for the current fiscal year. High Liner Foods Announces Dividend High Liner Foods Company Profile The business also recently disclosed a quarterly dividend, which will be paid on Sunday, September 15th. Shareholders of record on Sunday, September 1st will be given a $0.15 dividend. The ex-dividend date of this dividend is Friday, August 30th. This represents a $0.60 annualized dividend and a yield of 4.58%. High Liner Foodss dividend payout ratio (DPR) is 30.93%. (Get Free Report) High Liner Foods is the leading North American processor and marketer of value-added frozen seafood. Their retail branded products are sold throughout the United States, Canada and Mexico under the High Liner, Fisher Boy, Sea Cuisine and C. Wirthy & Co labels, and are available in most grocery and club stores. Recommended Stories Receive News & Ratings for High Liner Foods Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for High Liner Foods and related companies with MarketBeat.com's FREE daily email newsletter. Walmart Inc. (NYSE:WMT Get Free Report) major shareholder Jim C. Walton sold 934,000 shares of Walmart stock in a transaction on Thursday, September 12th. The shares were sold at an average price of $79.46, for a total transaction of $74,215,640.00. Following the transaction, the insider now owns 610,843,318 shares in the company, valued at approximately $48,537,610,048.28. The transaction was disclosed in a legal filing with the SEC, which is available at this link. Major shareholders that own more than 10% of a companys stock are required to disclose their transactions with the SEC. Walmart Stock Performance WMT traded up $0.94 on Friday, hitting $80.60. 12,980,892 shares of the companys stock were exchanged, compared to its average volume of 17,413,426. The company has a current ratio of 0.80, a quick ratio of 0.22 and a debt-to-equity ratio of 0.46. The stock has a fifty day moving average of $72.30 and a two-hundred day moving average of $65.95. Walmart Inc. has a twelve month low of $49.85 and a twelve month high of $80.68. The firm has a market cap of $647.88 billion, a PE ratio of 34.31, a P/E/G ratio of 3.95 and a beta of 0.51. Get Walmart alerts: Walmart (NYSE:WMT Get Free Report) last announced its quarterly earnings results on Thursday, August 15th. The retailer reported $0.67 earnings per share (EPS) for the quarter, topping the consensus estimate of $0.65 by $0.02. The business had revenue of $169.30 billion for the quarter, compared to the consensus estimate of $168.56 billion. Walmart had a return on equity of 21.72% and a net margin of 2.34%. The businesss revenue was up 4.7% on a year-over-year basis. During the same quarter last year, the business posted $0.61 EPS. On average, research analysts anticipate that Walmart Inc. will post 2.44 earnings per share for the current fiscal year. Hedge Funds Weigh In On Walmart Analysts Set New Price Targets Several institutional investors have recently modified their holdings of the company. American Trust raised its stake in Walmart by 2.4% during the 2nd quarter. American Trust now owns 10,833 shares of the retailers stock valued at $734,000 after acquiring an additional 252 shares in the last quarter. Newbridge Financial Services Group Inc. raised its position in shares of Walmart by 36.0% during the 2nd quarter. Newbridge Financial Services Group Inc. now owns 15,140 shares of the retailers stock worth $1,025,000 after buying an additional 4,008 shares in the last quarter. SS&H Financial Advisors Inc. boosted its holdings in shares of Walmart by 162.2% in the second quarter. SS&H Financial Advisors Inc. now owns 11,295 shares of the retailers stock valued at $765,000 after acquiring an additional 6,987 shares in the last quarter. Cannon Financial Strategists Inc. acquired a new position in shares of Walmart during the second quarter valued at approximately $445,000. Finally, Heritage Wealth Management Inc. acquired a new stake in Walmart in the second quarter worth approximately $5,607,000. Institutional investors own 26.76% of the companys stock. A number of research firms have recently issued reports on WMT. Telsey Advisory Group increased their target price on Walmart from $75.00 to $82.00 and gave the company an outperform rating in a research note on Friday, August 16th. BMO Capital Markets reaffirmed an outperform rating and issued a $80.00 price objective on shares of Walmart in a research report on Friday, August 16th. HSBC boosted their target price on shares of Walmart from $70.00 to $81.00 and gave the stock a buy rating in a research report on Tuesday, June 11th. Truist Financial raised their price target on shares of Walmart from $64.00 to $76.00 and gave the company a hold rating in a report on Friday, August 16th. Finally, Tigress Financial boosted their price objective on shares of Walmart from $75.00 to $86.00 and gave the stock a buy rating in a report on Tuesday, July 23rd. Two analysts have rated the stock with a hold rating, twenty-six have given a buy rating and one has assigned a strong buy rating to the company. According to MarketBeat, the stock currently has an average rating of Moderate Buy and a consensus price target of $78.99. View Our Latest Report on Walmart Walmart Company Profile (Get Free Report) Walmart Inc engages in the operation of retail, wholesale, other units, and eCommerce worldwide. The company operates through three segments: Walmart U.S., Walmart International, and Sam's Club. It operates supercenters, supermarkets, hypermarkets, warehouse clubs, cash and carry stores, and discount stores under Walmart and Walmart Neighborhood Market brands; membership-only warehouse clubs; ecommerce websites, such as walmart.com.mx, walmart.ca, flipkart.com, PhonePe and other sites; and mobile commerce applications. Featured Stories Receive News & Ratings for Walmart Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Walmart and related companies with MarketBeat.com's FREE daily email newsletter. ME Group International plc (LON:MEGP Get Free Report)s stock price traded down 1.6% on Wednesday . The stock traded as low as GBX 185.40 ($2.42) and last traded at GBX 186.40 ($2.44). 799,924 shares were traded during trading, an increase of 48% from the average session volume of 540,981 shares. The stock had previously closed at GBX 189.40 ($2.48). Analyst Upgrades and Downgrades A number of equities research analysts have issued reports on the stock. Canaccord Genuity Group reissued a buy rating and set a GBX 203 ($2.65) target price on shares of ME Group International in a research note on Tuesday, July 16th. Berenberg Bank reissued a buy rating and set a GBX 200 ($2.62) price objective on shares of ME Group International in a research report on Monday, July 15th. Get ME Group International alerts: View Our Latest Stock Analysis on ME Group International ME Group International Price Performance ME Group International Cuts Dividend The company has a market capitalization of 711.89 million, a P/E ratio of 1,357.14 and a beta of 1.20. The stocks fifty day simple moving average is GBX 187.18 and its 200-day simple moving average is GBX 174.05. The business also recently disclosed a dividend, which will be paid on Friday, November 29th. Stockholders of record on Thursday, November 7th will be paid a GBX 3.45 ($0.05) dividend. The ex-dividend date of this dividend is Thursday, November 7th. This represents a dividend yield of 1.9%. ME Group Internationals payout ratio is 5,714.29%. Insider Buying and Selling at ME Group International In related news, insider Jean-Marc Janailhac sold 198,555 shares of the stock in a transaction dated Wednesday, July 17th. The shares were sold at an average price of GBX 184 ($2.41), for a total value of 365,341.20 ($477,757.55). Insiders own 37.26% of the companys stock. About ME Group International (Get Free Report) ME Group International plc operates, sells, and services a range of instant-service equipment in the United Kingdom. The company operates through Asia Pacific, Continental Europe, and United Kingdom and Ireland segments. It operates coin-operated automatic photobooths for identification and fun purposes, as well as vending equipment, including digital photo kiosks, laundry machines, business service equipment, amusement machines, and children's rides. Featured Stories Receive News & Ratings for ME Group International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ME Group International and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com began coverage on shares of Mexco Energy (NYSE:MXC Free Report) in a research report sent to investors on Tuesday. The brokerage issued a hold rating on the stock. Mexco Energy Price Performance NYSE:MXC opened at $11.74 on Tuesday. The firm has a market capitalization of $24.54 million, a PE ratio of 19.25 and a beta of 0.53. The businesss fifty day moving average price is $11.64 and its 200 day moving average price is $11.62. Mexco Energy has a 12 month low of $9.02 and a 12 month high of $16.52. Get Mexco Energy alerts: Mexco Energy (NYSE:MXC Get Free Report) last posted its earnings results on Thursday, August 8th. The company reported $0.14 EPS for the quarter. The firm had revenue of $1.73 million for the quarter. Mexco Energy had a return on equity of 6.70% and a net margin of 17.77%. Hedge Funds Weigh In On Mexco Energy Mexco Energy Company Profile An institutional investor recently bought a new position in Mexco Energy stock. Meixler Investment Management Ltd. acquired a new position in shares of Mexco Energy Co. ( NYSE:MXC Free Report ) during the 1st quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The firm acquired 23,616 shares of the companys stock, valued at approximately $236,000. Meixler Investment Management Ltd. owned about 1.13% of Mexco Energy as of its most recent filing with the Securities & Exchange Commission. Institutional investors and hedge funds own 5.89% of the companys stock. (Get Free Report) Mexco Energy Corporation, an independent oil and gas company, engages in the acquisition, exploration, development, and production of natural gas, crude oil, condensate, and natural gas liquids in the United States. It owns partial interests in approximately 6,400 gross producing wells located in the states of Texas, New Mexico, Oklahoma, Louisiana, Alabama, Mississippi, Arkansas, Wyoming, Kansas, Colorado, Montana, Virginia, North Dakota, and Ohio. Featured Stories Receive News & Ratings for Mexco Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Mexco Energy and related companies with MarketBeat.com's FREE daily email newsletter. Pacific Financial Co. (OTCMKTS:PFLC Get Free Report) rose 0.4% during mid-day trading on Friday . The company traded as high as $11.50 and last traded at $11.50. Approximately 5,200 shares changed hands during mid-day trading, an increase of 3% from the average daily volume of 5,070 shares. The stock had previously closed at $11.45. Pacific Financial Price Performance The stocks 50 day moving average price is $10.56 and its 200-day moving average price is $10.12. Get Pacific Financial alerts: Pacific Financial (OTCMKTS:PFLC Get Free Report) last issued its quarterly earnings results on Friday, July 26th. The company reported $0.21 earnings per share (EPS) for the quarter. The business had revenue of $12.73 million during the quarter. Pacific Financial Increases Dividend About Pacific Financial The company also recently disclosed a quarterly dividend, which was paid on Friday, August 23rd. Stockholders of record on Friday, August 9th were given a dividend of $0.14 per share. This is a positive change from Pacific Financials previous quarterly dividend of $0.13. The ex-dividend date was Friday, August 9th. This represents a $0.56 dividend on an annualized basis and a dividend yield of 4.87%. Pacific Financials dividend payout ratio (DPR) is presently 125.40%. (Get Free Report) Pacific Financial Corporation operates as the bank holding company for Bank of the Pacific that provides various banking products and services in Washington and Oregon. The company offers personal and business checking, and savings accounts; certificates of deposit, individual retirement accounts, and other investment options; home loans; and auto and recreation loans, credit cards, and home equity line of credit. Featured Stories Receive News & Ratings for Pacific Financial Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pacific Financial and related companies with MarketBeat.com's FREE daily email newsletter. Rolls-Royce Holdings plc (LON:RR Get Free Report) insider Helen McCabe bought 32 shares of the firms stock in a transaction on Monday, September 9th. The stock was purchased at an average cost of GBX 470 ($6.15) per share, with a total value of 150.40 ($196.68). Rolls-Royce Holdings plc Stock Performance LON RR opened at GBX 501.20 ($6.55) on Friday. The businesss 50 day moving average price is GBX 470.26 and its 200-day moving average price is GBX 439.65. The company has a market cap of 42.60 billion, a P/E ratio of 1,763.57, a P/E/G ratio of 0.47 and a beta of 1.82. Rolls-Royce Holdings plc has a fifty-two week low of GBX 196.45 ($2.57) and a fifty-two week high of GBX 505 ($6.60). Get Rolls-Royce Holdings plc alerts: Analyst Upgrades and Downgrades Several equities analysts recently issued reports on the company. JPMorgan Chase & Co. upped their price objective on Rolls-Royce Holdings plc from GBX 475 ($6.21) to GBX 535 ($7.00) and gave the company an overweight rating in a research report on Tuesday, August 6th. Jefferies Financial Group reiterated a buy rating and set a GBX 640 ($8.37) target price on shares of Rolls-Royce Holdings plc in a research note on Tuesday, September 3rd. Shore Capital restated a buy rating on shares of Rolls-Royce Holdings plc in a research note on Thursday, May 23rd. Bank of America reaffirmed a buy rating and set a GBX 675 ($8.83) price objective on shares of Rolls-Royce Holdings plc in a report on Monday, September 2nd. Finally, Deutsche Bank Aktiengesellschaft restated a buy rating and set a GBX 555 ($7.26) price objective on shares of Rolls-Royce Holdings plc in a research report on Tuesday, September 3rd. One research analyst has rated the stock with a sell rating and five have given a buy rating to the companys stock. Based on data from MarketBeat.com, Rolls-Royce Holdings plc currently has a consensus rating of Moderate Buy and an average target price of GBX 529 ($6.92). Rolls-Royce Holdings plc Company Profile (Get Free Report) Rolls-Royce Holdings plc develops and delivers complex power and propulsion solutions for air, sea, and land in the United Kingdom and internationally. The company operates through four segments: Civil Aerospace, Defence, Power Systems, and New Markets. The Civil Aerospace segment develops, manufactures, markets, and sells aero engines for large commercial aircraft, regional jet, and business aviation markets, as well as provides aftermarket services. Featured Stories Receive News & Ratings for Rolls-Royce Holdings plc Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Rolls-Royce Holdings plc and related companies with MarketBeat.com's FREE daily email newsletter. Ivanhoe Mines (TSE:IVN Free Report) had its price target decreased by Royal Bank of Canada from C$25.00 to C$24.00 in a research report report published on Tuesday, BayStreet.CA reports. Royal Bank of Canada currently has an outperform rating on the stock. IVN has been the topic of a number of other research reports. Scotiabank cut their price objective on shares of Ivanhoe Mines from C$23.00 to C$21.00 in a research note on Monday, August 19th. Jefferies Financial Group increased their price target on Ivanhoe Mines from C$25.00 to C$26.00 in a research report on Friday, July 12th. Raymond James boosted their price objective on Ivanhoe Mines from C$21.00 to C$24.00 and gave the company an outperform rating in a report on Thursday, May 23rd. Citigroup raised their target price on Ivanhoe Mines from C$15.00 to C$24.00 and gave the stock a buy rating in a report on Thursday, June 20th. Finally, Canaccord Genuity Group boosted their price target on Ivanhoe Mines from C$24.00 to C$25.00 in a report on Monday, July 22nd. Eight analysts have rated the stock with a buy rating, Based on data from MarketBeat, the company currently has a consensus rating of Buy and a consensus price target of C$24.20. Get Ivanhoe Mines alerts: Check Out Our Latest Analysis on Ivanhoe Mines Ivanhoe Mines Stock Up 1.2 % Ivanhoe Mines stock opened at C$17.59 on Tuesday. The businesss 50-day simple moving average is C$17.52 and its two-hundred day simple moving average is C$17.67. The company has a debt-to-equity ratio of 4.24, a quick ratio of 20.86 and a current ratio of 1.17. The company has a market cap of C$23.75 billion, a PE ratio of 109.94 and a beta of 1.93. Ivanhoe Mines has a fifty-two week low of C$9.89 and a fifty-two week high of C$21.32. Ivanhoe Mines (TSE:IVN Get Free Report) last issued its earnings results on Wednesday, July 31st. The company reported C$0.08 EPS for the quarter, beating analysts consensus estimates of C$0.07 by C$0.01. Equities analysts anticipate that Ivanhoe Mines will post 1.034134 EPS for the current year. Insiders Place Their Bets In related news, Director Delphine Traore purchased 5,704 shares of the businesss stock in a transaction that occurred on Monday, June 17th. The stock was bought at an average price of C$17.40 per share, with a total value of C$99,249.60. In other Ivanhoe Mines news, Senior Officer Mary Vincelli sold 3,600 shares of the stock in a transaction that occurred on Thursday, June 20th. The stock was sold at an average price of C$17.96, for a total transaction of C$64,639.80. Also, Director Delphine Traore purchased 5,704 shares of the stock in a transaction dated Monday, June 17th. The stock was purchased at an average cost of C$17.40 per share, for a total transaction of C$99,249.60. Over the last 90 days, insiders have sold 11,816,100 shares of company stock worth $205,720,353. 45.03% of the stock is owned by corporate insiders. Ivanhoe Mines Company Profile (Get Free Report) Ivanhoe Mines Ltd. engages in the mining, development, and exploration of minerals and precious metals primarily in Africa. It explores for platinum, palladium, nickel, copper, gold, rhodium, zinc, silver, germanium, and lead deposits. The company's projects include the Platreef project located in the Northern Limb of South Africa's Bushveld Complex; the Kipushi project located in Haut-Katanga Province, Democratic Republic of Congo; and the Kamoa-Kakula project located within the Central African Copperbelt. Featured Articles Receive News & Ratings for Ivanhoe Mines Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Ivanhoe Mines and related companies with MarketBeat.com's FREE daily email newsletter. Pick n Pay Stores Limited (OTCMKTS:PKPYY Get Free Report) was the target of a significant decrease in short interest during the month of August. As of August 31st, there was short interest totalling 100 shares, a decrease of 75.0% from the August 15th total of 400 shares. Based on an average daily volume of 100 shares, the short-interest ratio is currently 1.0 days. Pick n Pay Stores Stock Performance Pick n Pay Stores stock remained flat at $6.84 during trading on Friday. Pick n Pay Stores has a one year low of $5.00 and a one year high of $7.44. The stocks 50 day moving average price is $6.54 and its 200-day moving average price is $5.80. Get Pick n Pay Stores alerts: Pick n Pay Stores Increases Dividend The company also recently disclosed a dividend, which was paid on Monday, August 26th. Investors of record on Monday, August 19th were paid a $0.9855 dividend. This is an increase from Pick n Pay Storess previous dividend of $0.56. The ex-dividend date was Monday, August 19th. Pick n Pay Storess dividend payout ratio is presently 52.92%. About Pick n Pay Stores Pick n Pay Stores Limited, together with its subsidiaries, engages in the retail of food, grocery, clothing, liquor, and general merchandise products in South Africa and Rest of Africa. It owns and franchises hypermarkets, supermarkets, clothing stores, liquor stores, superstores, build stores, punch stores, and express stores under the Pick n Pay and Boxer brands. Recommended Stories Receive News & Ratings for Pick n Pay Stores Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pick n Pay Stores and related companies with MarketBeat.com's FREE daily email newsletter. A dual language program teacher is teaching fourth grade science on Monday, Nov. 27, 2023 at Gregg Elementary School in Houston. Yi-Chin Lee/Staff photographer Students transition to their classrooms at Roy Benavidez Elementary School on Wednesday, Sept. 20, 2023. South San ISD has had the distinction of outperforming other school districts on recent STAAR exams. KIN MAN HUI/SAN ANTONIO EXPRESS-NEWS The state of Texas is getting into the business of writing its own textbooks, lesson plans and worksheets for use in public schools. The Texas Education Agency on Wednesday unveiled a range of curricula that districts can acquire for use in classrooms. Members of the public can comment on the materials throughout the summer and the agency will revise them before ultimately seeking approval from the State Board of Education later this fall. Advertisement Article continues below this ad TEA leader Mike Morath described the move as a way to get more Texas-centric content into classrooms. But it comes as Republicans have pushed back on public school teaching as liberal indoctrination and moved to ban books with LGBTQ content from libraries. Public schools can decide whether or not to use the TEA-crafted materials, which Hearst Newspapers has not yet reviewed in full. Their adoption will likely be contentious at the state board, a body of 15 elected members that has in recent years crept to the right. Controversy has already begun. The 74, an online news outlet focused on education, reported that a major curriculum vendor with the state called Amplify backed out of providing materials for the TEA curriculum because the New York-based company felt there was a desire to feature Christian religious texts over others. According to figures provided by TEA, around 10% of the reading and language arts lessons or 66 out of 759 total include religious source material, with those numbers not including Greek or Roman mythological deities or Native American religions. For example, a reading lesson for elementary school students includes excerpts from Martin Luther King Jr.s Letter from a Birmingham Jail and goes on to describe civil disobedience within a historical and literary context, including early Christians and the laws of Nebuchadnezzar as described in the Bible. Advertisement Article continues below this ad The state wrote reading and language arts textbooks from kindergarten through fifth grade, Morath said, emphasizing that they were produced using cutting-edge cognitive science research, as well as math and algebra for kindergarten through eighth grade. TEA has long written curriculum standards, known as the Texas Essential Knowledge and Skills, that govern what appears in state standardized tests and what therefore must be taught by public schools. The State Board of Education gives a formal seal of approval to textbooks seeking access to the Texas market. The new state law that empowered TEA to begin drafting these materials, House Bill 1605, was passed last year and signed into law by Gov. Greg Abbott. It was carried by the top education policymakers in the House and Senate. While TEA officials cant take an official position for or against legislation, inside the Capitol it was widely viewed as a top priority of Moraths, who during his time leading the agency has pushed policies with the effect of expanding state powers over local school districts. Heading into last years legislative session, the states Republican leaders harbored concerns that public schools didnt have access to textbooks that sufficiently covered the state standards, resulting in poor standardized test scores. Advertisement Article continues below this ad A state working group that studied the teacher workforce shortage also recommended the legislation, finding that teachers time was dominated by writing their own lesson plans, leading to overwork and exhaustion. The Legislature did not pass other key recommendations, including raising teacher salaries. Abbott praised the TEA teaching materials, saying it will help students to better understand the connection of history, art, community, literature, and religion on pivotal events like the signing of the U.S. Constitution, the Civil Rights Movement, and the American Revolution. The materials are optional but if public schools use curricula from the state boards approved list, they will earn an extra $40 for every student in their district a potentially powerful financial incentive with many districts facing budget deficits or contemplating layoffs. If they use the TEA textbooks, the amount is increased to $60 per student to cover printing costs. Although the bill easily passed the Legislature last year, the concept of state-approved lesson plans did face some criticism from teachers groups and other education advocates who feared it would reduce creative freedom for teachers or force them to adopt political talking points in the classroom. Morath, who was appointed by Abbott in 2015, told reporters ahead of the reveal that the goal is to compete with national vendors. Advertisement Article continues below this ad What all of these companies do is theyre national companies, and they build a national product, and then they sort of slap a coat of paint on it for Texas, Morath said. And so you dont often get the rich Texas reading stories and a reading curriculum because it just wasnt really designed from the ground-up for Texas. Under the law, all materials will be available for parents to access electronically. South Atlantic Bancshares, Inc. (OTCMKTS:SABK Get Free Report)s stock price dropped 0.1% during trading on Wednesday . The stock traded as low as $13.75 and last traded at $13.75. Approximately 477 shares traded hands during mid-day trading, a decline of 86% from the average daily volume of 3,294 shares. The stock had previously closed at $13.76. South Atlantic Bancshares Stock Performance The firm has a market cap of $104.09 million, a P/E ratio of 12.06 and a beta of 0.37. The firms fifty day moving average price is $13.83 and its 200 day moving average price is $12.30. Get South Atlantic Bancshares alerts: South Atlantic Bancshares (OTCMKTS:SABK Get Free Report) last posted its quarterly earnings results on Thursday, July 25th. The company reported $0.30 EPS for the quarter, beating the consensus estimate of $0.25 by $0.05. The business had revenue of $22.73 million during the quarter, compared to the consensus estimate of $11.60 million. As a group, analysts expect that South Atlantic Bancshares, Inc. will post 1.28 earnings per share for the current fiscal year. South Atlantic Bancshares Company Profile South Atlantic Bancshares, Inc operates as the bank holding company for South Atlantic Bank that provides consumer and commercial banking products and services to individuals, small businesses, and corporations in South Carolina. The company offers checking, money market, and saving accounts, as well as certificate of deposit, individual retirement, and health saving accounts; personal, auto, and recreation loans, as well as home equity and ready reserve overdraft line of credits, and commercial lending products; and credit cards. See Also Receive News & Ratings for South Atlantic Bancshares Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for South Atlantic Bancshares and related companies with MarketBeat.com's FREE daily email newsletter. Sow Good (OTCMKTS:SOWG Get Free Report) and Nightfood (OTCMKTS:NGTF Get Free Report) are both consumer defensive companies, but which is the better business? We will contrast the two companies based on the strength of their earnings, institutional ownership, profitability, dividends, analyst recommendations, risk and valuation. Analyst Ratings This is a summary of recent ratings and target prices for Sow Good and Nightfood, as provided by MarketBeat. Get Sow Good alerts: Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Sow Good 0 0 2 0 3.00 Nightfood 0 0 0 0 N/A Sow Good currently has a consensus price target of $17.50, indicating a potential upside of 62.64%. Given Sow Goods higher possible upside, equities research analysts clearly believe Sow Good is more favorable than Nightfood. Insider & Institutional Ownership Earnings and Valuation 10.7% of Sow Good shares are owned by institutional investors. 62.3% of Sow Good shares are owned by insiders. Strong institutional ownership is an indication that endowments, hedge funds and large money managers believe a company will outperform the market over the long term. This table compares Sow Good and Nightfoods top-line revenue, earnings per share and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Sow Good $41.61 million 2.62 -$3.06 million ($0.35) -30.74 Nightfood N/A N/A N/A N/A N/A Nightfood has lower revenue, but higher earnings than Sow Good. Profitability This table compares Sow Good and Nightfoods net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Sow Good -19.05% -91.69% -23.25% Nightfood N/A N/A N/A Summary Sow Good beats Nightfood on 5 of the 8 factors compared between the two stocks. About Sow Good (Get Free Report) Sow Good Inc. produces and sells freeze-dried candy, snacks, smoothies, and granola in the United States. It markets its products through direct-to-consumer focused websites, as well as through the business-to-business sales channels. The company offers its products under the Sow Good and Sustain Us brands. The company was formerly known as Black Ridge Oil & Gas, Inc. and changed its name to Sow Good Inc. in January 2021. Sow Good Inc. was incorporated in 2010 and is based in Irving, Texas. About Nightfood (Get Free Report) Nightfood Holdings, Inc., through its subsidiaries, manufactures, markets, and distributes sleep-friendly snack food products in the United States. It offers ice cream, cookies, chips, and candies under the Nightfood brand through direct-to-consumer, as well as wholesaler, retailers, and distributors. The company was founded in 2010 and is headquartered in Tarrytown, New York. Receive News & Ratings for Sow Good Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sow Good and related companies with MarketBeat.com's FREE daily email newsletter. Abbrea Capital LLC cut its holdings in American Express (NYSE:AXP Free Report) by 6.7% during the second quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The institutional investor owned 2,837 shares of the payment services companys stock after selling 205 shares during the period. Abbrea Capital LLCs holdings in American Express were worth $657,000 as of its most recent SEC filing. A number of other large investors also recently added to or reduced their stakes in AXP. Norges Bank purchased a new position in American Express in the 4th quarter worth approximately $1,112,747,000. Alphinity Investment Management Pty Ltd purchased a new stake in shares of American Express during the 1st quarter worth approximately $433,709,000. TD Asset Management Inc raised its holdings in shares of American Express by 62.1% during the 4th quarter. TD Asset Management Inc now owns 2,399,494 shares of the payment services companys stock worth $449,521,000 after acquiring an additional 919,337 shares in the last quarter. Price T Rowe Associates Inc. MD raised its holdings in shares of American Express by 8.5% during the 1st quarter. Price T Rowe Associates Inc. MD now owns 8,447,441 shares of the payment services companys stock worth $1,923,399,000 after acquiring an additional 662,382 shares in the last quarter. Finally, Bank of Nova Scotia raised its holdings in shares of American Express by 969.9% during the 4th quarter. Bank of Nova Scotia now owns 602,726 shares of the payment services companys stock worth $112,910,000 after acquiring an additional 546,389 shares in the last quarter. 84.33% of the stock is owned by hedge funds and other institutional investors. Get American Express alerts: Wall Street Analysts Forecast Growth AXP has been the topic of several recent research reports. Royal Bank of Canada upped their price objective on American Express from $265.00 to $267.00 and gave the stock an outperform rating in a report on Monday, July 22nd. William Blair reaffirmed an outperform rating on shares of American Express in a report on Monday, June 3rd. Compass Point initiated coverage on American Express in a research report on Tuesday, July 16th. They set a neutral rating and a $260.00 target price on the stock. BTIG Research initiated coverage on American Express in a research report on Friday, June 7th. They set a neutral rating on the stock. Finally, Monness Crespi & Hardt boosted their target price on American Express from $260.00 to $265.00 and gave the company a buy rating in a research report on Monday, July 22nd. Three investment analysts have rated the stock with a sell rating, thirteen have assigned a hold rating and eleven have issued a buy rating to the companys stock. Based on data from MarketBeat.com, the company has an average rating of Hold and a consensus price target of $230.70. American Express Stock Performance Shares of American Express stock opened at $259.07 on Friday. The firm has a market cap of $186.35 billion, a P/E ratio of 21.34, a P/E/G ratio of 1.42 and a beta of 1.21. The company has a current ratio of 1.66, a quick ratio of 1.66 and a debt-to-equity ratio of 1.74. American Express has a 12 month low of $140.91 and a 12 month high of $261.75. The stocks fifty day moving average price is $246.33 and its 200-day moving average price is $235.36. American Express (NYSE:AXP Get Free Report) last announced its quarterly earnings results on Friday, July 19th. The payment services company reported $3.49 EPS for the quarter, beating the consensus estimate of $3.26 by $0.23. The firm had revenue of $16.33 billion for the quarter, compared to analyst estimates of $16.60 billion. American Express had a return on equity of 32.94% and a net margin of 15.53%. The businesss revenue for the quarter was up 9.2% on a year-over-year basis. During the same quarter last year, the firm posted $2.89 earnings per share. On average, equities research analysts expect that American Express will post 13.12 earnings per share for the current fiscal year. About American Express (Free Report) American Express Company, together with its subsidiaries, operates as integrated payments company in the United States, Europe, the Middle East and Africa, the Asia Pacific, Australia, New Zealand, Latin America, Canada, the Caribbean, and Internationally. It operates through four segments: U.S. Consumer Services, Commercial Services, International Card Services, and Global Merchant and Network Services. See Also Receive News & Ratings for American Express Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for American Express and related companies with MarketBeat.com's FREE daily email newsletter. Alta Advisers Ltd acquired a new stake in shares of Teva Pharmaceutical Industries Limited (NYSE:TEVA Free Report) in the 2nd quarter, according to its most recent Form 13F filing with the Securities & Exchange Commission. The fund acquired 4,500 shares of the companys stock, valued at approximately $73,000. Several other hedge funds have also recently made changes to their positions in TEVA. New Covenant Trust Company N.A. bought a new stake in Teva Pharmaceutical Industries during the first quarter valued at approximately $28,000. EntryPoint Capital LLC bought a new stake in Teva Pharmaceutical Industries during the first quarter valued at approximately $30,000. Beach Investment Counsel Inc. PA bought a new stake in Teva Pharmaceutical Industries during the second quarter valued at approximately $48,000. Byrne Asset Management LLC bought a new stake in Teva Pharmaceutical Industries during the second quarter valued at approximately $52,000. Finally, GAMMA Investing LLC grew its position in Teva Pharmaceutical Industries by 105.7% during the second quarter. GAMMA Investing LLC now owns 3,388 shares of the companys stock valued at $55,000 after buying an additional 1,741 shares during the period. 54.05% of the stock is owned by hedge funds and other institutional investors. Get Teva Pharmaceutical Industries alerts: Insider Activity at Teva Pharmaceutical Industries In related news, Director Roberto Mignone sold 519,000 shares of the companys stock in a transaction on Tuesday, June 18th. The shares were sold at an average price of $17.03, for a total transaction of $8,838,570.00. Following the sale, the director now owns 981,000 shares of the companys stock, valued at $16,706,430. The transaction was disclosed in a legal filing with the SEC, which is available through the SEC website. In other news, insider Eric A. Hughes sold 24,537 shares of the stock in a transaction on Thursday, August 1st. The shares were sold at an average price of $17.25, for a total value of $423,263.25. Following the sale, the insider now owns 45,060 shares of the companys stock, valued at $777,285. The sale was disclosed in a legal filing with the SEC, which is available at this hyperlink. Also, Director Roberto Mignone sold 519,000 shares of the stock in a transaction on Tuesday, June 18th. The shares were sold at an average price of $17.03, for a total transaction of $8,838,570.00. Following the completion of the sale, the director now directly owns 981,000 shares in the company, valued at approximately $16,706,430. The disclosure for this sale can be found here. 0.55% of the stock is owned by insiders. Analyst Upgrades and Downgrades Several brokerages recently issued reports on TEVA. Jefferies Financial Group boosted their target price on shares of Teva Pharmaceutical Industries from $19.00 to $23.00 and gave the company a buy rating in a research report on Thursday, June 27th. Argus upgraded shares of Teva Pharmaceutical Industries from a hold rating to a buy rating and set a $20.00 price target for the company in a research report on Wednesday, July 10th. Bank of America upped their price target on shares of Teva Pharmaceutical Industries from $18.00 to $21.00 and gave the stock a buy rating in a research report on Thursday, May 30th. UBS Group upped their price target on shares of Teva Pharmaceutical Industries from $24.00 to $26.00 and gave the stock a buy rating in a research report on Tuesday, September 3rd. Finally, StockNews.com upgraded shares of Teva Pharmaceutical Industries from a buy rating to a strong-buy rating in a research report on Saturday, August 3rd. Two research analysts have rated the stock with a hold rating, seven have issued a buy rating and one has issued a strong buy rating to the companys stock. According to data from MarketBeat, the company currently has an average rating of Moderate Buy and a consensus price target of $18.89. Check Out Our Latest Research Report on TEVA Teva Pharmaceutical Industries Price Performance Shares of NYSE:TEVA opened at $18.40 on Friday. The company has a debt-to-equity ratio of 2.52, a quick ratio of 0.59 and a current ratio of 0.89. The firm has a fifty day moving average price of $17.55 and a 200 day moving average price of $15.91. Teva Pharmaceutical Industries Limited has a fifty-two week low of $8.06 and a fifty-two week high of $19.08. The firm has a market cap of $20.84 billion, a price-to-earnings ratio of -44.87, a P/E/G ratio of 1.25 and a beta of 0.88. Teva Pharmaceutical Industries (NYSE:TEVA Get Free Report) last issued its earnings results on Wednesday, July 31st. The company reported $0.58 earnings per share for the quarter, topping analysts consensus estimates of $0.55 by $0.03. Teva Pharmaceutical Industries had a negative net margin of 2.73% and a positive return on equity of 39.66%. The firm had revenue of $4.16 billion during the quarter, compared to analyst estimates of $3.99 billion. As a group, sell-side analysts expect that Teva Pharmaceutical Industries Limited will post 2.33 earnings per share for the current fiscal year. Teva Pharmaceutical Industries Profile (Free Report) Teva Pharmaceutical Industries Limited develops, manufactures, markets, and distributes generic medicines, specialty medicines, and biopharmaceutical products in North America, Europe, Israel, and internationally. It offers generic medicines in various dosage forms, such as tablets, capsules, injectables, inhalants, liquids, transdermal patches, ointments, and creams; sterile products, hormones, high-potency drugs, and cytotoxic substances in parenteral and solid dosage forms; and generic products with medical devices and combination products. Featured Articles Receive News & Ratings for Teva Pharmaceutical Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Teva Pharmaceutical Industries and related companies with MarketBeat.com's FREE daily email newsletter. Ameresco, Inc. (NYSE:AMRC Get Free Report) has received a consensus recommendation of Moderate Buy from the sixteen brokerages that are presently covering the stock, MarketBeat Ratings reports. Five investment analysts have rated the stock with a hold rating, ten have assigned a buy rating and one has issued a strong buy rating on the company. The average 1 year price objective among brokerages that have issued ratings on the stock in the last year is $37.69. Several equities analysts have recently commented on the company. Piper Sandler lowered their price objective on Ameresco from $37.00 to $35.00 and set an overweight rating on the stock in a research report on Tuesday, August 6th. StockNews.com raised Ameresco to a sell rating in a report on Saturday, August 17th. UBS Group upped their price target on Ameresco from $39.00 to $40.00 and gave the stock a buy rating in a research note on Thursday, September 5th. Jefferies Financial Group started coverage on shares of Ameresco in a research report on Wednesday, September 4th. They set a hold rating and a $33.00 price objective on the stock. Finally, Robert W. Baird restated an outperform rating and set a $32.00 target price on shares of Ameresco in a research report on Tuesday, August 27th. Get Ameresco alerts: Check Out Our Latest Report on AMRC Insider Transactions at Ameresco Institutional Inflows and Outflows In other Ameresco news, CFO Spencer Doran Hole sold 5,000 shares of the companys stock in a transaction that occurred on Monday, August 12th. The stock was sold at an average price of $26.53, for a total transaction of $132,650.00. Following the sale, the chief financial officer now directly owns 1,878 shares in the company, valued at $49,823.34. The sale was disclosed in a document filed with the SEC, which is available at the SEC website . Insiders own 42.00% of the companys stock. A number of hedge funds have recently bought and sold shares of AMRC. Maven Securities LTD purchased a new position in shares of Ameresco during the second quarter valued at approximately $360,000. Point72 Asset Management L.P. bought a new stake in shares of Ameresco during the 2nd quarter worth approximately $10,821,000. Ironwood Investment Management LLC boosted its holdings in Ameresco by 60.1% during the 2nd quarter. Ironwood Investment Management LLC now owns 22,895 shares of the utilities providers stock valued at $660,000 after acquiring an additional 8,599 shares during the period. Algert Global LLC grew its position in Ameresco by 113.3% in the 2nd quarter. Algert Global LLC now owns 119,183 shares of the utilities providers stock valued at $3,434,000 after acquiring an additional 63,313 shares during the last quarter. Finally, The Manufacturers Life Insurance Company increased its stake in Ameresco by 4.1% in the second quarter. The Manufacturers Life Insurance Company now owns 12,374 shares of the utilities providers stock worth $356,000 after purchasing an additional 489 shares during the period. Institutional investors own 99.24% of the companys stock. Ameresco Price Performance NYSE AMRC opened at $34.28 on Friday. The company has a current ratio of 1.01, a quick ratio of 1.00 and a debt-to-equity ratio of 1.15. Ameresco has a 12 month low of $17.55 and a 12 month high of $47.56. The stock has a market cap of $1.80 billion, a price-to-earnings ratio of 31.16, a PEG ratio of 1.15 and a beta of 1.78. The companys 50 day moving average is $29.77 and its two-hundred day moving average is $27.19. Ameresco (NYSE:AMRC Get Free Report) last announced its quarterly earnings data on Monday, August 5th. The utilities provider reported $0.10 earnings per share (EPS) for the quarter, missing analysts consensus estimates of $0.25 by ($0.15). Ameresco had a return on equity of 6.25% and a net margin of 3.77%. The firm had revenue of $437.98 million during the quarter, compared to analysts expectations of $373.75 million. During the same period last year, the company earned $0.15 earnings per share. The businesss revenue for the quarter was up 33.9% on a year-over-year basis. As a group, research analysts anticipate that Ameresco will post 1.16 earnings per share for the current year. About Ameresco (Get Free Report Ameresco, Inc, a clean technology integrator, provides a portfolio of energy efficiency and renewable energy supply solutions in the United States, Canada, Europe, and internationally. It operates through U.S. Regions, U.S. Federal, Canada, Europe, Alternative Fuels, and All Other segments. The company offers energy efficiency, infrastructure upgrades, energy security and resilience, asset sustainability, and renewable energy solutions for businesses and organizations. Read More Receive News & Ratings for Ameresco Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Ameresco and related companies with MarketBeat.com's FREE daily email newsletter. Boston Properties (NYSE:BXP Free Report) had its price objective upped by Wells Fargo & Company from $73.00 to $80.00 in a research note released on Wednesday, Benzinga reports. The firm currently has an overweight rating on the real estate investment trusts stock. BXP has been the subject of a number of other research reports. Truist Financial boosted their target price on shares of Boston Properties from $67.00 to $77.00 and gave the company a hold rating in a research report on Tuesday, August 27th. Evercore ISI boosted their price objective on shares of Boston Properties from $76.00 to $77.00 and gave the company an outperform rating in a report on Wednesday, August 28th. Scotiabank raised their target price on shares of Boston Properties from $74.00 to $76.00 and gave the stock a sector outperform rating in a report on Wednesday, August 7th. Morgan Stanley reiterated an equal weight rating and issued a $62.00 price target on shares of Boston Properties in a research report on Thursday, June 20th. Finally, Wedbush increased their price target on Boston Properties from $63.00 to $70.00 and gave the stock a neutral rating in a research report on Monday, August 5th. Eight equities research analysts have rated the stock with a hold rating and six have given a buy rating to the company. According to data from MarketBeat, the company presently has a consensus rating of Hold and a consensus price target of $73.54. Get Boston Properties alerts: Check Out Our Latest Stock Report on BXP Boston Properties Stock Performance Shares of BXP stock opened at $80.06 on Wednesday. Boston Properties has a 52 week low of $50.64 and a 52 week high of $80.58. The company has a market capitalization of $12.57 billion, a price-to-earnings ratio of 65.62, a PEG ratio of 0.35 and a beta of 1.18. The company has a 50-day simple moving average of $70.41 and a 200 day simple moving average of $64.80. The company has a debt-to-equity ratio of 1.90, a quick ratio of 4.83 and a current ratio of 4.83. Boston Properties (NYSE:BXP Get Free Report) last posted its earnings results on Tuesday, July 30th. The real estate investment trust reported $0.51 EPS for the quarter, missing the consensus estimate of $1.72 by ($1.21). The firm had revenue of $850.48 million during the quarter, compared to analyst estimates of $819.49 million. Boston Properties had a net margin of 5.01% and a return on equity of 2.05%. Boston Propertiess revenue for the quarter was up 4.1% compared to the same quarter last year. During the same period in the previous year, the company posted $1.86 EPS. On average, sell-side analysts predict that Boston Properties will post 7.11 earnings per share for the current year. Boston Properties Dividend Announcement The firm also recently disclosed a quarterly dividend, which will be paid on Thursday, October 31st. Investors of record on Monday, September 30th will be paid a $0.98 dividend. This represents a $3.92 annualized dividend and a yield of 4.90%. The ex-dividend date is Monday, September 30th. Boston Propertiess dividend payout ratio (DPR) is presently 321.31%. Insider Buying and Selling at Boston Properties In other news, EVP Raymond A. Ritchey sold 21,835 shares of the firms stock in a transaction that occurred on Monday, September 9th. The shares were sold at an average price of $74.25, for a total value of $1,621,248.75. The transaction was disclosed in a filing with the SEC, which is available through this hyperlink. In other news, EVP Raymond A. Ritchey sold 21,835 shares of the stock in a transaction on Monday, September 9th. The stock was sold at an average price of $74.25, for a total value of $1,621,248.75. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this hyperlink. Also, EVP Peter V. Otteni sold 4,785 shares of the firms stock in a transaction on Friday, August 23rd. The shares were sold at an average price of $73.44, for a total value of $351,410.40. The disclosure for this sale can be found here. Company insiders own 1.35% of the companys stock. Institutional Inflows and Outflows Several institutional investors and hedge funds have recently made changes to their positions in the company. Manchester Capital Management LLC grew its holdings in Boston Properties by 435.9% during the 4th quarter. Manchester Capital Management LLC now owns 418 shares of the real estate investment trusts stock worth $29,000 after acquiring an additional 340 shares during the last quarter. Point72 Asia Singapore Pte. Ltd. grew its stake in shares of Boston Properties by 212.1% in the second quarter. Point72 Asia Singapore Pte. Ltd. now owns 568 shares of the real estate investment trusts stock worth $35,000 after purchasing an additional 386 shares during the last quarter. UMB Bank n.a. increased its holdings in Boston Properties by 115.5% in the second quarter. UMB Bank n.a. now owns 709 shares of the real estate investment trusts stock valued at $44,000 after purchasing an additional 380 shares during the period. Abich Financial Wealth Management LLC increased its stake in shares of Boston Properties by 59.1% in the first quarter. Abich Financial Wealth Management LLC now owns 1,063 shares of the real estate investment trusts stock valued at $69,000 after buying an additional 395 shares during the period. Finally, Northwestern Mutual Wealth Management Co. raised its position in shares of Boston Properties by 61.4% during the second quarter. Northwestern Mutual Wealth Management Co. now owns 1,438 shares of the real estate investment trusts stock worth $89,000 after acquiring an additional 547 shares during the last quarter. Institutional investors and hedge funds own 98.72% of the companys stock. Boston Properties Company Profile (Get Free Report) Boston Properties, Inc (NYSE: BXP) (BXP or the Company) is the largest publicly traded developer, owner, and manager of premier workplaces in the United States, concentrated in six dynamic gateway markets Boston, Los Angeles, New York, San Francisco, Seattle, and Washington, DC. BXP has delivered places that power progress for our clients and communities for more than 50 years. Further Reading Receive News & Ratings for Boston Properties Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Boston Properties and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Advisory Services Inc. boosted its stake in shares of Bristol-Myers Squibb (NYSE:BMY Free Report) by 20.0% in the second quarter, HoldingsChannel reports. The firm owned 25,052 shares of the biopharmaceutical companys stock after purchasing an additional 4,174 shares during the quarter. Meeder Advisory Services Inc.s holdings in Bristol-Myers Squibb were worth $1,040,000 as of its most recent filing with the Securities and Exchange Commission (SEC). A number of other hedge funds and other institutional investors have also added to or reduced their stakes in BMY. Gryphon Financial Partners LLC boosted its position in Bristol-Myers Squibb by 23.5% during the 4th quarter. Gryphon Financial Partners LLC now owns 5,235 shares of the biopharmaceutical companys stock valued at $280,000 after purchasing an additional 995 shares during the period. Fiera Capital Corp acquired a new position in Bristol-Myers Squibb during the 4th quarter worth $508,000. Atlantic Edge Private Wealth Management LLC acquired a new position in Bristol-Myers Squibb during the 4th quarter worth $67,000. Financial Advocates Investment Management boosted its position in Bristol-Myers Squibb by 10.1% during the 4th quarter. Financial Advocates Investment Management now owns 17,184 shares of the biopharmaceutical companys stock worth $882,000 after acquiring an additional 1,576 shares during the period. Finally, Verity Asset Management Inc. boosted its position in Bristol-Myers Squibb by 20.4% during the 4th quarter. Verity Asset Management Inc. now owns 5,897 shares of the biopharmaceutical companys stock worth $303,000 after acquiring an additional 1,000 shares during the period. Institutional investors and hedge funds own 76.41% of the companys stock. Get Bristol-Myers Squibb alerts: Wall Street Analyst Weigh In BMY has been the subject of a number of analyst reports. TD Cowen boosted their price target on Bristol-Myers Squibb from $45.00 to $53.00 and gave the stock a hold rating in a research report on Monday, August 12th. Jefferies Financial Group boosted their price target on Bristol-Myers Squibb from $49.00 to $51.00 and gave the stock a hold rating in a research report on Wednesday, August 28th. Barclays boosted their price target on Bristol-Myers Squibb from $41.00 to $42.00 and gave the stock an underweight rating in a research report on Thursday, August 22nd. Deutsche Bank Aktiengesellschaft dropped their price objective on Bristol-Myers Squibb from $53.00 to $45.00 and set a hold rating on the stock in a research note on Tuesday, July 23rd. Finally, StockNews.com raised Bristol-Myers Squibb from a buy rating to a strong-buy rating in a research note on Monday, July 29th. Two equities research analysts have rated the stock with a sell rating, thirteen have given a hold rating, two have issued a buy rating and one has assigned a strong buy rating to the company. According to MarketBeat, Bristol-Myers Squibb has a consensus rating of Hold and a consensus target price of $54.67. Bristol-Myers Squibb Stock Up 1.8 % Shares of Bristol-Myers Squibb stock opened at $49.13 on Friday. The firm has a market capitalization of $99.61 billion, a PE ratio of -15.85, a price-to-earnings-growth ratio of 12.59 and a beta of 0.46. Bristol-Myers Squibb has a 12-month low of $39.35 and a 12-month high of $60.55. The company has a quick ratio of 1.02, a current ratio of 1.16 and a debt-to-equity ratio of 2.86. The stocks fifty day moving average is $46.79 and its 200-day moving average is $46.53. Bristol-Myers Squibb (NYSE:BMY Get Free Report) last posted its quarterly earnings results on Friday, July 26th. The biopharmaceutical company reported $2.07 earnings per share for the quarter, beating the consensus estimate of $1.64 by $0.43. The firm had revenue of $12.20 billion for the quarter, compared to analyst estimates of $11.54 billion. Bristol-Myers Squibb had a positive return on equity of 12.51% and a negative net margin of 14.06%. Bristol-Myers Squibbs quarterly revenue was up 8.7% on a year-over-year basis. During the same period in the previous year, the firm earned $1.75 earnings per share. Equities analysts anticipate that Bristol-Myers Squibb will post 0.77 earnings per share for the current year. Bristol-Myers Squibb Announces Dividend The firm also recently declared a quarterly dividend, which will be paid on Friday, November 1st. Investors of record on Friday, October 4th will be given a dividend of $0.60 per share. This represents a $2.40 annualized dividend and a yield of 4.88%. The ex-dividend date of this dividend is Friday, October 4th. Bristol-Myers Squibbs payout ratio is -77.42%. Bristol-Myers Squibb Profile (Free Report) Bristol-Myers Squibb Company discovers, develops, licenses, manufactures, markets, distributes, and sells biopharmaceutical products worldwide. It offers products for hematology, oncology, cardiovascular, immunology, fibrotic, and neuroscience diseases. The company's products include Eliquis for reduction in risk of stroke/systemic embolism in non-valvular atrial fibrillation, and for the treatment of DVT/PE; Opdivo for various anti-cancer indications, including bladder, blood, CRC, head and neck, RCC, HCC, lung, melanoma, MPM, stomach and esophageal cancer; Pomalyst/Imnovid for multiple myeloma; Orencia for active rheumatoid arthritis and psoriatic arthritis; and Sprycel for the treatment of Philadelphia chromosome-positive chronic myeloid leukemia. Further Reading Want to see what other hedge funds are holding BMY? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Bristol-Myers Squibb (NYSE:BMY Free Report). Receive News & Ratings for Bristol-Myers Squibb Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bristol-Myers Squibb and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Bank of Montreal (TSE:BMO Get Free Report) (NYSE:BMO) have been given a consensus recommendation of Hold by the thirteen research firms that are currently covering the company, MarketBeat.com reports. Eight equities research analysts have rated the stock with a hold rating and five have assigned a buy rating to the company. The average twelve-month price target among brokers that have covered the stock in the last year is C$120.77. BMO has been the subject of a number of recent research reports. Desjardins reduced their target price on shares of Bank of Montreal from C$125.00 to C$120.00 and set a hold rating for the company in a research report on Wednesday, August 28th. Canaccord Genuity Group decreased their target price on shares of Bank of Montreal from C$135.00 to C$130.00 and set a buy rating on the stock in a research note on Wednesday, August 28th. UBS Group set a C$122.00 price target on Bank of Montreal and gave the company a neutral rating in a research report on Tuesday, July 2nd. Keefe, Bruyette & Woods downgraded Bank of Montreal from a moderate buy rating to a hold rating in a research report on Monday, June 3rd. Finally, TD Securities lowered Bank of Montreal from a buy rating to a hold rating and lowered their price objective for the stock from C$130.00 to C$114.00 in a research note on Wednesday, August 28th. Get Bank of Montreal alerts: Read Our Latest Report on Bank of Montreal Bank of Montreal Stock Performance Bank of Montreal Dividend Announcement TSE BMO opened at C$116.29 on Friday. The business has a fifty day moving average price of C$115.63 and a 200 day moving average price of C$121.56. The company has a market cap of C$84.82 billion, a P/E ratio of 13.38, a P/E/G ratio of 0.54 and a beta of 1.15. Bank of Montreal has a one year low of C$102.67 and a one year high of C$133.95. The business also recently announced a quarterly dividend, which will be paid on Tuesday, November 26th. Shareholders of record on Wednesday, October 30th will be paid a dividend of $1.55 per share. This represents a $6.20 annualized dividend and a yield of 5.33%. The ex-dividend date is Wednesday, October 30th. Bank of Montreals dividend payout ratio (DPR) is presently 71.35%. Insider Buying and Selling at Bank of Montreal In other Bank of Montreal news, Senior Officer Steven Lloyd Tennyson sold 7,908 shares of the businesss stock in a transaction that occurred on Thursday, September 5th. The stock was sold at an average price of C$112.16, for a total value of C$886,977.10. Company insiders own 0.03% of the companys stock. About Bank of Montreal (Get Free Report Bank of Montreal provides diversified financial services primarily in North America. It operates through Canadian P&C, U.S P&C, BMO Wealth Management, and BMO Capital Markets segments. The company's personal banking products and services include deposits, mortgages, home lending, consumer credit, small business lending, credit cards, cash management, financial and investment advice, and other banking services; and commercial banking products and services comprise various of financing options and treasury and payment solutions, as well as risk management products. See Also Receive News & Ratings for Bank of Montreal Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank of Montreal and related companies with MarketBeat.com's FREE daily email newsletter. Camden Property Trust (NYSE:CPT Free Report) had its price objective hoisted by Deutsche Bank Aktiengesellschaft from $90.00 to $115.00 in a report published on Tuesday, Benzinga reports. They currently have a hold rating on the real estate investment trusts stock. Several other equities analysts have also weighed in on CPT. JPMorgan Chase & Co. increased their price target on shares of Camden Property Trust from $104.00 to $112.00 and gave the company a neutral rating in a research report on Monday, June 17th. Scotiabank raised their price target on shares of Camden Property Trust from $116.00 to $125.00 and gave the stock a sector perform rating in a research note on Monday, August 26th. Evercore ISI lifted their price target on shares of Camden Property Trust from $115.00 to $116.00 and gave the stock an in-line rating in a report on Monday, September 9th. Wedbush increased their price objective on Camden Property Trust from $118.00 to $131.00 and gave the company an outperform rating in a report on Monday, August 5th. Finally, Wells Fargo & Company upgraded Camden Property Trust from an underweight rating to an equal weight rating and boosted their target price for the company from $104.00 to $127.00 in a research note on Monday, August 26th. Fourteen investment analysts have rated the stock with a hold rating and five have issued a buy rating to the companys stock. According to MarketBeat.com, Camden Property Trust presently has an average rating of Hold and a consensus price target of $120.25. Get Camden Property Trust alerts: View Our Latest Report on CPT Camden Property Trust Price Performance Camden Property Trust stock opened at $125.60 on Tuesday. Camden Property Trust has a 52 week low of $82.81 and a 52 week high of $127.23. The companys 50 day moving average price is $117.33 and its two-hundred day moving average price is $107.32. The stock has a market cap of $13.39 billion, a P/E ratio of 30.78, a P/E/G ratio of 4.60 and a beta of 0.90. The company has a quick ratio of 0.37, a current ratio of 0.37 and a debt-to-equity ratio of 0.72. Camden Property Trust (NYSE:CPT Get Free Report) last issued its quarterly earnings results on Thursday, August 1st. The real estate investment trust reported $0.40 EPS for the quarter, missing analysts consensus estimates of $1.67 by ($1.27). The business had revenue of $387.15 million for the quarter, compared to the consensus estimate of $384.76 million. Camden Property Trust had a return on equity of 7.98% and a net margin of 25.64%. During the same quarter last year, the business posted $1.70 earnings per share. On average, equities analysts predict that Camden Property Trust will post 6.77 earnings per share for the current year. Hedge Funds Weigh In On Camden Property Trust Several hedge funds have recently bought and sold shares of CPT. Sanctuary Advisors LLC bought a new position in Camden Property Trust in the 2nd quarter valued at $1,257,000. Coronation Fund Managers Ltd. boosted its stake in Camden Property Trust by 52.8% during the second quarter. Coronation Fund Managers Ltd. now owns 93,100 shares of the real estate investment trusts stock valued at $10,158,000 after buying an additional 32,182 shares during the period. Graham Capital Wealth Management LLC purchased a new position in Camden Property Trust during the second quarter worth about $2,541,000. Truist Financial Corp raised its holdings in shares of Camden Property Trust by 3.4% in the 2nd quarter. Truist Financial Corp now owns 18,105 shares of the real estate investment trusts stock valued at $1,975,000 after acquiring an additional 599 shares in the last quarter. Finally, Waterfall Asset Management LLC bought a new stake in shares of Camden Property Trust during the 2nd quarter valued at about $818,000. Hedge funds and other institutional investors own 97.22% of the companys stock. About Camden Property Trust (Get Free Report) Camden Property Trust, an S&P 500 Company, is a real estate company primarily engaged in the ownership, management, development, redevelopment, acquisition, and construction of multifamily apartment communities. Camden owns and operates 172 properties containing 58,250 apartment homes across the United States. Featured Articles Receive News & Ratings for Camden Property Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Camden Property Trust and related companies with MarketBeat.com's FREE daily email newsletter. CTT Correios De Portugal, S.A. (OTCMKTS:CTTPY Get Free Report) reached a new 52-week low during mid-day trading on Thursday . The stock traded as low as $8.02 and last traded at $8.02, with a volume of 0 shares. The stock had previously closed at $8.02. CTT Correios De Portugal Price Performance The companys 50-day moving average is $7.99 and its 200 day moving average is $8.00. The company has a debt-to-equity ratio of 1.69, a current ratio of 0.65 and a quick ratio of 0.65. About CTT Correios De Portugal (Get Free Report) CTT Correios De Portugal, SA, together with its subsidiaries, provides postal and financial services worldwide. It operates through Mail, Express & Parcels, Financial Services & Retail, and Bank segments. The company offers courier and urgent mail transport services; postal financial services; and banking services. Further Reading Receive News & Ratings for CTT - Correios De Portugal Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CTT - Correios De Portugal and related companies with MarketBeat.com's FREE daily email newsletter. Mario Garcia, 8, center, thinks about his answer while reviewing his writing assignment with second grade teacher Victor De La Cerda at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. On the left is Harlan Morales, 8, and on the left is Ady Olsen, 7. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News Second grader Ady Olsen, 7, works on a writing lesson during classes at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News Kindergarten teacher Michaela Zapata goes over a lesson during classes at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News during classes at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News Kindergarten teacher Michaela Zapata goes over a lesson during classes at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News Second grade teacher Victor De La Cerda prepares his students for a writing lesson during classes at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News Second grader Raziel Garcia, 7, works on his writing assignment during classes at Mirabeau B. Lamar Elementary School in San Antonio, Texas, Thursday, May 18, 2023. A proposal on the verge of passage by both the state House and Senate has the potentially to fundamentally transform the way Texas classrooms are run, pulling power toward the Texas Education Agency and away from local school districts. House Bill 1605 would authorize the state to spend hundreds of millions to buy electronic, K-12 curricula that cover the state standards, and then pay school districts bonus funds to adopt them. In other words: the state would offer ready-made lesson plans, and districts could require their teachers to teach them, thus sacrificing their teachers' autonomy over their own classrooms in exchange for extra money and a guarantee that the materials cover the state requirements. Jerry Lara/San Antonio Express-News A proposal on the verge of passage by both the Texas House and Senate has the potential to fundamentally transform the way Texas classrooms are run, pulling power away from teachers and local school districts and giving more to the Texas Education Agency. Traditionally, the state has offered curriculum standards that are basically a list of things students are expected to know for standardized tests. Districts are free to decide what they will teach from that list and how they will do it. House Bill 1605 would authorize the state to approve electronic K-12 curricula that cover the state standards and devote several hundred million dollars annually to pay school districts to use them. In other words, the state would offer ready-made lesson plans, and districts could require their teachers to use them, sacrificing much of the teacher's autonomy in exchange for extra money and a guarantee that the materials cover the state requirements. Advertisement Article continues below this ad School districts wouldn't be mandated to use the new materials, but the extra money is certain to be a powerful incentive for districts around the state struggling with tight budgets and a teacher shortage. Concerns about the bill don't break down along neat political lines. Some Democrats and teachers unions have complained that it ties the hands of teachers, stripping the job of creativity. Proponents argue that the current lesson plans of many schools dont sufficiently cover the state standards, leading to worse test scores. They also say ready-made lesson plans could make overworked teachers' lives easier, as they wouldnt have to both craft a curriculum and then teach it. The measure is said to be a top priority of Texas Education Agency Commissioner Mike Morath, and it's been pushed in the Legislature by the leading education policymakers in the House and Senate. The bill already passed the House by a 105-39 margin, while it passed the Senate 22-8. The two chambers each tweaked the policy slightly, so theyll need to iron out differences before they can send it to Gov. Greg Abbott's desk for final approval. Advertisement Article continues below this ad Critics say the hundreds of millions of dollars for the curricula would be better spent in other ways, such as pay raises for teachers. Others have attacked the measure as a corporate handout, particularly to the curriculum development company Amplify, which received a $19 million emergency state contract during COVID. There are a number of companies besides Amplify that could submit curricula for state approval, and districts could also submit their own. For this reason, inside the Capitol the measure has become known as the Amplify bill," and some oppose this effect of making the education system more technocratic and concentrating more power in the state education agency. A filter for 'woke' educators? While the bill is being pitched to some conservatives as a way to stamp out liberal influences on campus, others say the lesson plans actually open a back door to exactly that. As a whole, the Republican Party likes the idea that this would eliminate those woke teachers with purple hair and the ones who are trying to indoctrinate our kids because it would give them a canned, scripted lesson and it would keep them on the rails, said Lynn Davenport, a conservative education advocate from Dallas who opposes the bill. Advertisement Article continues below this ad Davenport is concerned about the online curricula because anything that lives online can be modified or changed, which she feels makes it nontransparent. You have no idea what they're going to be putting in these canned lessons, she said. They said, Were going to give parents access so they can see if theres that woke stuff in the curriculum. Thats total nonsense, this is the opposite of that. They can change it at any time. Despite that, some conservatives feel the legislation represents a movement away from an old-school, pencil-and-paper approach to schooling, pointing to test scores that dropped during the pandemic when children attended class online from home. Were trying to get kids away from the technology and off the technology which failed us during the pandemic. So why would we then push these bills which amplify, no pun intended, the need for technology devices? Davenport said. The irony is that people on the left created it, but people on the right are pushing it. Advertisement Article continues below this ad In an email, the Coalition for Education Excellence, a group advocating for the policy, disputed the idea that it is designed to introduce more technology into classrooms. "This is not a technology bill. Nothing in this bill is about increasing technology; it is a curriculum bill focused on curriculum and is agnostic to the medium of that curriculum (print vs. online)," the group said in a statement, noting also that school districts could receive funds to print out materials for students to use. In the classroom At a kindergarten classroom in Mirabeau B. Lamar Elementary School in San Antonio, the teacher flashed a grainy image on a smart board of a skinny, older man wearing a black top hat. Who is this? she asked the class. Advertisement Article continues below this ad Thats Abraham Lincoln, a student answered, a little hesitantly. And what do we know about Abraham Lincoln? said Michaela Zapata, the teacher. He ended people telling people what to do, the student said confidently, pausing before adding, a little less confidently, slavery. Thats right, Zapata said. And whats slavery? Like, people in jail? the student responded. Close, Zapata said. Slavery is people being bought and sold, like things. "And is that right?" No, was the response, this time from the class in unison. The lesson, from Amplify, covered "Presidents and American Symbols." The school is part of a pilot program using the Amplify curriculum for reading and one called Eureka for math. Zapata's lesson, as well as several others observed by a reporter, made it clear that teachers are not expected to recite the Amplify lessons like robots. There is room for improvisation and discussion. San Antonio Independent School District, which governs Lamar Elementary, currently pays Amplify for the curriculum. But under HB1605, San Antonio ISD and other districts across the state would instead be paid an extra $40 per student by the state to pay for the lesson plans from companies such as Amplify and Eureka. Before Lamar Elementary adopted the Eureka and Amplify curricula, teachers had been asking for more support with lesson planning, said Brian Sparks, a network director at the school. Teachers would go on Facebook or Pinterest or look through what books and materials were available already at school, trying to patch together a lesson plan that covered the state standards. With Amplify, the goal was to position them more as modifiers or adapters of curriculum, rather than creators, Sparks said. Its hard to get them sometimes at the beginning, Sparks said of convincing teachers initially to use the pre-made lesson plans. A former teacher, Sparks said that he himself would have bucked it at first, feeling my superpower is to source curriculum for my kids. Traditionally, teachers have had more freedom to source material from wherever they like, be it through the districts or finding it online, and then patching it together to create lesson plans that cover the standards. Teachers can access the electronic curricula online, and they can distribute them to students electronically or print them out. Basically, the lesson plans outline the what and when, while teachers are left to fill in the how. Some significant benefits of this approach have become clear, Sparks said: When an outside company does all the planning, it's able to coordinate the reading lessons with social studies or science so that complementary things are being taught at once, covering more ground. Theres also no concern whether the schools curriculum covers all the state standards, and it saves teachers a lot of time. Now, our best teachers are saying this is where we want to go as a system, Sparks said. In one classroom, fifth grade teacher Caroline Arce taught a lesson on the Renaissance. To kick off class, she showed her students a musical number called Welcome to the Renaissance from the stage comedy Something Rotten. The song was set to a slideshow that included historical information on culture, art, science, the feudal system and the crusades. Arce, who is passionate about theater and studied it in college, said she found the video on a teaching Facebook group and realized it fit with the pre-made Amplify lesson. I think some people have this misconception that its a script, and its not, she said. But the rollout hasnt been smooth for all Texas teachers who have taught the Amplify curriculum. 'Teaching to the test' concerns Kristen Harris is a seventh grade English teacher in the Dallas area. Shes in her 12th year of teaching, and her campus began using Amplify for its curriculum last spring. A mantra at the district was to be tier one from day one, she said, meaning to closely follow the proscribed curriculum. The bill before the Legislature currently calls for schools to follow the lessons with fidelity to qualify for the extra funds. As required by the Amplify curriculum, Harris assigned A Raisin in the Sun to her class, a 1959 play by Lorraine Hansberry about a Black family that experiences racism while attempting to buy a home in a white neighborhood. Another teacher complained, saying this book was inappropriate for grade level and potentially CRT-related. A 2021 Texas law restricted teachers from discussing systemic racism in classrooms. The measure is popularly known as a ban of critical race theory, a college-level legal theory used to analyze historical racism that has become a buzzword in conservative circles. Harris said she didnt agree with that complaint because our students are experiencing a lot of the things that are discussed in that play and we shouldn't not teach something because it makes us uncomfortable. But she felt it was problematic that the curriculum the school had paid Amplify for inspired any controversy in the first place. You buy a curriculum, you spend millions of dollars on it, shouldn't it be safe for our kids? Shouldn't it be something that we all agree is good for them, aligned to our standards and everything? Besides those concerns, Harris said she felt her hands were tied by the Amplify curriculum, or at least by her districts insistence that it be followed so closely. The language of the bill could cause this problem to be multiplied through a statewide rollout, she said, and she feels the policy doubles-down on a teaching to the test approach that is not healthy for students. Ultimately, she feels it made her into a worse teacher. Teachers know their students. And teachers know the cultures of their students and what they need and what they're dealing with and facing, how to best reach them, Harris said. Ive never felt so ineffective as I felt this year. My students had so many needs that I could not fulfill because of the way this was done. She is planning on leaving her current job and teaching at another district in the area where the Amplify curriculum is not taught. It steals my joy and makes me feel like Im just a robot or a facilitator. I have a masters degree in the humanities, and I want to bring that to my students and make them love learning, she said. I cant make them love learning if Im facilitating an online curriculum that everyone else in the state of Texas is doing thats not making them richer, but preparing them for a test. Editor's note: This story has been updated to better reflect the type of state contract that Amplify has received, and to correctly identify Caroline Arce as the 5th grade teacher whose classroom the reporter visited. Dai ichi Life Insurance Company Ltd increased its position in shares of The TJX Companies, Inc. (NYSE:TJX Free Report) by 1.3% in the 2nd quarter, Holdings Channel reports. The firm owned 174,190 shares of the apparel and home fashions retailers stock after purchasing an additional 2,183 shares during the period. Dai ichi Life Insurance Company Ltds holdings in TJX Companies were worth $19,178,000 at the end of the most recent reporting period. Other hedge funds and other institutional investors also recently added to or reduced their stakes in the company. Running Oak Capital LLC grew its stake in shares of TJX Companies by 10.9% in the 1st quarter. Running Oak Capital LLC now owns 111,987 shares of the apparel and home fashions retailers stock valued at $11,358,000 after buying an additional 11,036 shares during the period. New Mexico Educational Retirement Board lifted its stake in TJX Companies by 15.9% during the first quarter. New Mexico Educational Retirement Board now owns 69,380 shares of the apparel and home fashions retailers stock worth $7,037,000 after purchasing an additional 9,500 shares in the last quarter. Options Solutions LLC purchased a new stake in shares of TJX Companies in the 4th quarter valued at $726,000. Advisors Capital Management LLC lifted its position in shares of TJX Companies by 3.7% during the first quarter. Advisors Capital Management LLC now owns 572,324 shares of the apparel and home fashions retailers stock worth $58,045,000 after purchasing an additional 20,629 shares in the last quarter. Finally, Evoke Wealth LLC increased its stake in TJX Companies by 55.2% during the 4th quarter. Evoke Wealth LLC now owns 122,100 shares of the apparel and home fashions retailers stock worth $11,454,000 after buying an additional 43,405 shares during the period. Hedge funds and other institutional investors own 91.09% of the companys stock. Get TJX Companies alerts: Insider Buying and Selling In other TJX Companies news, CEO Ernie Herrman sold 15,000 shares of the companys stock in a transaction on Tuesday, September 10th. The shares were sold at an average price of $117.54, for a total transaction of $1,763,100.00. Following the completion of the transaction, the chief executive officer now owns 536,148 shares in the company, valued at approximately $63,018,835.92. The sale was disclosed in a legal filing with the SEC, which can be accessed through this link. Corporate insiders own 0.13% of the companys stock. TJX Companies Trading Up 1.3 % NYSE TJX opened at $119.80 on Friday. The firms fifty day moving average is $114.33 and its two-hundred day moving average is $105.50. The TJX Companies, Inc. has a one year low of $86.71 and a one year high of $121.13. The company has a debt-to-equity ratio of 0.37, a quick ratio of 0.60 and a current ratio of 1.21. The firm has a market cap of $135.12 billion, a PE ratio of 29.73, a P/E/G ratio of 2.99 and a beta of 0.89. TJX Companies (NYSE:TJX Get Free Report) last posted its quarterly earnings data on Wednesday, August 21st. The apparel and home fashions retailer reported $0.96 EPS for the quarter, beating the consensus estimate of $0.92 by $0.04. TJX Companies had a return on equity of 63.19% and a net margin of 8.56%. The firm had revenue of $13.47 billion during the quarter, compared to the consensus estimate of $13.31 billion. During the same period in the prior year, the business earned $0.85 EPS. The firms revenue was up 5.6% compared to the same quarter last year. Analysts expect that The TJX Companies, Inc. will post 4.15 earnings per share for the current year. Analyst Ratings Changes Several equities analysts have commented on TJX shares. Barclays raised their price target on shares of TJX Companies from $114.00 to $136.00 and gave the company an overweight rating in a research report on Thursday, August 22nd. Deutsche Bank Aktiengesellschaft set a $114.00 target price on TJX Companies in a research note on Tuesday, May 21st. Bank of America lifted their price objective on TJX Companies from $115.00 to $135.00 and gave the company a buy rating in a research note on Thursday, August 22nd. Wells Fargo & Company upped their target price on shares of TJX Companies from $100.00 to $115.00 and gave the stock an equal weight rating in a research note on Thursday, August 22nd. Finally, Morgan Stanley lifted their price target on shares of TJX Companies from $122.00 to $129.00 and gave the stock an overweight rating in a research note on Thursday, August 22nd. Four analysts have rated the stock with a hold rating and thirteen have issued a buy rating to the company. According to MarketBeat, TJX Companies currently has an average rating of Moderate Buy and an average target price of $126.76. Get Our Latest Stock Report on TJX Companies TJX Companies Company Profile (Free Report) The TJX Companies, Inc, together with its subsidiaries, operates as an off-price apparel and home fashions retailer in the United States, Canada, Europe, and Australia. It operates through four segments: Marmaxx, HomeGoods, TJX Canada, and TJX International. The company sells family apparel, including footwear and accessories; home fashions, such as home basics, furniture, rugs, lighting products, giftware, soft home products, decorative accessories, tabletop, and cookware, as well as expanded pet, and gourmet food departments; jewelry and accessories; and other merchandise. Read More Want to see what other hedge funds are holding TJX? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The TJX Companies, Inc. (NYSE:TJX Free Report). Receive News & Ratings for TJX Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for TJX Companies and related companies with MarketBeat.com's FREE daily email newsletter. Delta Air Lines (NYSE:DAL Get Free Report) issued an update on its third quarter 2024 earnings guidance on Thursday morning. The company provided EPS guidance of 2.000-2.000 for the period, compared to the consensus EPS estimate of 1.560. The company issued revenue guidance of $14.6 billion-$14.7 billion, compared to the consensus revenue estimate of $14.8 billion. Delta Air Lines also updated its FY 2024 guidance to 6.500- EPS. Delta Air Lines Trading Up 2.1 % Shares of Delta Air Lines stock opened at $45.21 on Friday. The firm has a market cap of $29.18 billion, a price-to-earnings ratio of 6.51, a PEG ratio of 0.89 and a beta of 1.34. The business has a 50-day moving average price of $42.27 and a 200-day moving average price of $46.09. The company has a quick ratio of 0.35, a current ratio of 0.40 and a debt-to-equity ratio of 1.21. Delta Air Lines has a twelve month low of $30.60 and a twelve month high of $53.86. Get Delta Air Lines alerts: Delta Air Lines (NYSE:DAL Get Free Report) last issued its quarterly earnings data on Thursday, July 11th. The transportation company reported $2.36 earnings per share for the quarter, missing analysts consensus estimates of $2.37 by ($0.01). The firm had revenue of $15.41 billion for the quarter, compared to analysts expectations of $15.45 billion. Delta Air Lines had a net margin of 7.46% and a return on equity of 36.02%. The companys revenue for the quarter was up 5.4% on a year-over-year basis. During the same quarter in the previous year, the business earned $2.68 EPS. Equities research analysts anticipate that Delta Air Lines will post 5.99 EPS for the current fiscal year. Delta Air Lines Increases Dividend Wall Street Analyst Weigh In The business also recently declared a quarterly dividend, which was paid on Tuesday, August 20th. Investors of record on Tuesday, July 30th were given a $0.15 dividend. The ex-dividend date of this dividend was Tuesday, July 30th. This represents a $0.60 annualized dividend and a yield of 1.33%. This is a positive change from Delta Air Liness previous quarterly dividend of $0.10. Delta Air Liness dividend payout ratio (DPR) is currently 8.63%. Several analysts have issued reports on the stock. Citigroup raised their price objective on shares of Delta Air Lines from $55.00 to $65.00 and gave the stock a buy rating in a research note on Wednesday, May 29th. Sanford C. Bernstein decreased their price objective on shares of Delta Air Lines from $66.00 to $62.00 and set an outperform rating for the company in a research note on Friday, July 12th. TD Cowen decreased their price target on shares of Delta Air Lines from $61.00 to $59.00 and set a buy rating for the company in a research note on Wednesday, July 17th. Finally, Jefferies Financial Group decreased their price target on shares of Delta Air Lines from $58.00 to $56.00 and set a buy rating for the company in a research note on Friday, June 28th. One investment analyst has rated the stock with a hold rating, eleven have issued a buy rating and one has given a strong buy rating to the companys stock. According to MarketBeat.com, the company currently has a consensus rating of Buy and a consensus target price of $60.70. View Our Latest Report on DAL Insider Buying and Selling In other news, Director Willie Cw Chiang bought 10,000 shares of the firms stock in a transaction that occurred on Friday, July 12th. The stock was purchased at an average price of $43.90 per share, with a total value of $439,000.00. Following the completion of the acquisition, the director now directly owns 20,000 shares of the companys stock, valued at approximately $878,000. The purchase was disclosed in a legal filing with the SEC, which is available through this link. Corporate insiders own 0.96% of the companys stock. Delta Air Lines Company Profile (Get Free Report) Delta Air Lines, Inc provides scheduled air transportation for passengers and cargo in the United States and internationally. The company operates through two segments, Airline and Refinery. Its domestic network centered on core hubs in Atlanta, Minneapolis-St. Paul, Detroit, and Salt Lake City, as well as coastal hub positions in Boston, Los Angeles, New York-LaGuardia, New York-JFK, and Seattle; and international network centered on hubs and market presence in Amsterdam, Bogota, Lima, Mexico City, London-Heathrow, Paris-Charles de Gaulle, Sao Paulo, Seoul-Incheon, and Tokyo. Recommended Stories Receive News & Ratings for Delta Air Lines Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Delta Air Lines and related companies with MarketBeat.com's FREE daily email newsletter. Embraer S.A. (NYSE:ERJ Get Free Report) hit a new 52-week high during trading on Thursday . The stock traded as high as $36.02 and last traded at $36.01, with a volume of 849486 shares. The stock had previously closed at $34.80. Wall Street Analysts Forecast Growth Several equities analysts have issued reports on ERJ shares. StockNews.com downgraded shares of Embraer from a buy rating to a hold rating in a report on Tuesday, July 23rd. UBS Group lifted their price objective on Embraer from $28.50 to $29.00 and gave the stock a neutral rating in a report on Wednesday, July 3rd. Bank of America raised their target price on Embraer from $28.00 to $40.00 and gave the company a buy rating in a research report on Thursday, August 22nd. Finally, TD Cowen upgraded Embraer from a hold rating to a buy rating and boosted their price target for the stock from $26.00 to $41.00 in a research report on Wednesday, September 4th. Three analysts have rated the stock with a hold rating and six have assigned a buy rating to the companys stock. Based on data from MarketBeat, the stock presently has an average rating of Moderate Buy and a consensus target price of $32.50. Get Embraer alerts: Get Our Latest Stock Report on ERJ Embraer Stock Performance The company has a current ratio of 1.50, a quick ratio of 0.66 and a debt-to-equity ratio of 0.81. The firm has a fifty day moving average price of $31.32 and a 200 day moving average price of $27.89. The firm has a market cap of $6.79 billion, a PE ratio of 25.67 and a beta of 1.69. Embraer (NYSE:ERJ Get Free Report) last posted its earnings results on Thursday, August 8th. The aerospace company reported $0.44 earnings per share for the quarter, beating the consensus estimate of $0.24 by $0.20. The company had revenue of $1.49 billion for the quarter, compared to analyst estimates of $1.44 billion. Embraer had a net margin of 6.76% and a return on equity of 5.94%. Embraers revenue was up 15.6% on a year-over-year basis. During the same period in the previous year, the business posted $0.32 EPS. As a group, equities research analysts expect that Embraer S.A. will post 1.49 earnings per share for the current fiscal year. Hedge Funds Weigh In On Embraer Several large investors have recently modified their holdings of ERJ. American Century Companies Inc. raised its holdings in Embraer by 6.4% in the 2nd quarter. American Century Companies Inc. now owns 2,304,245 shares of the aerospace companys stock valued at $59,450,000 after buying an additional 137,695 shares during the period. Renaissance Technologies LLC raised its stake in shares of Embraer by 13.6% in the 2nd quarter. Renaissance Technologies LLC now owns 1,648,800 shares of the aerospace companys stock valued at $42,539,000 after acquiring an additional 197,600 shares during the period. Driehaus Capital Management LLC lifted its stake in Embraer by 102.5% during the second quarter. Driehaus Capital Management LLC now owns 1,439,579 shares of the aerospace companys stock worth $37,141,000 after purchasing an additional 728,592 shares in the last quarter. Allspring Global Investments Holdings LLC lifted its stake in Embraer by 8.0% during the 1st quarter. Allspring Global Investments Holdings LLC now owns 797,646 shares of the aerospace companys stock worth $21,249,000 after acquiring an additional 59,084 shares in the last quarter. Finally, Marshall Wace LLP lifted its position in shares of Embraer by 76.5% during the second quarter. Marshall Wace LLP now owns 712,112 shares of the aerospace companys stock worth $18,372,000 after purchasing an additional 308,729 shares in the last quarter. Institutional investors and hedge funds own 34.65% of the companys stock. About Embraer (Get Free Report) Embraer SA designs, develops, manufactures, and sells aircraft and systems in North America, Latin America, the Asia Pacific, Brazil, Europe, and internationally. The company operates through Commercial Aviation; Defense & Security; Executive Aviation; Services & Support; and Other segments. The Commercial Aviation segment designs, develops, manufactures, and sells commercial jets, as well as leases aircraft. Recommended Stories Receive News & Ratings for Embraer Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Embraer and related companies with MarketBeat.com's FREE daily email newsletter. Guardian Wealth Management Inc. boosted its stake in shares of The Procter & Gamble Company (NYSE:PG Free Report) by 1.0% in the second quarter, according to its most recent disclosure with the Securities & Exchange Commission. The firm owned 14,932 shares of the companys stock after purchasing an additional 149 shares during the quarter. Procter & Gamble comprises 1.9% of Guardian Wealth Management Inc.s portfolio, making the stock its 17th largest holding. Guardian Wealth Management Inc.s holdings in Procter & Gamble were worth $2,463,000 as of its most recent filing with the Securities & Exchange Commission. Other institutional investors also recently modified their holdings of the company. Alera Investment Advisors LLC raised its stake in Procter & Gamble by 1.2% during the first quarter. Alera Investment Advisors LLC now owns 5,007 shares of the companys stock worth $812,000 after acquiring an additional 60 shares in the last quarter. Hudson Value Partners LLC increased its stake in shares of Procter & Gamble by 4.1% in the second quarter. Hudson Value Partners LLC now owns 1,516 shares of the companys stock valued at $250,000 after buying an additional 60 shares during the period. Fiduciary Alliance LLC raised its position in shares of Procter & Gamble by 2.8% during the second quarter. Fiduciary Alliance LLC now owns 2,261 shares of the companys stock worth $371,000 after acquiring an additional 61 shares during the last quarter. LCM Capital Management Inc boosted its holdings in Procter & Gamble by 1.1% in the first quarter. LCM Capital Management Inc now owns 5,701 shares of the companys stock valued at $925,000 after acquiring an additional 63 shares during the last quarter. Finally, Home Federal Bank of Tennessee raised its holdings in Procter & Gamble by 0.3% during the 2nd quarter. Home Federal Bank of Tennessee now owns 23,318 shares of the companys stock worth $3,846,000 after purchasing an additional 63 shares during the last quarter. Institutional investors own 65.77% of the companys stock. Get Procter & Gamble alerts: Procter & Gamble Trading Up 0.4 % Shares of PG stock opened at $174.08 on Friday. The company has a market capitalization of $410.85 billion, a PE ratio of 28.44, a price-to-earnings-growth ratio of 3.77 and a beta of 0.41. The company has a debt-to-equity ratio of 0.51, a quick ratio of 0.53 and a current ratio of 0.73. The businesss fifty day moving average is $169.38 and its 200 day moving average is $165.17. The Procter & Gamble Company has a 52-week low of $141.45 and a 52-week high of $177.94. Procter & Gamble Dividend Announcement Procter & Gamble ( NYSE:PG Get Free Report ) last posted its quarterly earnings data on Tuesday, July 30th. The company reported $1.40 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $1.37 by $0.03. The firm had revenue of $20.53 billion during the quarter, compared to analysts expectations of $20.69 billion. Procter & Gamble had a return on equity of 33.46% and a net margin of 17.71%. The companys revenue for the quarter was down .1% compared to the same quarter last year. During the same period in the prior year, the business earned $1.37 EPS. On average, equities research analysts anticipate that The Procter & Gamble Company will post 6.97 EPS for the current fiscal year. The firm also recently disclosed a quarterly dividend, which was paid on Thursday, August 15th. Stockholders of record on Friday, July 19th were issued a $1.0065 dividend. The ex-dividend date of this dividend was Friday, July 19th. This represents a $4.03 dividend on an annualized basis and a dividend yield of 2.31%. Procter & Gambles payout ratio is 65.85%. Wall Street Analyst Weigh In Several equities analysts have issued reports on PG shares. Citigroup boosted their price target on Procter & Gamble from $177.00 to $190.00 and gave the company a buy rating in a research note on Wednesday, July 10th. Stifel Nicolaus boosted their target price on Procter & Gamble from $157.00 to $161.00 and gave the company a hold rating in a research report on Monday, July 22nd. TD Cowen assumed coverage on Procter & Gamble in a report on Tuesday, July 23rd. They issued a buy rating and a $189.00 price target on the stock. Barclays lowered their target price on Procter & Gamble from $174.00 to $163.00 and set an overweight rating on the stock in a research report on Thursday, August 1st. Finally, BNP Paribas assumed coverage on shares of Procter & Gamble in a report on Monday, June 24th. They set an outperform rating and a $187.00 price objective on the stock. Seven analysts have rated the stock with a hold rating, fourteen have given a buy rating and one has assigned a strong buy rating to the company. According to data from MarketBeat, Procter & Gamble presently has an average rating of Moderate Buy and a consensus target price of $175.79. View Our Latest Report on PG Insider Activity In other news, insider Susan Street Whaley sold 450 shares of the firms stock in a transaction dated Tuesday, August 20th. The stock was sold at an average price of $169.22, for a total transaction of $76,149.00. Following the transaction, the insider now directly owns 13,072 shares of the companys stock, valued at $2,212,043.84. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this link. In other Procter & Gamble news, COO Shailesh Jejurikar sold 10,623 shares of the firms stock in a transaction on Tuesday, August 20th. The shares were sold at an average price of $169.22, for a total value of $1,797,624.06. Following the sale, the chief operating officer now owns 23,890 shares of the companys stock, valued at approximately $4,042,665.80. The sale was disclosed in a legal filing with the SEC, which is available through this hyperlink. Also, insider Susan Street Whaley sold 450 shares of the stock in a transaction dated Tuesday, August 20th. The stock was sold at an average price of $169.22, for a total transaction of $76,149.00. Following the sale, the insider now owns 13,072 shares of the companys stock, valued at $2,212,043.84. The disclosure for this sale can be found here. In the last 90 days, insiders sold 375,553 shares of company stock valued at $63,829,141. Corporate insiders own 0.17% of the companys stock. Procter & Gamble Profile (Free Report) The Procter & Gamble Company provides branded consumer packaged goods worldwide. It operates through five segments: Beauty; Grooming; Health Care; Fabric & Home Care; and Baby, Feminine & Family Care. The Beauty segment offers conditioners, shampoos, styling aids, and treatments under the Head & Shoulders, Herbal Essences, Pantene, and Rejoice brands; and antiperspirants and deodorants, personal cleansing, and skin care products under the Olay, Old Spice, Safeguard, Secret, and SK-II brands. Featured Articles Want to see what other hedge funds are holding PG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Procter & Gamble Company (NYSE:PG Free Report). Receive News & Ratings for Procter & Gamble Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Procter & Gamble and related companies with MarketBeat.com's FREE daily email newsletter. Harmony Gold Mining Company Limited (NYSE:HMY Get Free Report) shares shot up 6.3% during mid-day trading on Thursday . The company traded as high as $9.40 and last traded at $9.34. 1,994,949 shares changed hands during trading, a decline of 60% from the average session volume of 4,959,000 shares. The stock had previously closed at $8.79. Analyst Upgrades and Downgrades Separately, JPMorgan Chase & Co. reduced their target price on Harmony Gold Mining from $5.80 to $4.80 and set an underweight rating on the stock in a research note on Wednesday, July 3rd. Get Harmony Gold Mining alerts: Get Our Latest Stock Report on Harmony Gold Mining Harmony Gold Mining Trading Up 2.9 % Harmony Gold Mining Cuts Dividend The company has a current ratio of 1.56, a quick ratio of 1.07 and a debt-to-equity ratio of 0.04. The firm has a fifty day simple moving average of $9.65 and a 200 day simple moving average of $8.94. The firm also recently disclosed a Semi-Annual dividend, which will be paid on Monday, October 21st. Investors of record on Friday, October 11th will be paid a $0.042 dividend. This represents a yield of 1.2%. The ex-dividend date is Friday, October 11th. Hedge Funds Weigh In On Harmony Gold Mining A number of large investors have recently added to or reduced their stakes in HMY. Van ECK Associates Corp boosted its holdings in shares of Harmony Gold Mining by 30.0% in the 1st quarter. Van ECK Associates Corp now owns 75,016,459 shares of the mining companys stock valued at $612,884,000 after acquiring an additional 17,298,604 shares during the last quarter. Polunin Capital Partners Ltd grew its holdings in Harmony Gold Mining by 10.0% in the 1st quarter. Polunin Capital Partners Ltd now owns 11,083,569 shares of the mining companys stock valued at $90,553,000 after buying an additional 1,005,685 shares in the last quarter. Dimensional Fund Advisors LP raised its position in shares of Harmony Gold Mining by 0.5% during the 4th quarter. Dimensional Fund Advisors LP now owns 8,238,873 shares of the mining companys stock valued at $50,668,000 after buying an additional 42,126 shares during the period. Tidal Investments LLC acquired a new position in Harmony Gold Mining in the first quarter valued at about $59,033,000. Finally, Acadian Asset Management LLC boosted its position in shares of Harmony Gold Mining by 111.5% during the second quarter. Acadian Asset Management LLC now owns 1,853,606 shares of the mining companys stock worth $16,978,000 after buying an additional 977,142 shares during the period. 31.79% of the stock is currently owned by institutional investors. Harmony Gold Mining Company Profile (Get Free Report) Harmony Gold Mining Company Limited engages in the exploration, extraction, and processing of gold. The company explores for uranium, silver, copper, and molybdenum deposits. It has eight underground operations in the Witwatersrand Basin; an open-pit mine on the Kraaipan Greenstone Belt; and various surface source operations in South Africa. Further Reading Receive News & Ratings for Harmony Gold Mining Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Harmony Gold Mining and related companies with MarketBeat.com's FREE daily email newsletter. Portland General Electric (NYSE:POR Get Free Report) SVP Maria Angelica Espinosa sold 2,500 shares of the firms stock in a transaction that occurred on Tuesday, September 10th. The stock was sold at an average price of $48.30, for a total value of $120,750.00. Following the completion of the sale, the senior vice president now owns 14,263 shares of the companys stock, valued at approximately $688,902.90. The sale was disclosed in a document filed with the SEC, which is available at the SEC website. Portland General Electric Trading Up 2.2 % Shares of Portland General Electric stock opened at $48.59 on Friday. The stock has a market capitalization of $5.01 billion, a P/E ratio of 18.62 and a beta of 0.59. Portland General Electric has a 12-month low of $38.01 and a 12-month high of $49.26. The company has a quick ratio of 0.86, a current ratio of 1.00 and a debt-to-equity ratio of 1.33. The company has a 50-day simple moving average of $46.89 and a 200 day simple moving average of $44.05. Get Portland General Electric alerts: Portland General Electric (NYSE:POR Get Free Report) last posted its quarterly earnings data on Friday, July 26th. The utilities provider reported $0.69 earnings per share for the quarter, topping the consensus estimate of $0.62 by $0.07. The company had revenue of $758.00 million during the quarter, compared to analyst estimates of $716.43 million. Portland General Electric had a net margin of 9.24% and a return on equity of 9.15%. The companys revenue was up 17.0% on a year-over-year basis. During the same quarter last year, the business posted $0.44 earnings per share. Research analysts expect that Portland General Electric will post 3.09 earnings per share for the current fiscal year. Portland General Electric Dividend Announcement Institutional Trading of Portland General Electric The firm also recently disclosed a quarterly dividend, which will be paid on Tuesday, October 15th. Shareholders of record on Tuesday, September 24th will be paid a $0.50 dividend. This represents a $2.00 dividend on an annualized basis and a yield of 4.12%. The ex-dividend date of this dividend is Tuesday, September 24th. Portland General Electrics dividend payout ratio is currently 76.63%. Hedge funds and other institutional investors have recently made changes to their positions in the business. Sanctuary Advisors LLC bought a new stake in Portland General Electric during the 2nd quarter worth about $399,000. Dana Investment Advisors Inc. lifted its position in shares of Portland General Electric by 1.6% during the second quarter. Dana Investment Advisors Inc. now owns 120,518 shares of the utilities providers stock worth $5,211,000 after purchasing an additional 1,883 shares in the last quarter. Cetera Investment Advisers grew its stake in shares of Portland General Electric by 6.1% during the second quarter. Cetera Investment Advisers now owns 56,066 shares of the utilities providers stock valued at $2,424,000 after purchasing an additional 3,233 shares during the last quarter. Point72 Asset Management L.P. acquired a new stake in shares of Portland General Electric in the second quarter valued at approximately $7,459,000. Finally, Quarry LP increased its holdings in shares of Portland General Electric by 962.3% in the second quarter. Quarry LP now owns 1,636 shares of the utilities providers stock valued at $71,000 after purchasing an additional 1,482 shares in the last quarter. Wall Street Analysts Forecast Growth A number of equities research analysts have weighed in on POR shares. Barclays lifted their price target on shares of Portland General Electric from $44.00 to $48.00 and gave the stock an overweight rating in a research note on Monday, July 22nd. Bank of America began coverage on shares of Portland General Electric in a research report on Thursday. They set an underperform rating and a $47.00 target price on the stock. KeyCorp raised shares of Portland General Electric from a sector weight rating to an overweight rating in a research report on Monday, July 22nd. Finally, StockNews.com downgraded Portland General Electric from a hold rating to a sell rating in a report on Friday, September 6th. Two research analysts have rated the stock with a sell rating, two have assigned a hold rating and four have given a buy rating to the companys stock. According to data from MarketBeat.com, the company currently has an average rating of Hold and a consensus target price of $45.43. View Our Latest Stock Analysis on POR Portland General Electric Company Profile (Get Free Report) Portland General Electric Company, an integrated electric utility company, engages in the generation, wholesale purchase, transmission, distribution, and retail sale of electricity in the state of Oregon. It operates six thermal plants, three wind farms, and seven hydroelectric facilities. As of December 31, 2023, the company owned an electric transmission system consisting of 1,254 circuit miles, including 287 circuit miles of 500 kilovolt line, 413 circuit miles of 230 kilovolt line, and 554 miles of 115 kilovolt line; and served 934 thousand retail customers in 51 cities. Recommended Stories Receive News & Ratings for Portland General Electric Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Portland General Electric and related companies with MarketBeat.com's FREE daily email newsletter. Inspire Advisors LLC acquired a new position in shares of iShares U.S. Treasury Bond ETF (BATS:GOVT Free Report) in the 2nd quarter, according to its most recent disclosure with the Securities and Exchange Commission. The institutional investor acquired 44,821 shares of the companys stock, valued at approximately $1,012,000. Other hedge funds and other institutional investors have also bought and sold shares of the company. Envestnet Asset Management Inc. boosted its holdings in iShares U.S. Treasury Bond ETF by 14.4% during the second quarter. Envestnet Asset Management Inc. now owns 57,384,743 shares of the companys stock valued at $1,295,174,000 after acquiring an additional 7,203,276 shares during the period. LPL Financial LLC boosted its stake in iShares U.S. Treasury Bond ETF by 6.6% during the 2nd quarter. LPL Financial LLC now owns 104,237,657 shares of the companys stock valued at $2,352,644,000 after purchasing an additional 6,443,351 shares during the period. Morningstar Investment Management LLC acquired a new stake in iShares U.S. Treasury Bond ETF in the 4th quarter valued at approximately $144,757,000. School Employees Retirement Board of Ohio acquired a new stake in iShares U.S. Treasury Bond ETF in the 2nd quarter valued at approximately $84,018,000. Finally, Cetera Investment Advisers increased its position in iShares U.S. Treasury Bond ETF by 581.8% in the 1st quarter. Cetera Investment Advisers now owns 3,177,425 shares of the companys stock worth $72,350,000 after buying an additional 2,711,371 shares during the period. Get iShares U.S. Treasury Bond ETF alerts: iShares U.S. Treasury Bond ETF Price Performance GOVT opened at $23.60 on Friday. The company has a fifty day simple moving average of $23.12 and a 200 day simple moving average of $22.71. iShares U.S. Treasury Bond ETF Increases Dividend iShares U.S. Treasury Bond ETF Profile The business also recently declared a dividend, which was paid on Friday, September 6th. Shareholders of record on Tuesday, September 3rd were given a $0.0629 dividend. This is a positive change from iShares U.S. Treasury Bond ETFs previous dividend of $0.06. The ex-dividend date was Tuesday, September 3rd. (Free Report) The iShares U.S. Treasury Bond ETF (GOVT) is an exchange-traded fund that is based on the ICE U.S. Treasury Core Bond index. The fund tracks a market-weighted index of fixed-rate nonconvertible U.S. Treasury securities with a remaining maturity of one year or more. GOVT was launched on Feb 14, 2012 and is managed by BlackRock. Read More Want to see what other hedge funds are holding GOVT? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares U.S. Treasury Bond ETF (BATS:GOVT Free Report). Receive News & Ratings for iShares U.S. Treasury Bond ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares U.S. Treasury Bond ETF and related companies with MarketBeat.com's FREE daily email newsletter. Shares of iShares MSCI Pacific ex Japan ETF (NYSEARCA:EPP Get Free Report) hit a new 52-week high during trading on Thursday . The stock traded as high as $45.99 and last traded at $45.97, with a volume of 92267 shares traded. The stock had previously closed at $45.35. iShares MSCI Pacific ex Japan ETF Stock Performance The firms 50-day moving average is $44.18 and its 200 day moving average is $43.42. Get iShares MSCI Pacific ex Japan ETF alerts: Institutional Investors Weigh In On iShares MSCI Pacific ex Japan ETF Institutional investors and hedge funds have recently added to or reduced their stakes in the company. Resolute Advisors LLC lifted its stake in shares of iShares MSCI Pacific ex Japan ETF by 1.6% in the 2nd quarter. Resolute Advisors LLC now owns 20,321 shares of the companys stock valued at $874,000 after purchasing an additional 329 shares in the last quarter. Stelac Advisory Services LLC raised its holdings in iShares MSCI Pacific ex Japan ETF by 4.5% in the second quarter. Stelac Advisory Services LLC now owns 41,926 shares of the companys stock valued at $1,803,000 after buying an additional 1,797 shares during the period. Massmutual Trust Co. FSB ADV raised its holdings in iShares MSCI Pacific ex Japan ETF by 19.4% in the first quarter. Massmutual Trust Co. FSB ADV now owns 18,907 shares of the companys stock valued at $809,000 after buying an additional 3,076 shares during the period. Rathbones Group PLC lifted its position in iShares MSCI Pacific ex Japan ETF by 6.3% during the second quarter. Rathbones Group PLC now owns 98,323 shares of the companys stock valued at $4,228,000 after buying an additional 5,844 shares in the last quarter. Finally, Cambridge Investment Research Advisors Inc. grew its stake in iShares MSCI Pacific ex Japan ETF by 13.0% during the second quarter. Cambridge Investment Research Advisors Inc. now owns 163,100 shares of the companys stock worth $7,013,000 after buying an additional 18,781 shares during the period. 74.75% of the stock is currently owned by institutional investors and hedge funds. iShares MSCI Pacific ex Japan ETF Company Profile iShares MSCI Pacific ex Japan ET (the Fund), formerly iShares MSCI Pacific ex-Japan Index Fund, is an exchange-traded fund (ETF). The Fund seeks to provide investment results that correspond generally to the price and yield performance of publicly traded securities in the aggregate in the Australia, Hong Kong, New Zealand and Singapore markets, as measured by the MSCI Pacific ex-Japan Index (the Index). See Also Receive News & Ratings for iShares MSCI Pacific ex Japan ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI Pacific ex Japan ETF and related companies with MarketBeat.com's FREE daily email newsletter. iShares S&P/TSX 60 Index ETF (TSE:XIU Get Free Report) shares reached a new 52-week high during trading on Thursday . The stock traded as high as C$35.61 and last traded at C$35.60, with a volume of 1388512 shares. The stock had previously closed at C$35.29. iShares S&P/TSX 60 Index ETF Stock Up 0.3 % The companys fifty day moving average is C$34.62 and its two-hundred day moving average is C$33.77. Get iShares S&P/TSX 60 Index ETF alerts: iShares S&P/TSX 60 Index ETF Increases Dividend The firm also recently announced a quarterly dividend, which was paid on Friday, August 30th. Stockholders of record on Tuesday, August 27th were given a dividend of $0.266 per share. This represents a $1.06 annualized dividend and a dividend yield of 2.97%. The ex-dividend date was Tuesday, August 27th. This is a positive change from iShares S&P/TSX 60 Index ETFs previous quarterly dividend of $0.26. About iShares S&P/TSX 60 Index ETF iShares S&P/TSX 60 Index Fund seeks to provide long-term capital growth by replicating, to the extent possible, the performance of the S&P/TSX 60 Index through investments in the constituent issuers of such index, net of expenses. The Index is comprised of 60 of the largest (by market capitalization) and liquid securities listed on the Toronto Stock Exchange (TSX), selected by Standard & Poors (S&P) using its industrial classifications and guidelines for evaluating issuer capitalization, liquidity and fundamentals. Featured Articles Receive News & Ratings for iShares S&P/TSX 60 Index ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares S&P/TSX 60 Index ETF and related companies with MarketBeat.com's FREE daily email newsletter. Leelyn Smith LLC increased its position in shares of 3M (NYSE:MMM Free Report) by 6.8% in the second quarter, according to the company in its most recent filing with the Securities and Exchange Commission. The firm owned 21,236 shares of the conglomerates stock after purchasing an additional 1,349 shares during the period. Leelyn Smith LLCs holdings in 3M were worth $2,170,000 at the end of the most recent quarter. A number of other large investors have also recently made changes to their positions in MMM. Tributary Capital Management LLC purchased a new stake in 3M in the 1st quarter valued at about $25,000. Financial Synergies Wealth Advisors Inc. boosted its position in 3M by 419.1% in the 1st quarter. Financial Synergies Wealth Advisors Inc. now owns 244 shares of the conglomerates stock valued at $26,000 after buying an additional 197 shares during the period. MFA Wealth Advisors LLC purchased a new stake in 3M in the 2nd quarter valued at about $28,000. Meeder Asset Management Inc. boosted its position in 3M by 689.7% in the 2nd quarter. Meeder Asset Management Inc. now owns 308 shares of the conglomerates stock valued at $31,000 after buying an additional 269 shares during the period. Finally, Northwest Investment Counselors LLC purchased a new stake in 3M in the 1st quarter valued at about $32,000. 65.25% of the stock is owned by hedge funds and other institutional investors. Get 3M alerts: Analyst Upgrades and Downgrades Several equities research analysts have recently weighed in on MMM shares. Wells Fargo & Company upped their price target on shares of 3M from $100.00 to $110.00 and gave the stock an equal weight rating in a research report on Wednesday, July 10th. Bank of America upped their price target on shares of 3M from $120.00 to $143.00 and gave the stock a buy rating in a research report on Monday, July 29th. Barclays upped their price target on shares of 3M from $120.00 to $145.00 and gave the stock an overweight rating in a research report on Monday, July 29th. Citigroup upped their price target on shares of 3M from $100.00 to $133.00 and gave the stock a neutral rating in a research report on Monday, July 29th. Finally, Morgan Stanley started coverage on shares of 3M in a research report on Friday, September 6th. They issued an underweight rating and a $125.00 price target for the company. Two research analysts have rated the stock with a sell rating, five have issued a hold rating and eight have assigned a buy rating to the stock. According to data from MarketBeat, the company has a consensus rating of Hold and a consensus price target of $124.43. Insiders Place Their Bets In other 3M news, Chairman Michael F. Roman sold 5,675 shares of the firms stock in a transaction on Wednesday, August 7th. The shares were sold at an average price of $123.46, for a total value of $700,635.50. Following the completion of the transaction, the chairman now owns 174,036 shares of the companys stock, valued at $21,486,484.56. The transaction was disclosed in a filing with the SEC, which is available at this link. In other news, Chairman Michael F. Roman sold 5,675 shares of the stock in a transaction on Wednesday, August 7th. The shares were sold at an average price of $123.46, for a total value of $700,635.50. Following the sale, the chairman now owns 174,036 shares in the company, valued at $21,486,484.56. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this hyperlink. Also, CFO Theresa E. Reinseth sold 2,100 shares of the stock in a transaction on Tuesday, August 6th. The stock was sold at an average price of $124.12, for a total transaction of $260,652.00. Following the completion of the sale, the chief financial officer now owns 4,364 shares in the company, valued at approximately $541,659.68. The disclosure for this sale can be found here. Corporate insiders own 0.34% of the companys stock. 3M Trading Up 0.9 % NYSE:MMM opened at $133.18 on Friday. 3M has a 52 week low of $71.12 and a 52 week high of $134.99. The firm has a 50 day moving average of $121.42 and a 200 day moving average of $106.34. The company has a quick ratio of 1.08, a current ratio of 1.36 and a debt-to-equity ratio of 2.95. The stock has a market capitalization of $73.16 billion, a price-to-earnings ratio of -10.47, a PEG ratio of 2.41 and a beta of 0.95. 3M (NYSE:MMM Get Free Report) last posted its quarterly earnings results on Friday, July 26th. The conglomerate reported $1.93 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $1.68 by $0.25. The business had revenue of $6.26 billion during the quarter, compared to analysts expectations of $5.85 billion. 3M had a net margin of 3.08% and a return on equity of 112.92%. During the same quarter last year, the company posted $2.17 earnings per share. As a group, sell-side analysts anticipate that 3M will post 7.22 earnings per share for the current fiscal year. 3M Announces Dividend The business also recently declared a quarterly dividend, which was paid on Thursday, September 12th. Shareholders of record on Monday, August 26th were issued a $0.70 dividend. The ex-dividend date of this dividend was Monday, August 26th. This represents a $2.80 dividend on an annualized basis and a dividend yield of 2.10%. 3Ms dividend payout ratio is currently -22.01%. 3M Company Profile (Free Report) 3M Company provides diversified technology services in the United States and internationally. The company's Safety and Industrial segment offers industrial abrasives and finishing for metalworking applications; autobody repair solutions; closure systems for personal hygiene products, masking, and packaging materials; electrical products and materials for construction and maintenance, power distribution, and electrical original equipment manufacturers; structural adhesives and tapes; respiratory, hearing, eye, and fall protection solutions; and natural and color-coated mineral granules for shingles. Featured Stories Receive News & Ratings for 3M Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for 3M and related companies with MarketBeat.com's FREE daily email newsletter. Texas state Rep. James Talarico speaks on the floor of the Texas House of Representatives on May 24, 2021, in Austin, Texas. Submitted photo via RNS Students are shown during a class at Thompson Elementary School, 6121 Tierwester St., Thursday, April 25, 2024, in Houston. Melissa Phillip/Staff Photographer A state legislator on Monday suggested the Texas Education Agency removed references to Islam and bolstered mentions of Christianity in a new state-written curriculum that public schools could be incentivized to use in their classrooms. In a House Public Education Committee hearing, state Rep. James Talarico said the materials presented Bible stories as straightforward facts that could confuse young kids or alienate children of non-Christian faiths. He noted that a previous definition in state-approved curricula for the word messiah described it as a savior predicted by ancient Hebrews and believed by Christians to be Jesus, while the new lesson plans say Messiah is a savior sent by God. Advertisement Article continues below this ad I can tell you theres a difference between teaching and preaching. In my reading, they are preaching, said Talarico, an Austin Democrat who used to be a public school teacher and is now studying to become a Christian pastor. Under federal law, schools can teach the Bible as an academic text, but not in a devotional way. In other words, public schools are not Sunday schools, he added. The Texas Education Agency drafted the new teaching materials under a state law adopted last year that passed with bipartisan support amid concerns that teachers arent given enough support to plan lessons. The agencys commissioner, Mike Morath, told committee members the state lessons focused on teaching children to read, and the references to Christianity were only included to provide additional vocabulary and context for students, rather than to proselytize. Initial data shows that students who piloted curriculum similar to the agencys new K-5 Reading & Language Arts lesson plans, which were first published in May, have performed better on state standardized tests than other students, Morath said. According to figures provided by TEA to Hearst Newspapers earlier this year, around 10% of the reading and language arts lessons include religious source material, not including Greek or Roman mythological deities or Native American religions. Examples include the role of Jesus in articulating the Golden Rule or the influence of the teachings of the Bible on Martin Luther King Jr. Advertisement Article continues below this ad Morath appeared before the committee on Monday to discuss the implementation of the curriculum bill and to update lawmakers on school enrollment, funding and other issues. His public defense of the lesson plans came as the State Board of Education is set to weigh in on them. Under the law, if the board approves the agencys lesson plans as high quality instructional materials, schools will be given extra state funding when they use them. The SBOE will hold public hearings about the lesson plans at its meeting next month and will vote on them later this year. Sitting alongside Morath on Monday was SBOE Chair Aaron Kinsey, a Midland Republican, who spoke about the need for high quality instructional materials and thanked Morath for his work. The TEA lesson plans are likely to provoke intense debate at the board. The Texas Freedom Network, a left-leaning watchdog group that frequently advocates at the SBOE, announced last week it had commissioned a study that found the lesson plans violates religious freedom of Texas public school students. Teachers unions have opposed the law empowering the state-written lesson plans, warning it could restrict the creativity of good teachers and give too much power to TEA. Advertisement Article continues below this ad Just days before the state agency published the plans in May, the Texas GOP included in its platform a policy that would require the states public schools to provide Bible lessons. Some Republicans on the Texas House committee on Monday applauded the references to Christianity. LWM Advisory Services LLC purchased a new position in Lazard, Inc. (NYSE:LAZ Free Report) in the second quarter, according to its most recent filing with the Securities & Exchange Commission. The firm purchased 2,316 shares of the asset managers stock, valued at approximately $88,000. Other hedge funds and other institutional investors have also recently bought and sold shares of the company. Continuum Advisory LLC lifted its position in Lazard by 58.9% in the 2nd quarter. Continuum Advisory LLC now owns 890 shares of the asset managers stock valued at $34,000 after purchasing an additional 330 shares during the last quarter. Treasurer of the State of North Carolina increased its position in Lazard by 1.0% in the 4th quarter. Treasurer of the State of North Carolina now owns 48,534 shares of the asset managers stock valued at $1,689,000 after acquiring an additional 470 shares during the period. Allspring Global Investments Holdings LLC acquired a new position in Lazard during the 1st quarter worth $25,000. nVerses Capital LLC grew its stake in shares of Lazard by 22.2% in the second quarter. nVerses Capital LLC now owns 4,400 shares of the asset managers stock worth $168,000 after purchasing an additional 800 shares in the last quarter. Finally, O Keefe Stevens Advisory Inc. increased its holdings in shares of Lazard by 0.4% during the first quarter. O Keefe Stevens Advisory Inc. now owns 204,499 shares of the asset managers stock valued at $8,562,000 after purchasing an additional 864 shares during the period. 54.80% of the stock is owned by institutional investors. Get Lazard alerts: Analyst Ratings Changes A number of equities analysts recently issued reports on LAZ shares. StockNews.com upgraded shares of Lazard from a hold rating to a buy rating in a research report on Friday, July 26th. Morgan Stanley raised their price objective on shares of Lazard from $53.00 to $56.00 and gave the company an overweight rating in a research note on Tuesday, July 23rd. The Goldman Sachs Group upped their target price on Lazard from $38.00 to $42.00 and gave the stock a sell rating in a research report on Friday, July 26th. Keefe, Bruyette & Woods reiterated an outperform rating and set a $56.00 price target (up from $49.00) on shares of Lazard in a research report on Friday, July 26th. Finally, Wells Fargo & Company initiated coverage on Lazard in a report on Thursday. They issued an equal weight rating and a $51.00 price objective for the company. One research analyst has rated the stock with a sell rating, two have given a hold rating and five have given a buy rating to the company. Based on data from MarketBeat, the stock has an average rating of Moderate Buy and a consensus target price of $48.71. Insider Activity In related news, Chairman Kenneth M. Jacobs sold 207,000 shares of the firms stock in a transaction that occurred on Tuesday, July 16th. The shares were sold at an average price of $45.23, for a total value of $9,362,610.00. Following the completion of the transaction, the chairman now owns 1,729,722 shares of the companys stock, valued at approximately $78,235,326.06. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. In other Lazard news, Chairman Kenneth M. Jacobs sold 207,000 shares of the firms stock in a transaction dated Tuesday, July 16th. The stock was sold at an average price of $45.23, for a total transaction of $9,362,610.00. Following the completion of the sale, the chairman now directly owns 1,729,722 shares in the company, valued at approximately $78,235,326.06. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink. Also, Chairman Kenneth M. Jacobs sold 1,999 shares of the companys stock in a transaction dated Friday, August 23rd. The shares were sold at an average price of $50.01, for a total value of $99,969.99. Following the completion of the transaction, the chairman now owns 1,727,723 shares in the company, valued at approximately $86,403,427.23. The disclosure for this sale can be found here. Insiders have sold 429,604 shares of company stock worth $19,873,736 over the last 90 days. 3.86% of the stock is owned by company insiders. Lazard Price Performance NYSE LAZ opened at $47.78 on Friday. The stock has a market capitalization of $5.39 billion, a P/E ratio of -136.51 and a beta of 1.39. The company has a debt-to-equity ratio of 3.60, a quick ratio of 1.94 and a current ratio of 1.94. The businesss fifty day moving average is $46.13 and its two-hundred day moving average is $41.62. Lazard, Inc. has a 1 year low of $25.82 and a 1 year high of $50.26. Lazard (NYSE:LAZ Get Free Report) last announced its earnings results on Thursday, July 25th. The asset manager reported $0.52 EPS for the quarter, beating the consensus estimate of $0.35 by $0.17. The business had revenue of $685.30 million during the quarter, compared to the consensus estimate of $625.40 million. Lazard had a return on equity of 41.78% and a net margin of 5.50%. Lazards revenue was up 6.6% compared to the same quarter last year. During the same period in the prior year, the business posted $0.24 earnings per share. On average, research analysts forecast that Lazard, Inc. will post 2.44 earnings per share for the current fiscal year. Lazard Dividend Announcement The company also recently announced a quarterly dividend, which was paid on Friday, August 16th. Stockholders of record on Monday, August 5th were given a $0.50 dividend. This represents a $2.00 annualized dividend and a dividend yield of 4.19%. The ex-dividend date of this dividend was Monday, August 5th. Lazards dividend payout ratio (DPR) is currently -571.41%. Lazard Profile (Free Report) Lazard, Inc, together with its subsidiaries, operates as a financial advisory and asset management firm in North and South America, Europe, the Middle East, Asia, and Australia. It operates in two segments, Financial Advisory and Asset Management. The Financial Advisory segment offers financial advisory services, such as mergers and acquisitions, capital markets, shareholder, sovereign, geopolitical advisory, and other strategic advisory services, as well as restructuring and liability management, and capital raising and placement services. Read More Receive News & Ratings for Lazard Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Lazard and related companies with MarketBeat.com's FREE daily email newsletter. Main Street Financial Solutions LLC cut its stake in shares of EOG Resources, Inc. (NYSE:EOG Free Report) by 1.9% during the second quarter, according to the company in its most recent filing with the Securities & Exchange Commission. The firm owned 5,261 shares of the energy exploration companys stock after selling 101 shares during the quarter. Main Street Financial Solutions LLCs holdings in EOG Resources were worth $662,000 at the end of the most recent quarter. A number of other institutional investors and hedge funds have also made changes to their positions in EOG. Capital World Investors grew its stake in shares of EOG Resources by 59.2% during the 4th quarter. Capital World Investors now owns 25,420,916 shares of the energy exploration companys stock worth $3,074,660,000 after purchasing an additional 9,455,621 shares during the period. DZ BANK AG Deutsche Zentral Genossenschafts Bank Frankfurt am Main grew its stake in shares of EOG Resources by 26.3% during the 4th quarter. DZ BANK AG Deutsche Zentral Genossenschafts Bank Frankfurt am Main now owns 4,948,119 shares of the energy exploration companys stock worth $598,475,000 after purchasing an additional 1,029,727 shares during the period. Capital International Investors grew its stake in shares of EOG Resources by 7.1% during the 1st quarter. Capital International Investors now owns 11,564,463 shares of the energy exploration companys stock worth $1,478,401,000 after purchasing an additional 766,056 shares during the period. Capital Research Global Investors grew its stake in EOG Resources by 1.3% in the 1st quarter. Capital Research Global Investors now owns 28,889,715 shares of the energy exploration companys stock valued at $3,693,261,000 after acquiring an additional 373,365 shares during the last quarter. Finally, National Bank of Canada FI grew its stake in EOG Resources by 237.9% in the 2nd quarter. National Bank of Canada FI now owns 487,920 shares of the energy exploration companys stock valued at $61,741,000 after acquiring an additional 343,527 shares during the last quarter. 89.91% of the stock is owned by institutional investors and hedge funds. Get EOG Resources alerts: Analyst Upgrades and Downgrades A number of equities research analysts recently commented on EOG shares. Susquehanna lowered their price objective on shares of EOG Resources from $159.00 to $158.00 and set a positive rating on the stock in a research note on Wednesday, September 4th. Wolfe Research started coverage on shares of EOG Resources in a research note on Thursday, July 18th. They issued a peer perform rating on the stock. UBS Group increased their price objective on shares of EOG Resources from $166.00 to $167.00 and gave the company a buy rating in a research note on Tuesday, August 20th. Citigroup increased their price objective on shares of EOG Resources from $124.00 to $130.00 and gave the company a neutral rating in a research note on Friday, May 24th. Finally, StockNews.com raised shares of EOG Resources from a hold rating to a buy rating in a research note on Monday, August 5th. Thirteen equities research analysts have rated the stock with a hold rating, eight have given a buy rating and one has assigned a strong buy rating to the stock. Based on data from MarketBeat.com, the stock presently has an average rating of Hold and a consensus target price of $143.63. EOG Resources Trading Up 1.0 % NYSE EOG opened at $119.37 on Friday. EOG Resources, Inc. has a one year low of $108.94 and a one year high of $139.67. The companys 50 day moving average price is $125.93 and its 200 day moving average price is $126.17. The company has a debt-to-equity ratio of 0.11, a quick ratio of 1.89 and a current ratio of 2.12. The firm has a market capitalization of $67.87 billion, a price-to-earnings ratio of 9.43, a PEG ratio of 2.95 and a beta of 1.28. EOG Resources (NYSE:EOG Get Free Report) last issued its quarterly earnings data on Thursday, August 1st. The energy exploration company reported $3.16 earnings per share (EPS) for the quarter, beating the consensus estimate of $2.98 by $0.18. EOG Resources had a net margin of 30.33% and a return on equity of 25.42%. The company had revenue of $6.03 billion during the quarter, compared to the consensus estimate of $6.07 billion. During the same quarter last year, the company earned $2.49 earnings per share. EOG Resourcess revenue for the quarter was up 8.1% on a year-over-year basis. On average, sell-side analysts predict that EOG Resources, Inc. will post 12.2 EPS for the current year. EOG Resources Dividend Announcement The company also recently disclosed a quarterly dividend, which will be paid on Thursday, October 31st. Shareholders of record on Thursday, October 17th will be given a $0.91 dividend. This represents a $3.64 annualized dividend and a yield of 3.05%. The ex-dividend date is Thursday, October 17th. EOG Resourcess dividend payout ratio (DPR) is 28.75%. Insider Buying and Selling at EOG Resources In other news, COO Jeffrey R. Leitzell sold 4,000 shares of the firms stock in a transaction that occurred on Friday, August 9th. The shares were sold at an average price of $126.48, for a total transaction of $505,920.00. Following the completion of the sale, the chief operating officer now owns 35,058 shares of the companys stock, valued at $4,434,135.84. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this link. In other EOG Resources news, Director Charles R. Crisp sold 6,500 shares of EOG Resources stock in a transaction on Wednesday, August 21st. The shares were sold at an average price of $125.60, for a total value of $816,400.00. Following the completion of the transaction, the director now owns 60,892 shares of the companys stock, valued at $7,648,035.20. The transaction was disclosed in a filing with the SEC, which is accessible through the SEC website. Also, COO Jeffrey R. Leitzell sold 4,000 shares of EOG Resources stock in a transaction on Friday, August 9th. The stock was sold at an average price of $126.48, for a total transaction of $505,920.00. Following the completion of the transaction, the chief operating officer now directly owns 35,058 shares of the companys stock, valued at approximately $4,434,135.84. The disclosure for this sale can be found here. Insiders sold 21,537 shares of company stock valued at $2,751,612 over the last 90 days. 0.30% of the stock is owned by corporate insiders. EOG Resources Profile (Free Report) EOG Resources, Inc, together with its subsidiaries, explores for, develops, produces, and markets crude oil, natural gas liquids, and natural gas primarily in producing basins in the United States, the Republic of Trinidad and Tobago and internationally. The company was formerly known as Enron Oil & Gas Company. See Also Receive News & Ratings for EOG Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for EOG Resources and related companies with MarketBeat.com's FREE daily email newsletter. MainStay MacKay DefinedTerm Municipal Opportunities Fund (NYSE:MMD Get Free Report) announced a monthly dividend on Monday, July 1st, Wall Street Journal reports. Investors of record on Monday, September 16th will be paid a dividend of 0.06 per share by the closed-end fund on Monday, September 30th. This represents a $0.72 annualized dividend and a yield of 4.25%. The ex-dividend date of this dividend is Monday, September 16th. MainStay MacKay DefinedTerm Municipal Opportunities Fund Stock Performance Shares of NYSE MMD opened at $16.93 on Friday. The companys 50-day simple moving average is $16.70 and its 200-day simple moving average is $16.45. MainStay MacKay DefinedTerm Municipal Opportunities Fund has a 52-week low of $14.30 and a 52-week high of $17.00. Get MainStay MacKay DefinedTerm Municipal Opportunities Fund alerts: MainStay MacKay DefinedTerm Municipal Opportunities Fund Company Profile (Get Free Report) Recommended Stories MainStay DefinedTerm Municipal Opportunities Fund is a closed-ended fixed income mutual fund launched and managed by New York Life Investment Management LLC. The fund is co-managed by MacKay Shields LLC. It invests in the fixed income markets of the United States. The fund primarily invests in investment grade municipal bonds which are exempt from regular U.S. Receive News & Ratings for MainStay MacKay DefinedTerm Municipal Opportunities Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for MainStay MacKay DefinedTerm Municipal Opportunities Fund and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Advisory Services Inc. boosted its position in shares of EOG Resources, Inc. (NYSE:EOG Free Report) by 30.0% in the second quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The institutional investor owned 12,320 shares of the energy exploration companys stock after purchasing an additional 2,844 shares during the period. Meeder Advisory Services Inc.s holdings in EOG Resources were worth $1,551,000 at the end of the most recent reporting period. A number of other large investors have also recently added to or reduced their stakes in EOG. Capital World Investors increased its holdings in EOG Resources by 59.2% during the 4th quarter. Capital World Investors now owns 25,420,916 shares of the energy exploration companys stock worth $3,074,660,000 after purchasing an additional 9,455,621 shares in the last quarter. DZ BANK AG Deutsche Zentral Genossenschafts Bank Frankfurt am Main grew its holdings in shares of EOG Resources by 26.3% in the 4th quarter. DZ BANK AG Deutsche Zentral Genossenschafts Bank Frankfurt am Main now owns 4,948,119 shares of the energy exploration companys stock valued at $598,475,000 after acquiring an additional 1,029,727 shares in the last quarter. Capital International Investors grew its holdings in shares of EOG Resources by 7.1% in the 1st quarter. Capital International Investors now owns 11,564,463 shares of the energy exploration companys stock valued at $1,478,401,000 after acquiring an additional 766,056 shares in the last quarter. Capital Research Global Investors grew its holdings in shares of EOG Resources by 1.3% in the 1st quarter. Capital Research Global Investors now owns 28,889,715 shares of the energy exploration companys stock valued at $3,693,261,000 after acquiring an additional 373,365 shares in the last quarter. Finally, National Bank of Canada FI grew its holdings in shares of EOG Resources by 237.9% in the 2nd quarter. National Bank of Canada FI now owns 487,920 shares of the energy exploration companys stock valued at $61,741,000 after acquiring an additional 343,527 shares in the last quarter. Institutional investors own 89.91% of the companys stock. Get EOG Resources alerts: Wall Street Analyst Weigh In Several equities analysts have recently weighed in on the company. JPMorgan Chase & Co. decreased their price objective on EOG Resources from $143.00 to $135.00 and set a neutral rating for the company in a report on Thursday. StockNews.com upgraded EOG Resources from a hold rating to a buy rating in a report on Monday, August 5th. Bank of America reiterated a buy rating and set a $151.00 price target on shares of EOG Resources in a report on Friday, June 14th. Royal Bank of Canada reiterated a sector perform rating and set a $147.00 price target on shares of EOG Resources in a report on Wednesday, July 10th. Finally, Wolfe Research initiated coverage on EOG Resources in a report on Thursday, July 18th. They set a peer perform rating for the company. Thirteen equities research analysts have rated the stock with a hold rating, eight have issued a buy rating and one has given a strong buy rating to the company. Based on data from MarketBeat.com, the stock has a consensus rating of Hold and a consensus target price of $143.63. EOG Resources Stock Performance Shares of NYSE:EOG opened at $119.37 on Friday. The companys 50 day simple moving average is $125.93 and its 200 day simple moving average is $126.17. The stock has a market capitalization of $67.87 billion, a price-to-earnings ratio of 9.43, a price-to-earnings-growth ratio of 2.95 and a beta of 1.28. The company has a debt-to-equity ratio of 0.11, a current ratio of 2.12 and a quick ratio of 1.89. EOG Resources, Inc. has a 1 year low of $108.94 and a 1 year high of $139.67. EOG Resources (NYSE:EOG Get Free Report) last announced its quarterly earnings data on Thursday, August 1st. The energy exploration company reported $3.16 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $2.98 by $0.18. The business had revenue of $6.03 billion for the quarter, compared to analysts expectations of $6.07 billion. EOG Resources had a net margin of 30.33% and a return on equity of 25.42%. The firms quarterly revenue was up 8.1% compared to the same quarter last year. During the same period last year, the firm posted $2.49 earnings per share. As a group, research analysts predict that EOG Resources, Inc. will post 12.2 EPS for the current fiscal year. EOG Resources Announces Dividend The business also recently announced a quarterly dividend, which will be paid on Thursday, October 31st. Shareholders of record on Thursday, October 17th will be paid a dividend of $0.91 per share. The ex-dividend date of this dividend is Thursday, October 17th. This represents a $3.64 dividend on an annualized basis and a dividend yield of 3.05%. EOG Resourcess payout ratio is presently 28.75%. Insiders Place Their Bets In related news, EVP Michael P. Donaldson sold 11,037 shares of the businesss stock in a transaction on Monday, August 26th. The shares were sold at an average price of $129.50, for a total value of $1,429,291.50. Following the sale, the executive vice president now owns 74,250 shares of the companys stock, valued at $9,615,375. The sale was disclosed in a legal filing with the SEC, which is accessible through the SEC website. In related news, EVP Michael P. Donaldson sold 11,037 shares of the businesss stock in a transaction on Monday, August 26th. The shares were sold at an average price of $129.50, for a total value of $1,429,291.50. Following the sale, the executive vice president now owns 74,250 shares of the companys stock, valued at $9,615,375. The sale was disclosed in a legal filing with the SEC, which is accessible through the SEC website. Also, Director Charles R. Crisp sold 6,500 shares of the businesss stock in a transaction on Wednesday, August 21st. The stock was sold at an average price of $125.60, for a total transaction of $816,400.00. Following the completion of the sale, the director now directly owns 60,892 shares in the company, valued at approximately $7,648,035.20. The disclosure for this sale can be found here. Over the last quarter, insiders have sold 21,537 shares of company stock valued at $2,751,612. 0.30% of the stock is currently owned by insiders. About EOG Resources (Free Report) EOG Resources, Inc, together with its subsidiaries, explores for, develops, produces, and markets crude oil, natural gas liquids, and natural gas primarily in producing basins in the United States, the Republic of Trinidad and Tobago and internationally. The company was formerly known as Enron Oil & Gas Company. Further Reading Receive News & Ratings for EOG Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for EOG Resources and related companies with MarketBeat.com's FREE daily email newsletter. O Neil Global Advisors Inc. bought a new stake in Eli Lilly and Company (NYSE:LLY Free Report) during the second quarter, according to the company in its most recent disclosure with the SEC. The firm bought 7,036 shares of the companys stock, valued at approximately $6,370,000. Eli Lilly and Company makes up 3.0% of O Neil Global Advisors Inc.s investment portfolio, making the stock its 10th biggest holding. Other hedge funds and other institutional investors also recently modified their holdings of the company. Norges Bank bought a new position in Eli Lilly and Company in the 4th quarter valued at approximately $5,992,890,000. Swedbank AB acquired a new position in shares of Eli Lilly and Company during the first quarter worth $932,797,000. Vanguard Group Inc. lifted its holdings in shares of Eli Lilly and Company by 1.6% during the first quarter. Vanguard Group Inc. now owns 72,745,011 shares of the companys stock worth $56,592,709,000 after purchasing an additional 1,133,810 shares during the period. GQG Partners LLC grew its stake in shares of Eli Lilly and Company by 20.2% in the first quarter. GQG Partners LLC now owns 3,848,886 shares of the companys stock worth $2,994,280,000 after purchasing an additional 648,094 shares during the last quarter. Finally, Capital International Investors raised its position in Eli Lilly and Company by 8.1% during the 4th quarter. Capital International Investors now owns 6,636,833 shares of the companys stock worth $3,868,559,000 after purchasing an additional 497,079 shares during the last quarter. Institutional investors own 82.53% of the companys stock. Get Eli Lilly and Company alerts: Eli Lilly and Company Stock Performance Eli Lilly and Company stock opened at $923.71 on Friday. The company has a market capitalization of $877.92 billion, a PE ratio of 136.04, a P/E/G ratio of 2.79 and a beta of 0.42. The firm has a fifty day simple moving average of $897.12 and a two-hundred day simple moving average of $832.88. The company has a quick ratio of 0.87, a current ratio of 1.11 and a debt-to-equity ratio of 1.74. Eli Lilly and Company has a twelve month low of $516.57 and a twelve month high of $972.53. Eli Lilly and Company Dividend Announcement Eli Lilly and Company ( NYSE:LLY Get Free Report ) last announced its quarterly earnings data on Thursday, August 8th. The company reported $3.92 earnings per share for the quarter, beating the consensus estimate of $2.64 by $1.28. Eli Lilly and Company had a return on equity of 67.52% and a net margin of 18.86%. The firm had revenue of $11.30 billion during the quarter, compared to the consensus estimate of $9.83 billion. Research analysts expect that Eli Lilly and Company will post 16.49 EPS for the current year. The company also recently declared a quarterly dividend, which was paid on Tuesday, September 10th. Shareholders of record on Thursday, August 15th were issued a $1.30 dividend. This represents a $5.20 dividend on an annualized basis and a yield of 0.56%. The ex-dividend date was Thursday, August 15th. Eli Lilly and Companys dividend payout ratio (DPR) is presently 76.58%. Insider Buying and Selling at Eli Lilly and Company In other news, major shareholder Lilly Endowment Inc sold 9,671 shares of the stock in a transaction dated Thursday, June 20th. The shares were sold at an average price of $902.67, for a total transaction of $8,729,721.57. Following the completion of the sale, the insider now directly owns 97,574,139 shares in the company, valued at $88,077,248,051.13. The transaction was disclosed in a document filed with the SEC, which is accessible through the SEC website. Over the last quarter, insiders have sold 646,878 shares of company stock worth $591,465,138. 0.13% of the stock is currently owned by company insiders. Analyst Ratings Changes A number of equities research analysts have weighed in on LLY shares. Cantor Fitzgerald reiterated an overweight rating and issued a $885.00 target price on shares of Eli Lilly and Company in a report on Monday, September 9th. Jefferies Financial Group raised their price objective on Eli Lilly and Company from $994.00 to $1,015.00 and gave the company a buy rating in a research note on Monday, June 24th. Bank of America upped their target price on Eli Lilly and Company from $1,000.00 to $1,125.00 and gave the stock a buy rating in a research report on Friday, August 9th. Morgan Stanley restated an overweight rating and issued a $1,106.00 target price on shares of Eli Lilly and Company in a report on Tuesday, August 27th. Finally, Evercore ISI upgraded Eli Lilly and Company to a hold rating in a research note on Thursday, September 5th. Three investment analysts have rated the stock with a hold rating and seventeen have assigned a buy rating to the companys stock. According to MarketBeat, the company has a consensus rating of Moderate Buy and a consensus price target of $977.35. Read Our Latest Stock Analysis on Eli Lilly and Company About Eli Lilly and Company (Free Report) Eli Lilly and Company discovers, develops, and markets human pharmaceuticals worldwide. The company offers Basaglar, Humalog, Humalog Mix 75/25, Humalog U-100, Humalog U-200, Humalog Mix 50/50, insulin lispro, insulin lispro protamine, insulin lispro mix 75/25, Humulin, Humulin 70/30, Humulin N, Humulin R, and Humulin U-500 for diabetes; Jardiance, Mounjaro, and Trulicity for type 2 diabetes; and Zepbound for obesity. Recommended Stories Receive News & Ratings for Eli Lilly and Company Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eli Lilly and Company and related companies with MarketBeat.com's FREE daily email newsletter. Prosperity Consulting Group LLC boosted its position in shares of iShares Core S&P 500 ETF (NYSEARCA:IVV Free Report) by 7.6% in the second quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The fund owned 110,788 shares of the companys stock after purchasing an additional 7,848 shares during the period. iShares Core S&P 500 ETF accounts for approximately 8.2% of Prosperity Consulting Group LLCs portfolio, making the stock its biggest position. Prosperity Consulting Group LLCs holdings in iShares Core S&P 500 ETF were worth $60,627,000 at the end of the most recent reporting period. A number of other institutional investors and hedge funds have also recently bought and sold shares of IVV. Proffitt & Goodson Inc. raised its holdings in iShares Core S&P 500 ETF by 21.2% during the second quarter. Proffitt & Goodson Inc. now owns 103 shares of the companys stock worth $56,000 after acquiring an additional 18 shares in the last quarter. Global Trust Asset Management LLC raised its stake in shares of iShares Core S&P 500 ETF by 5.3% during the 2nd quarter. Global Trust Asset Management LLC now owns 379 shares of the companys stock worth $207,000 after purchasing an additional 19 shares in the last quarter. Independence Bank of Kentucky boosted its holdings in shares of iShares Core S&P 500 ETF by 0.9% in the 1st quarter. Independence Bank of Kentucky now owns 2,134 shares of the companys stock valued at $1,122,000 after buying an additional 20 shares during the last quarter. Unionview LLC grew its stake in shares of iShares Core S&P 500 ETF by 0.6% in the first quarter. Unionview LLC now owns 3,293 shares of the companys stock valued at $1,731,000 after buying an additional 20 shares in the last quarter. Finally, MTM Investment Management LLC increased its holdings in iShares Core S&P 500 ETF by 6.9% during the second quarter. MTM Investment Management LLC now owns 308 shares of the companys stock worth $169,000 after buying an additional 20 shares during the last quarter. Get iShares Core S&P 500 ETF alerts: iShares Core S&P 500 ETF Price Performance Shares of IVV stock opened at $565.07 on Friday. The company has a fifty day moving average price of $552.88 and a two-hundred day moving average price of $535.03. iShares Core S&P 500 ETF has a one year low of $411.02 and a one year high of $568.24. The stock has a market cap of $487.60 billion, a P/E ratio of 25.23 and a beta of 0.99. iShares Core S&P 500 ETF Profile iShares Core S&P 500 ETF (the Fund) is an exchange-traded fund. The Fund seeks investment results that correspond generally to the price and yield performance of the Standard & Poors 500 Index (the Index). The Index measures the performance of the large-capitalization sector of the United States equity market. Recommended Stories Want to see what other hedge funds are holding IVV? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares Core S&P 500 ETF (NYSEARCA:IVV Free Report). Receive News & Ratings for iShares Core S&P 500 ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Core S&P 500 ETF and related companies with MarketBeat.com's FREE daily email newsletter. Prosperity Consulting Group LLC cut its holdings in McDonalds Co. (NYSE:MCD Free Report) by 15.9% during the 2nd quarter, according to its most recent disclosure with the SEC. The institutional investor owned 18,654 shares of the fast-food giants stock after selling 3,516 shares during the period. Prosperity Consulting Group LLCs holdings in McDonalds were worth $4,754,000 as of its most recent filing with the SEC. Several other hedge funds and other institutional investors have also bought and sold shares of MCD. Concentric Wealth Management LLC grew its position in shares of McDonalds by 1.4% during the 4th quarter. Concentric Wealth Management LLC now owns 2,551 shares of the fast-food giants stock valued at $756,000 after acquiring an additional 35 shares during the period. FinTrust Capital Advisors LLC lifted its position in shares of McDonalds by 2.9% during the 4th quarter. FinTrust Capital Advisors LLC now owns 1,268 shares of the fast-food giants stock valued at $376,000 after buying an additional 36 shares during the last quarter. 9258 Wealth Management LLC grew its stake in shares of McDonalds by 1.3% during the 1st quarter. 9258 Wealth Management LLC now owns 2,833 shares of the fast-food giants stock worth $799,000 after acquiring an additional 37 shares during the period. Independence Bank of Kentucky increased its holdings in McDonalds by 1.3% in the 1st quarter. Independence Bank of Kentucky now owns 2,913 shares of the fast-food giants stock worth $821,000 after acquiring an additional 38 shares during the last quarter. Finally, MTM Investment Management LLC raised its stake in McDonalds by 3.3% in the 1st quarter. MTM Investment Management LLC now owns 1,174 shares of the fast-food giants stock valued at $331,000 after acquiring an additional 38 shares during the period. Institutional investors and hedge funds own 70.29% of the companys stock. Get McDonald's alerts: Analyst Upgrades and Downgrades Several equities research analysts have issued reports on the company. Tigress Financial increased their target price on McDonalds from $355.00 to $360.00 and gave the stock a buy rating in a research report on Tuesday, September 3rd. Truist Financial lowered their price target on shares of McDonalds from $300.00 to $295.00 and set a buy rating on the stock in a report on Tuesday, July 30th. Citigroup boosted their price objective on shares of McDonalds from $275.00 to $301.00 and gave the company a neutral rating in a research note on Friday. Loop Capital reissued a buy rating and issued a $342.00 price target on shares of McDonalds in a report on Tuesday. Finally, TD Cowen cut shares of McDonalds from a buy rating to a hold rating and decreased their price target for the stock from $285.00 to $280.00 in a report on Wednesday, July 31st. Eleven investment analysts have rated the stock with a hold rating and nineteen have given a buy rating to the stock. Based on data from MarketBeat.com, McDonalds has an average rating of Moderate Buy and an average target price of $305.37. McDonalds Stock Up 1.4 % Shares of MCD opened at $296.55 on Friday. The stock has a market cap of $213.72 billion, a P/E ratio of 25.17, a P/E/G ratio of 3.88 and a beta of 0.72. The businesss 50 day moving average price is $272.89 and its 200-day moving average price is $270.80. McDonalds Co. has a 52 week low of $243.53 and a 52 week high of $302.39. McDonalds (NYSE:MCD Get Free Report) last announced its quarterly earnings results on Monday, July 29th. The fast-food giant reported $2.97 earnings per share for the quarter, missing the consensus estimate of $3.07 by ($0.10). The firm had revenue of $6.49 billion for the quarter, compared to the consensus estimate of $6.62 billion. McDonalds had a net margin of 32.25% and a negative return on equity of 178.68%. McDonaldss revenue was down .1% on a year-over-year basis. During the same period last year, the business earned $3.17 EPS. On average, equities analysts anticipate that McDonalds Co. will post 11.67 EPS for the current year. McDonalds Dividend Announcement The business also recently declared a quarterly dividend, which will be paid on Tuesday, September 17th. Stockholders of record on Tuesday, September 3rd will be paid a $1.67 dividend. The ex-dividend date is Tuesday, September 3rd. This represents a $6.68 dividend on an annualized basis and a dividend yield of 2.25%. McDonaldss dividend payout ratio is presently 56.71%. Insider Activity In other news, insider Joseph M. Erlinger sold 1,098 shares of the firms stock in a transaction on Friday, June 21st. The stock was sold at an average price of $260.00, for a total value of $285,480.00. Following the transaction, the insider now directly owns 14,780 shares in the company, valued at approximately $3,842,800. The transaction was disclosed in a document filed with the SEC, which is accessible through this link. In other news, insider Joseph M. Erlinger sold 1,098 shares of McDonalds stock in a transaction on Friday, June 21st. The shares were sold at an average price of $260.00, for a total transaction of $285,480.00. Following the transaction, the insider now owns 14,780 shares in the company, valued at approximately $3,842,800. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink. Also, insider Joseph M. Erlinger sold 1,099 shares of the businesss stock in a transaction dated Tuesday, July 23rd. The shares were sold at an average price of $260.00, for a total value of $285,740.00. Following the sale, the insider now directly owns 13,681 shares of the companys stock, valued at approximately $3,557,060. The disclosure for this sale can be found here. Insiders sold 6,291 shares of company stock valued at $1,689,073 over the last three months. Insiders own 0.23% of the companys stock. McDonalds Profile (Free Report) McDonald's Corporation operates and franchises restaurants under the McDonald's brand in the United States and internationally. It offers food and beverages, including hamburgers and cheeseburgers, various chicken sandwiches, fries, shakes, desserts, sundaes, cookies, pies, soft drinks, coffee, and other beverages; and full or limited breakfast, as well as sells various other products during limited-time promotions. Featured Articles Receive News & Ratings for McDonald's Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for McDonald's and related companies with MarketBeat.com's FREE daily email newsletter. QS Energy, Inc. (OTCMKTS:QSEP Get Free Report) saw a large increase in short interest in the month of August. As of August 31st, there was short interest totalling 29,800 shares, an increase of 776.5% from the August 15th total of 3,400 shares. Based on an average daily trading volume, of 86,800 shares, the short-interest ratio is currently 0.3 days. QS Energy Price Performance OTCMKTS QSEP remained flat at $0.07 during trading on Friday. The companys stock had a trading volume of 76,039 shares, compared to its average volume of 44,362. The businesss 50 day moving average is $0.06 and its 200 day moving average is $0.05. QS Energy has a 52 week low of $0.03 and a 52 week high of $0.08. Get QS Energy alerts: QS Energy Company Profile (Get Free Report) Featured Stories QS Energy, Inc develops and commercializes energy efficiency technologies in the United States and internationally. The company's energy efficiency technologies assist in meeting energy demands, enhancing the economics of oil transport, and reducing greenhouse gas emissions. Its intellectual properties include a portfolio of domestic and international patents, which have been developed in conjunction with and licensed from Temple University of Philadelphia, Pennsylvania. Receive News & Ratings for QS Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for QS Energy and related companies with MarketBeat.com's FREE daily email newsletter. Resolute Advisors LLC lifted its position in shares of Altria Group, Inc. (NYSE:MO Free Report) by 2.2% during the second quarter, HoldingsChannel reports. The firm owned 11,522 shares of the companys stock after purchasing an additional 251 shares during the quarter. Altria Group comprises about 0.1% of Resolute Advisors LLCs holdings, making the stock its 29th largest holding. Resolute Advisors LLCs holdings in Altria Group were worth $536,000 at the end of the most recent reporting period. Other institutional investors and hedge funds have also made changes to their positions in the company. Wealthspire Advisors LLC raised its position in shares of Altria Group by 63.4% in the 2nd quarter. Wealthspire Advisors LLC now owns 54,550 shares of the companys stock valued at $2,485,000 after purchasing an additional 21,163 shares in the last quarter. Leelyn Smith LLC raised its stake in shares of Altria Group by 1.3% in the 2nd quarter. Leelyn Smith LLC now owns 51,139 shares of the companys stock valued at $2,329,000 after purchasing an additional 654 shares in the last quarter. Thoroughbred Financial Services LLC acquired a new position in Altria Group in the second quarter worth about $1,428,000. Dai ichi Life Insurance Company Ltd increased its holdings in Altria Group by 370.0% in the second quarter. Dai ichi Life Insurance Company Ltd now owns 329,513 shares of the companys stock valued at $15,009,000 after buying an additional 259,400 shares during the last quarter. Finally, 1ST Source Bank raised its stake in Altria Group by 14.0% during the second quarter. 1ST Source Bank now owns 6,074 shares of the companys stock valued at $277,000 after buying an additional 747 shares in the last quarter. 57.41% of the stock is currently owned by hedge funds and other institutional investors. Get Altria Group alerts: Altria Group Stock Up 0.6 % NYSE:MO opened at $52.96 on Friday. The companys 50 day moving average is $50.89 and its 200-day moving average is $46.42. The firm has a market cap of $90.36 billion, a price-to-earnings ratio of 11.08, a P/E/G ratio of 3.07 and a beta of 0.65. Altria Group, Inc. has a 12 month low of $39.06 and a 12 month high of $54.95. Altria Group Increases Dividend Altria Group ( NYSE:MO Get Free Report ) last released its earnings results on Wednesday, July 31st. The company reported $1.31 earnings per share for the quarter, missing analysts consensus estimates of $1.35 by ($0.04). The firm had revenue of $6.21 billion for the quarter, compared to analyst estimates of $5.39 billion. Altria Group had a negative return on equity of 232.55% and a net margin of 42.25%. The firms revenue was up 14.2% on a year-over-year basis. During the same period in the prior year, the firm earned $1.31 EPS. Research analysts predict that Altria Group, Inc. will post 5.11 EPS for the current fiscal year. The firm also recently disclosed a quarterly dividend, which will be paid on Thursday, October 10th. Stockholders of record on Monday, September 16th will be given a $1.02 dividend. This represents a $4.08 annualized dividend and a dividend yield of 7.70%. This is a boost from Altria Groups previous quarterly dividend of $0.98. The ex-dividend date is Monday, September 16th. Altria Groups dividend payout ratio is currently 82.01%. Wall Street Analyst Weigh In A number of equities analysts have commented on the stock. Stifel Nicolaus lifted their price objective on shares of Altria Group from $50.00 to $54.00 and gave the stock a buy rating in a report on Thursday, August 1st. StockNews.com upgraded shares of Altria Group from a hold rating to a buy rating in a research note on Friday, June 28th. Argus raised Altria Group to a hold rating in a report on Friday, May 31st. Barclays lifted their price objective on shares of Altria Group from $43.00 to $45.00 and gave the company an underweight rating in a research note on Monday, September 9th. Finally, UBS Group upped their target price on Altria Group from $39.00 to $41.00 and gave the stock a sell rating in a research report on Monday, September 9th. Two analysts have rated the stock with a sell rating, three have issued a hold rating and three have assigned a buy rating to the stock. According to MarketBeat, the company has a consensus rating of Hold and a consensus target price of $49.67. Check Out Our Latest Stock Report on MO Altria Group Profile (Free Report) Altria Group, Inc, through its subsidiaries, manufactures and sells smokeable and oral tobacco products in the United States. The company offers cigarettes primarily under the Marlboro brand; large cigars and pipe tobacco under the Black & Mild brand; moist smokeless tobacco and snus products under the Copenhagen, Skoal, Red Seal, and Husky brands; oral nicotine pouches under the on! brand; and e-vapor products under the NJOY ACE brand. See Also Want to see what other hedge funds are holding MO? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Altria Group, Inc. (NYSE:MO Free Report). Receive News & Ratings for Altria Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Altria Group and related companies with MarketBeat.com's FREE daily email newsletter. SanBio Company Limited (OTCMKTS:SNBIF Get Free Report) saw a large decline in short interest during the month of August. As of August 31st, there was short interest totalling 545,300 shares, a decline of 29.8% from the August 15th total of 776,900 shares. Based on an average daily trading volume, of 2,400 shares, the short-interest ratio is presently 227.2 days. SanBio Stock Performance Shares of SanBio stock remained flat at C$3.85 during mid-day trading on Friday. The company has a fifty day simple moving average of C$3.85 and a 200-day simple moving average of C$3.84. SanBio has a one year low of C$3.80 and a one year high of C$4.25. Get SanBio alerts: About SanBio (Get Free Report) Featured Stories SanBio Company Limited develops, produces, and sells regenerative cell medicines for the central nervous system. The company develops SB623 that has completed a phase 2 clinical trial to treat traumatic brain injury in the United States and Japan. Its research pipeline products also comprise SB623 for ischemic and hemorrhagic strokes, age-related macular degeneration, retinitis pigments, Parkinson's disease, spinal cord injury, and Alzheimer's disease; SB618 for peripheral nerve damage; SB308 for muscular dystrophy disease; MSC1 for cancer; and MSC2 for inflammatory disease and optic neuritis. Receive News & Ratings for SanBio Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SanBio and related companies with MarketBeat.com's FREE daily email newsletter. Mayor John Whitmire speaks at a press conference alongside newly appointed Houston Fire Department chief, Thomas Munoz at Houston City Hall on Wednesday, Aug. 14, 2024 in Houston. Raquel Natalicchio/Staff photographer Mayor John Whitmire will lay out his administrations priorities during his inaugural State of the City address next Tuesday. The Mayors Office announced Friday that Whitmire is scheduled to deliver his speech at a luncheon hosted by Houston First Corporation and the Greater Houston Partnership, where he will discuss his plans to promote the citys economic growth and quality of life. Advertisement Article continues below this ad In his first nine months in office, Whitmire has replaced half of Houstons department heads, brokered a billion-dollar settlement with the firefighters union, shifted the citys transportation policy, launched a plan to replace 125,000 water meter readers and navigated the city through several disasters. Some efforts have faced pushback. Above all, critics have pointed out that the city, which has long struggled to balance its budget, now faces even tougher financial challenges due to the firefighters agreement, the costs of weather-related disasters and other expenditures. Finance Director Melissa Dubowski recently floated the idea of raising the citys property tax beyond the usual limit to avoid an additional budget shortfall in the tens of millions of dollars. Whitmire will offer his insight on the citys key challenges as well as strategies to successfully shape Houstons future during the Tuesday luncheon, the announcement said. The mayor recently said he would rather cut expenditures than raise the property tax but has not specified how he plans to reduce spending. Other city officials warned that cuts of this magnitude could affect essential services like libraries and garbage collection. Advertisement Article continues below this ad Shimano Inc. (OTCMKTS:SMNNY Get Free Report) was the recipient of a significant decline in short interest during the month of August. As of August 31st, there was short interest totalling 19,900 shares, a decline of 47.6% from the August 15th total of 38,000 shares. Based on an average daily volume of 86,900 shares, the short-interest ratio is currently 0.2 days. Shimano Price Performance Shimano stock traded up $0.25 during midday trading on Friday, reaching $17.82. 45,316 shares of the company traded hands, compared to its average volume of 65,753. Shimano has a 12 month low of $12.85 and a 12 month high of $19.69. The business has a 50 day moving average of $17.58 and a two-hundred day moving average of $16.27. The firm has a market capitalization of $16.01 billion, a price-to-earnings ratio of 34.94 and a beta of 0.59. Get Shimano alerts: Shimano (OTCMKTS:SMNNY Get Free Report) last announced its earnings results on Tuesday, July 30th. The company reported $0.14 earnings per share for the quarter. The business had revenue of $746.22 million during the quarter. Shimano had a net margin of 12.62% and a return on equity of 8.74%. About Shimano Shimano Inc develops, produces, and distributes bicycle components, fishing tackles, and rowing equipment. It has operations in Japan, Asia, Europe, North America, Latin America, and Oceania. Shimano Inc was founded in 1921 and is headquartered in Sakai, Japan. Featured Stories Receive News & Ratings for Shimano Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Shimano and related companies with MarketBeat.com's FREE daily email newsletter. ASM International NV (OTCMKTS:ASMIY Get Free Report) was the recipient of a large decrease in short interest during the month of August. As of August 31st, there was short interest totalling 200 shares, a decrease of 60.0% from the August 15th total of 500 shares. Based on an average daily trading volume, of 5,100 shares, the short-interest ratio is presently 0.0 days. Wall Street Analyst Weigh In Separately, Morgan Stanley upgraded shares of ASM International from an equal weight rating to an overweight rating in a report on Thursday, June 20th. Get ASM International alerts: Read Our Latest Research Report on ASM International ASM International Trading Up 1.4 % ASMIY stock traded up $8.66 during midday trading on Friday, hitting $629.20. The company had a trading volume of 13,081 shares, compared to its average volume of 5,235. ASM International has a 52 week low of $375.35 and a 52 week high of $813.23. The stock has a market cap of $31.04 billion, a price-to-earnings ratio of 52.70 and a beta of 1.64. The stocks 50 day moving average price is $673.16 and its 200 day moving average price is $670.70. ASM International (OTCMKTS:ASMIY Get Free Report) last announced its quarterly earnings results on Tuesday, July 23rd. The company reported $3.58 earnings per share for the quarter, missing the consensus estimate of $4.17 by ($0.59). ASM International had a net margin of 21.26% and a return on equity of 17.74%. The firm had revenue of $760.07 million for the quarter. Analysts predict that ASM International will post 16.41 earnings per share for the current year. ASM International Company Profile (Get Free Report) ASM International NV, together with its subsidiaries, engages in the research, development, manufacture, marketing, and servicing of equipment and materials used to produce semiconductor devices in Europe, the United States, and Asia. The company's products include wafer processing deposition systems for atomic layer deposition (ALD), epitaxy, silicon carbide, plasma enhanced chemical vapor deposition (PECVD), and vertical furnace systems, including low pressure chemical vapor deposition (LPCVD), diffusion, and oxidation products, as well as provides spare parts and support services. Featured Articles Receive News & Ratings for ASM International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ASM International and related companies with MarketBeat.com's FREE daily email newsletter. Smith Douglas Homes Corp. (NYSE:SDHC Get Free Report) shares saw strong trading volume on Thursday . 166,546 shares changed hands during trading, an increase of 11% from the previous sessions volume of 149,463 shares.The stock last traded at $36.89 and had previously closed at $34.42. Analyst Ratings Changes SDHC has been the topic of several analyst reports. Wedbush reiterated a neutral rating and issued a $20.00 price objective on shares of Smith Douglas Homes in a research note on Wednesday, August 14th. Bank of America boosted their target price on Smith Douglas Homes from $30.00 to $36.00 and gave the company a neutral rating in a research note on Thursday, August 15th. Royal Bank of Canada reaffirmed a sector perform rating and set a $26.00 price objective on shares of Smith Douglas Homes in a research report on Thursday, August 15th. Finally, Wells Fargo & Company upped their price target on shares of Smith Douglas Homes from $25.00 to $35.00 and gave the stock an equal weight rating in a research report on Thursday, August 15th. Five research analysts have rated the stock with a hold rating and one has given a buy rating to the stock. According to MarketBeat, Smith Douglas Homes has a consensus rating of Hold and an average price target of $29.70. Get Smith Douglas Homes alerts: Read Our Latest Stock Analysis on SDHC Smith Douglas Homes Stock Performance The stocks 50-day moving average is $31.95 and its 200-day moving average is $28.98. Smith Douglas Homes (NYSE:SDHC Get Free Report) last announced its quarterly earnings data on Wednesday, August 14th. The company reported $0.40 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.37 by $0.03. The business had revenue of $220.90 million during the quarter, compared to the consensus estimate of $208.02 million. The businesss revenue was up 21.7% on a year-over-year basis. Research analysts expect that Smith Douglas Homes Corp. will post 1.66 earnings per share for the current fiscal year. Institutional Trading of Smith Douglas Homes Several large investors have recently modified their holdings of SDHC. SYSTM Wealth Solutions LLC bought a new stake in Smith Douglas Homes in the 1st quarter worth approximately $76,000. RiverPark Advisors LLC bought a new position in Smith Douglas Homes in the 2nd quarter worth approximately $120,000. Sandia Investment Management LP bought a new stake in shares of Smith Douglas Homes in the first quarter worth about $178,000. Azzad Asset Management Inc. ADV bought a new position in shares of Smith Douglas Homes during the 1st quarter valued at approximately $331,000. Finally, Teachers Retirement System of The State of Kentucky bought a new stake in Smith Douglas Homes during the first quarter worth approximately $565,000. About Smith Douglas Homes (Get Free Report) Smith Douglas Homes Corp., together with its subsidiaries, engages in the design, construction, and sale of single-family homes in the southeastern United States. It also provides closing, escrow, and title insurance services. The company sells its products to entry-level and empty-nest homebuyers. Smith Douglas Homes Corp. Read More Receive News & Ratings for Smith Douglas Homes Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Smith Douglas Homes and related companies with MarketBeat.com's FREE daily email newsletter. SSAB AB (publ) (OTCMKTS:SSAAF Get Free Report) was the target of a significant increase in short interest in the month of August. As of August 31st, there was short interest totalling 1,100 shares, an increase of 1,000.0% from the August 15th total of 100 shares. Based on an average daily trading volume, of 8,000 shares, the short-interest ratio is currently 0.1 days. SSAB AB (publ) Stock Performance Shares of OTCMKTS SSAAF remained flat at $4.57 during mid-day trading on Friday. 1,230 shares of the company traded hands, compared to its average volume of 1,720. The firms 50 day moving average price is $4.88 and its 200-day moving average price is $5.76. SSAB AB has a twelve month low of $4.32 and a twelve month high of $8.23. Get SSAB AB (publ) alerts: SSAB AB (publ) Company Profile (Get Free Report) Read More SSAB AB (publ) produces and sells steel products in Sweden, Finland, Rest of Europe, the United States, and internationally. It operates through five segments: SSAB Special Steels, SSAB Europe, SSAB Americas, Tibnor, and Ruukki Construction. The SSAB Special Steels segment offers quenched and tempered steels, and advanced high-strength steel products for steel and plate production. Receive News & Ratings for SSAB AB (publ) Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SSAB AB (publ) and related companies with MarketBeat.com's FREE daily email newsletter. The Gabelli Global Utility & Income Trust (NYSE:GLU Get Free Report) declared a monthly dividend on Wednesday, May 22nd, Wall Street Journal reports. Stockholders of record on Monday, September 16th will be paid a dividend of 0.10 per share on Monday, September 23rd. This represents a $1.20 annualized dividend and a dividend yield of 7.72%. The ex-dividend date of this dividend is Monday, September 16th. The Gabelli Global Utility & Income Trust Stock Up 0.3 % NYSE:GLU opened at $15.55 on Friday. The Gabelli Global Utility & Income Trust has a twelve month low of $11.61 and a twelve month high of $15.69. The stocks 50 day moving average price is $14.94 and its two-hundred day moving average price is $14.35. Get The Gabelli Global Utility & Income Trust alerts: The Gabelli Global Utility & Income Trust Company Profile (Get Free Report) Recommended Stories The Gabelli Global Utility & Income Trust is a closed-ended equity mutual fund launched by GAMCO Investors, Inc It is managed by Gabelli Funds, LLC. The fund invests in public equity markets across the globe. It primarily invests in stocks of companies involved to a substantial extent in providing products, services, or equipment for the generation or distribution of electricity, gas, or water and infrastructure operations, and in equity securities including preferred securities of companies in other industries, in each case in such securities that are expected to pay periodic dividends. Receive News & Ratings for The Gabelli Global Utility & Income Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for The Gabelli Global Utility & Income Trust and related companies with MarketBeat.com's FREE daily email newsletter. Trilogy Metals Inc. (NYSEAMERICAN:TMQ Get Free Report) was the target of a large drop in short interest in August. As of August 31st, there was short interest totalling 218,100 shares, a drop of 28.2% from the August 15th total of 303,800 shares. Based on an average daily volume of 239,500 shares, the days-to-cover ratio is currently 0.9 days. Currently, 0.2% of the companys stock are sold short. Hedge Funds Weigh In On Trilogy Metals A number of hedge funds have recently bought and sold shares of TMQ. Old West Investment Management LLC raised its holdings in Trilogy Metals by 54.6% in the 2nd quarter. Old West Investment Management LLC now owns 3,098,931 shares of the mining companys stock valued at $1,549,000 after acquiring an additional 1,094,842 shares in the last quarter. Virtu Financial LLC lifted its position in Trilogy Metals by 301.1% during the first quarter. Virtu Financial LLC now owns 186,226 shares of the mining companys stock worth $93,000 after purchasing an additional 139,798 shares during the period. Tidal Investments LLC bought a new stake in Trilogy Metals during the first quarter worth about $525,000. TSP Capital Management Group LLC grew its stake in Trilogy Metals by 5.1% during the first quarter. TSP Capital Management Group LLC now owns 5,027,500 shares of the mining companys stock worth $2,514,000 after buying an additional 245,000 shares in the last quarter. Finally, Goehring & Rozencwajg Associates LLC increased its holdings in Trilogy Metals by 3.0% in the 4th quarter. Goehring & Rozencwajg Associates LLC now owns 1,647,016 shares of the mining companys stock valued at $721,000 after buying an additional 47,310 shares during the period. 16.75% of the stock is owned by institutional investors. Get Trilogy Metals alerts: Trilogy Metals Trading Down 1.2 % Shares of TMQ stock opened at $0.49 on Friday. Trilogy Metals has a one year low of $0.25 and a one year high of $0.71. The firm has a market capitalization of $79.32 million, a P/E ratio of -6.18 and a beta of 1.38. Analysts Set New Price Targets Trilogy Metals ( NYSEAMERICAN:TMQ Get Free Report ) last released its quarterly earnings results on Friday, July 12th. The mining company reported ($0.01) earnings per share for the quarter, meeting the consensus estimate of ($0.01). Research analysts expect that Trilogy Metals will post -0.04 earnings per share for the current fiscal year. Separately, TD Securities cut shares of Trilogy Metals from a strong-buy rating to a hold rating in a report on Thursday, July 11th. Read Our Latest Stock Report on Trilogy Metals Trilogy Metals Company Profile (Get Free Report) Trilogy Metals Inc, a base metals exploration company, engages in the exploration and development of mineral properties in the United States. The company explores for copper, cobalt, lead, zinc, gold, and silver properties. It principally holds interests in the Upper Kobuk mineral projects that include the Arctic, which contains polymetallic volcanogenic massive sulfide deposits; and Bornite that contains carbonate-hosted copper cobalt deposits covering an area of approximately 448,217 acres located in the Ambler mining district in Northwest Alaska. See Also Receive News & Ratings for Trilogy Metals Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Trilogy Metals and related companies with MarketBeat.com's FREE daily email newsletter. US Asset Management LLC lessened its holdings in shares of Hess Co. (NYSE:HES Free Report) by 4.6% during the second quarter, according to the company in its most recent filing with the Securities & Exchange Commission. The firm owned 3,120 shares of the oil and gas producers stock after selling 149 shares during the quarter. US Asset Management LLCs holdings in Hess were worth $460,000 at the end of the most recent quarter. Several other hedge funds have also made changes to their positions in the business. Vanguard Group Inc. lifted its position in Hess by 0.9% during the first quarter. Vanguard Group Inc. now owns 32,126,526 shares of the oil and gas producers stock worth $4,903,793,000 after buying an additional 294,146 shares during the period. Bank of New York Mellon Corp lifted its holdings in shares of Hess by 34.5% during the 2nd quarter. Bank of New York Mellon Corp now owns 2,835,486 shares of the oil and gas producers stock worth $418,291,000 after acquiring an additional 726,631 shares during the period. KRYGER CAPITAL Ltd boosted its position in shares of Hess by 44.0% in the first quarter. KRYGER CAPITAL Ltd now owns 1,103,212 shares of the oil and gas producers stock worth $168,394,000 after acquiring an additional 337,238 shares during the last quarter. Clearbridge Investments LLC grew its holdings in Hess by 31.7% in the first quarter. Clearbridge Investments LLC now owns 909,089 shares of the oil and gas producers stock valued at $138,763,000 after purchasing an additional 218,563 shares during the period. Finally, California State Teachers Retirement System grew its holdings in Hess by 12.2% in the first quarter. California State Teachers Retirement System now owns 753,564 shares of the oil and gas producers stock valued at $115,024,000 after purchasing an additional 81,646 shares during the period. Institutional investors and hedge funds own 88.51% of the companys stock. Get Hess alerts: Wall Street Analyst Weigh In A number of equities analysts have weighed in on the stock. Susquehanna decreased their price target on shares of Hess from $151.00 to $149.00 and set a neutral rating on the stock in a report on Wednesday, September 4th. BMO Capital Markets cut Hess to an overweight rating and reduced their target price for the company from $175.00 to $160.00 in a report on Monday, August 19th. Sanford C. Bernstein upgraded Hess from a market perform rating to an outperform rating and upped their price target for the stock from $166.00 to $172.00 in a research note on Wednesday, July 17th. Scotiabank reduced their price objective on Hess from $165.00 to $157.00 and set a sector perform rating on the stock in a research note on Friday, July 12th. Finally, UBS Group dropped their target price on shares of Hess from $193.00 to $192.00 and set a buy rating for the company in a research report on Tuesday, August 20th. Eight investment analysts have rated the stock with a hold rating and six have given a buy rating to the companys stock. According to MarketBeat.com, the stock has a consensus rating of Hold and a consensus target price of $174.00. Hess Stock Up 0.7 % HES stock opened at $128.57 on Friday. The company has a current ratio of 1.27, a quick ratio of 1.14 and a debt-to-equity ratio of 0.78. The firm has a 50 day simple moving average of $139.71 and a 200-day simple moving average of $147.50. The company has a market capitalization of $39.61 billion, a P/E ratio of 19.69 and a beta of 1.15. Hess Co. has a twelve month low of $123.79 and a twelve month high of $167.75. Hess (NYSE:HES Get Free Report) last posted its quarterly earnings results on Wednesday, July 31st. The oil and gas producer reported $2.62 EPS for the quarter, topping analysts consensus estimates of $2.48 by $0.14. Hess had a return on equity of 27.43% and a net margin of 21.22%. The business had revenue of $3.26 billion during the quarter, compared to the consensus estimate of $3.24 billion. During the same period last year, the firm earned $0.65 earnings per share. The companys revenue for the quarter was up 40.3% compared to the same quarter last year. On average, equities research analysts predict that Hess Co. will post 11.04 earnings per share for the current fiscal year. Hess Increases Dividend The business also recently declared a quarterly dividend, which will be paid on Monday, September 30th. Investors of record on Monday, September 16th will be issued a $0.50 dividend. This is an increase from Hesss previous quarterly dividend of $0.44. The ex-dividend date of this dividend is Monday, September 16th. This represents a $2.00 annualized dividend and a dividend yield of 1.56%. Hesss dividend payout ratio (DPR) is 30.63%. About Hess (Free Report) Hess Corporation, an exploration and production company, explores, develops, produces, purchases, transports, and sells crude oil, natural gas liquids (NGLs), and natural gas. The company operates in two segments, Exploration and Production, and Midstream. It conducts production operations primarily in the United States, Guyana, the Malaysia/Thailand Joint Development Area, and Malaysia; and exploration activities principally offshore Guyana, the U.S. Recommended Stories Want to see what other hedge funds are holding HES? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Hess Co. (NYSE:HES Free Report). Receive News & Ratings for Hess Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Hess and related companies with MarketBeat.com's FREE daily email newsletter. Vest Financial LLC lifted its stake in shares of Rogers Communications Inc. (NYSE:RCI Free Report) (TSE:RCI.B) by 36.3% in the second quarter, HoldingsChannel.com reports. The institutional investor owned 12,250 shares of the Wireless communications providers stock after buying an additional 3,261 shares during the quarter. Vest Financial LLCs holdings in Rogers Communications were worth $453,000 as of its most recent filing with the SEC. Other hedge funds also recently modified their holdings of the company. Intech Investment Management LLC acquired a new stake in shares of Rogers Communications during the 1st quarter worth about $835,000. Barometer Capital Management Inc. acquired a new stake in Rogers Communications in the 4th quarter worth about $1,092,000. Janney Montgomery Scott LLC acquired a new stake in Rogers Communications in the 4th quarter worth about $1,719,000. CIBC Asset Management Inc raised its stake in Rogers Communications by 19.3% in the 4th quarter. CIBC Asset Management Inc now owns 1,532,864 shares of the Wireless communications providers stock worth $71,988,000 after purchasing an additional 247,496 shares in the last quarter. Finally, Russell Investments Group Ltd. raised its stake in Rogers Communications by 8.6% in the 1st quarter. Russell Investments Group Ltd. now owns 195,754 shares of the Wireless communications providers stock worth $8,040,000 after purchasing an additional 15,454 shares in the last quarter. Institutional investors and hedge funds own 45.49% of the companys stock. Get Rogers Communications alerts: Wall Street Analyst Weigh In Several analysts have weighed in on the stock. Canaccord Genuity Group cut shares of Rogers Communications from a buy rating to a hold rating in a research note on Thursday, July 25th. StockNews.com upgraded shares of Rogers Communications from a sell rating to a hold rating in a research note on Thursday, July 11th. Finally, Canaccord Genuity Group reiterated a hold rating and issued a $57.00 price objective (down previously from $59.00) on shares of Rogers Communications in a research note on Thursday, July 25th. Three research analysts have rated the stock with a hold rating and three have given a buy rating to the stock. Based on data from MarketBeat, the stock currently has an average rating of Moderate Buy and an average price target of $51.50. Rogers Communications Price Performance Shares of NYSE:RCI opened at $40.66 on Friday. The firm has a 50 day moving average of $39.12 and a 200-day moving average of $39.53. The stock has a market capitalization of $21.66 billion, a PE ratio of 50.83, a PEG ratio of 1.06 and a beta of 0.67. The company has a current ratio of 0.65, a quick ratio of 0.60 and a debt-to-equity ratio of 3.58. Rogers Communications Inc. has a 12 month low of $35.55 and a 12 month high of $48.19. Rogers Communications (NYSE:RCI Get Free Report) (TSE:RCI.B) last issued its earnings results on Wednesday, July 24th. The Wireless communications provider reported $1.16 EPS for the quarter, beating the consensus estimate of $0.83 by $0.33. The company had revenue of $5.09 billion during the quarter, compared to analysts expectations of $5.14 billion. Rogers Communications had a net margin of 4.28% and a return on equity of 23.15%. The businesss revenue for the quarter was up .9% compared to the same quarter last year. During the same period in the previous year, the company earned $0.76 EPS. On average, equities research analysts predict that Rogers Communications Inc. will post 3.59 EPS for the current fiscal year. Rogers Communications Cuts Dividend The business also recently declared a quarterly dividend, which will be paid on Thursday, October 3rd. Investors of record on Monday, September 9th will be issued a dividend of $0.365 per share. This represents a $1.46 annualized dividend and a dividend yield of 3.59%. The ex-dividend date of this dividend is Monday, September 9th. Rogers Communicationss dividend payout ratio (DPR) is presently 185.00%. Rogers Communications Company Profile (Free Report) Rogers Communications Inc operates as a communications and media company in Canada. It operates through three segments: Wireless, Cable, and Media. The company offers mobile Internet access, wireless voice and enhanced voice, device financing, device protection, global voice and data roaming, wireless home phone, bridging landline, machine-to-machine and Internet of Things solutions, and advanced wireless solutions for businesses, as well as device shipping and express pickup services; and postpaid and prepaid services under the Rogers, Fido, and chatr brands. Recommended Stories Want to see what other hedge funds are holding RCI? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Rogers Communications Inc. (NYSE:RCI Free Report) (TSE:RCI.B). Receive News & Ratings for Rogers Communications Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Rogers Communications and related companies with MarketBeat.com's FREE daily email newsletter. Vest Financial LLC boosted its stake in Hewlett Packard Enterprise (NYSE:HPE Free Report) by 5.9% in the second quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The firm owned 15,360 shares of the technology companys stock after purchasing an additional 850 shares during the period. Vest Financial LLCs holdings in Hewlett Packard Enterprise were worth $325,000 at the end of the most recent quarter. A number of other hedge funds have also added to or reduced their stakes in HPE. Ashton Thomas Private Wealth LLC purchased a new stake in shares of Hewlett Packard Enterprise during the 2nd quarter valued at about $28,000. Hazlett Burt & Watson Inc. increased its holdings in Hewlett Packard Enterprise by 571.5% during the second quarter. Hazlett Burt & Watson Inc. now owns 1,343 shares of the technology companys stock valued at $28,000 after buying an additional 1,143 shares during the last quarter. Rise Advisors LLC raised its position in Hewlett Packard Enterprise by 253.9% in the first quarter. Rise Advisors LLC now owns 1,465 shares of the technology companys stock worth $26,000 after acquiring an additional 1,051 shares during the period. ORG Partners LLC boosted its stake in shares of Hewlett Packard Enterprise by 224.5% during the 2nd quarter. ORG Partners LLC now owns 1,853 shares of the technology companys stock worth $39,000 after acquiring an additional 1,282 shares during the last quarter. Finally, American National Bank boosted its stake in shares of Hewlett Packard Enterprise by 89.4% during the 1st quarter. American National Bank now owns 1,949 shares of the technology companys stock worth $35,000 after acquiring an additional 920 shares during the last quarter. 80.78% of the stock is currently owned by hedge funds and other institutional investors. Get Hewlett Packard Enterprise alerts: Wall Street Analyst Weigh In HPE has been the subject of several recent research reports. Susquehanna reissued a neutral rating and set a $20.00 price target on shares of Hewlett Packard Enterprise in a report on Friday, September 6th. StockNews.com raised shares of Hewlett Packard Enterprise from a hold rating to a buy rating in a report on Wednesday, June 5th. Loop Capital raised their target price on shares of Hewlett Packard Enterprise from $16.00 to $18.00 and gave the stock a hold rating in a research note on Monday, September 9th. Bank of America dropped their price target on shares of Hewlett Packard Enterprise from $24.00 to $21.00 and set a neutral rating for the company in a research report on Thursday, September 5th. Finally, Wells Fargo & Company raised their price objective on shares of Hewlett Packard Enterprise from $19.00 to $22.00 and gave the company an equal weight rating in a research report on Wednesday, June 5th. Nine equities research analysts have rated the stock with a hold rating and four have assigned a buy rating to the companys stock. Based on data from MarketBeat.com, Hewlett Packard Enterprise has a consensus rating of Hold and an average price target of $20.83. Hewlett Packard Enterprise Stock Up 1.7 % Shares of NYSE:HPE opened at $17.14 on Friday. The company has a 50-day moving average price of $18.98 and a 200 day moving average price of $18.52. The company has a current ratio of 0.94, a quick ratio of 0.62 and a debt-to-equity ratio of 0.36. Hewlett Packard Enterprise has a 12 month low of $14.47 and a 12 month high of $22.82. The company has a market cap of $22.26 billion, a price-to-earnings ratio of 12.51, a PEG ratio of 1.97 and a beta of 1.19. Hewlett Packard Enterprise (NYSE:HPE Get Free Report) last issued its quarterly earnings results on Wednesday, September 4th. The technology company reported $0.50 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $0.47 by $0.03. Hewlett Packard Enterprise had a return on equity of 8.94% and a net margin of 6.39%. The company had revenue of $7.71 billion during the quarter, compared to the consensus estimate of $7.67 billion. During the same period last year, the company earned $0.35 earnings per share. Hewlett Packard Enterprises revenue was up 10.1% on a year-over-year basis. As a group, equities research analysts predict that Hewlett Packard Enterprise will post 1.66 earnings per share for the current year. Hewlett Packard Enterprise Announces Dividend The company also recently declared a quarterly dividend, which will be paid on Friday, October 18th. Investors of record on Thursday, September 19th will be issued a dividend of $0.13 per share. The ex-dividend date is Thursday, September 19th. This represents a $0.52 annualized dividend and a yield of 3.03%. Hewlett Packard Enterprises payout ratio is 37.96%. Hewlett Packard Enterprise Company Profile (Free Report) Hewlett Packard Enterprise Company provides solutions that allow customers to capture, analyze, and act upon data seamlessly in the Americas, Europe, the Middle East, Africa, the Asia Pacific, and Japan. It operates in six segments: Compute, HPC & AI, Storage, Intelligent Edge, Financial Services, and Corporate Investments and Other. Read More Want to see what other hedge funds are holding HPE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Hewlett Packard Enterprise (NYSE:HPE Free Report). Receive News & Ratings for Hewlett Packard Enterprise Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Hewlett Packard Enterprise and related companies with MarketBeat.com's FREE daily email newsletter. Wealthspire Advisors LLC raised its stake in shares of iShares High Yield Bond Factor ETF (BATS:HYDB Free Report) by 145.3% during the 2nd quarter, according to the company in its most recent filing with the SEC. The firm owned 103,874 shares of the companys stock after purchasing an additional 61,531 shares during the quarter. Wealthspire Advisors LLCs holdings in iShares High Yield Bond Factor ETF were worth $4,824,000 as of its most recent filing with the SEC. Several other hedge funds have also made changes to their positions in HYDB. Captrust Financial Advisors bought a new stake in shares of iShares High Yield Bond Factor ETF in the 1st quarter worth approximately $28,429,000. State of New Jersey Common Pension Fund D raised its stake in shares of iShares High Yield Bond Factor ETF by 102.6% in the second quarter. State of New Jersey Common Pension Fund D now owns 1,013,120 shares of the companys stock valued at $47,049,000 after purchasing an additional 513,120 shares in the last quarter. Arden Trust Co bought a new position in iShares High Yield Bond Factor ETF in the first quarter worth $9,199,000. Cetera Investment Advisers boosted its stake in iShares High Yield Bond Factor ETF by 386.9% during the first quarter. Cetera Investment Advisers now owns 201,213 shares of the companys stock worth $9,401,000 after buying an additional 159,889 shares in the last quarter. Finally, Synergy Asset Management LLC bought a new stake in iShares High Yield Bond Factor ETF in the 2nd quarter valued at $6,082,000. Get iShares High Yield Bond Factor ETF alerts: iShares High Yield Bond Factor ETF Stock Performance Shares of HYDB stock opened at $47.62 on Friday. The firm has a fifty day moving average of $47.04 and a 200-day moving average of $46.57. iShares High Yield Bond Factor ETF has a 52-week low of $49.60 and a 52-week high of $51.73. iShares High Yield Bond Factor ETF Increases Dividend About iShares High Yield Bond Factor ETF The firm also recently declared a dividend, which was paid on Friday, September 6th. Shareholders of record on Tuesday, September 3rd were paid a dividend of $0.2779 per share. This is a boost from iShares High Yield Bond Factor ETFs previous dividend of $0.28. The ex-dividend date was Tuesday, September 3rd. (Free Report) The iShares High Yield Bond Factor ETF (HYDB) is an exchange-traded fund that is based on the BlackRock High Yield Defensive Bond index. The fund tracks a broad-maturity, multifactor index of high-yield bonds. The index selects and weights bonds based on default probability, default-adjusted spreads, and volatility. Recommended Stories Want to see what other hedge funds are holding HYDB? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares High Yield Bond Factor ETF (BATS:HYDB Free Report). Receive News & Ratings for iShares High Yield Bond Factor ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares High Yield Bond Factor ETF and related companies with MarketBeat.com's FREE daily email newsletter. Abstract: The integration of Human Capital Management (HCM) systems with HR service delivery platforms represents a transformative approach to enhancing HR efficiency and employee satisfaction. This article explores the key benefits of such integration, including the automation of critical HR processes like onboarding, talent management, and payroll, resulting in seamless workflows and improved data accuracy. It also addresses the challenges organizations face in achieving seamless integration, such as managing multiple systems and ensuring data consistency. The article emphasizes the importance of a human-centric approach and provides a comprehensive methodology for successful integration. Finally, it discusses the implications for HR practices and suggests areas for future research, including the potential role of emerging technologies in further optimizing HR processes. Keywords: Human Capital Management (HCM), HR Service Delivery, HR Processes Automation, Onboarding, Talent Management, Data Integration, Employee Experience, HR Technology, Workflow Optimization, Data Accuracy, Human-Centric Approach, HR Systems Integration, Emerging HR Technologies, Data Privacy, Organizational Change Integrating Human Capital Management (HCM) systems with HR service delivery platforms represents a strategic advancement that significantly enhances both the efficiency and effectiveness of HR operations. HCM systems encompass a broad range of HR functionssuch as recruitment, onboarding, payroll, performance management, and talent developmentcritical to managing an organization's workforce. By seamlessly integrating these systems with HR service delivery platforms, organizations can create unified workflows and ensure data synchronization, leading to streamlined HR processes and a more cohesive employee experience. The importance of integrating HCM systems with HR service delivery platforms lies in their ability to automate and optimize key HR processes, including onboarding, offboarding, talent management, learning, recruiting, and employee workflows. This integration not only streamlines these processes but also ensures that data flows seamlessly between systems, enabling HR teams to manage employee requests more efficiently and deliver consistent, high-quality service. As a result, organizations experience greater overall productivity, improved decision-making, and enhanced employee satisfaction [1][2]. Existing literature highlights the numerous benefits of integrated HR systems, including access to real-time workforce data crucial for informed decision-making. Moreover, these systems provide robust reporting and analytics capabilities, reducing manual data entry and alleviating administrative burdens[3][4]. However, achieving these benefits requires overcoming challenges such as managing multiple vendors, navigating complex contracts, and ensuring smooth communication among diverse stakeholders. A successful integration strategy involves meticulous planning, comprehensive data mapping, and continuous monitoring to maintain optimal system performance and alignment with organizational goals[5][6]. The broader implications of integrating HCM systems with HR service delivery platforms extend beyond operational efficiencies to include improved data accuracy, enhanced compliance with regulatory requirements, and a stronger foundation for strategic HR initiatives. As organizations increasingly recognize the value of seamless HR processes, the integration of HCM systems with HR service delivery platforms will become a cornerstone of modern HR technology, driving innovation and enhancing the overall employee experience[7][8]. Key HR Processes Enhanced by Integration Integrating Human Capital Management (HCM) systems with HR service delivery platforms automates a range of crucial HR processes, thereby enhancing overall efficiency and employee satisfaction. Key processes that benefit from this integration include onboarding, offboarding, talent management, learning, recruiting, payroll management, performance management, and employee workflows. Onboarding and Offboarding: Automating onboarding and offboarding processes ensures a consistent and scalable approach. For instance, ServiceNow Service Management offers pre-built workflows for these processes, enabling HR teams to handle requests more efficiently and consistently[1]. This integration allows multiple departments, such as HR and IT, to collaborate seamlessly, with each step in the process triggering the next task, thus creating an end-to-end automated service[1]. New hires can complete their paperwork, receive access to necessary resources, and be introduced to their teams within hours rather than days. Offboarding, often overlooked, is equally streamlined, with automated deactivation of accounts and recovery of company assets, reducing the risk of security breaches. Recruitment: Recruitment processes benefit significantly from HCM integration. Tools like ClearCompany streamline onboarding through automated online delivery, tracking, and storage of all forms and documents, making the process paperless and efficient[2]. HCM platforms that incorporate intelligent candidate matching systems can further speed up recruitment, ensuring that organizations find the right candidates quickly. This is particularly effective in high-volume hiring scenarios where speed and efficiency are crucial. Learning and Development: Learning and development are critical components of employee onboarding and ongoing training. An integrated onboarding module within an HCM system can simplify this process by consolidating training management software, learning management systems, and HR management systems into a unified platform[2]. This approach is particularly beneficial for training-heavy roles, such as safety-sensitive positions, where ensuring that all onboarding activities are housed within one platform improves both the learning experience and compliance. Performance Management: Integrating HR service delivery with performance management processes enables a more dynamic approach to employee development. For instance, connecting performance data with HR service delivery systems allows for real-time alignment of learning and development opportunities with individual performance outcomes. This integration enables managers to create personalized development plans tailored to each employee's needs, fostering a culture of continuous improvement and engagement while enhancing overall productivity. Payroll Management: Integrating HR service delivery with payroll systems is essential for seamless payroll management. By linking HR service delivery with payroll software, organizations can achieve more accurate and timely payroll processing. This integration reduces payroll errors, ensures compliance with local tax regulations across various regions, and enhances overall operational efficiency. Additionally, a streamlined payroll process improves employee satisfaction by ensuring timely and precise payments. Employee Workflows: Employee workflows are optimized through integration with service delivery platforms like ServiceNow Service Management, which provides a centralized service desk where employees can request services from any internal team[1]. This system reduces the need for employees to hunt down the right department, streamlining their access to necessary resources and information[1]. Employee Portal: A key advantage of this integration is centralizing all HR-related interactions through a single employee portal. By consolidating data and integrating HR processes with the HR service delivery platform, organizations can orchestrate all HR functions from one place. This unified approach simplifies the user experience, as employees no longer need to navigate multiple systems. It ensures that employees receive timely and efficient support during key momentssuch as onboarding, performance reviews, and leave managementresulting in improved efficiency, data accuracy, and overall satisfaction. Service Level Agreement (SLA) Management: Effective management of Service Level Agreements (SLAs) is crucial when integrating Human Capital Management (HCM) systems with HR service delivery platforms. SLAs define the expected performance standards and response times for HR services, and their proper tracking ensures that service delivery meets organizational expectations. For instance, an integration between an HCM system and a service management platform can automate SLA tracking by providing real-time visibility into service request fulfillment times and performance metrics. This capability allows HR departments to monitor compliance with SLA terms, quickly address any deviations, and implement corrective measures as needed. Additionally, robust SLA management supports better communication between HR and employees by setting clear expectations for service delivery and resolving issues in a timely manner. Overall, incorporating SLA management into the integration process enhances accountability, improves service quality, and contributes to more efficient HR operations. Literature Review The integration of Human Capital Management (HCM) systems with HR service delivery platforms has been a topic of growing interest in both academic and professional circles. HCM systems streamline various HR processes by consolidating functions such as recruitment, onboarding, payroll, performance management, and talent development into a single, unified platform. This integration reduces the need for manual data entry and helps ease administrative burdens, facilitating seamless communication and collaboration among HR departments, managers, and employees[4]. For instance, research highlights the advantage of having up-to-date workforce data, which is crucial for informed decision-making, giving organizations the insights they need to make informed decisions[4]. Furthermore, integrated HCM systems can offer built-in reporting and analytics capabilities, which are essential for effective decision-making[5]. These systems also help create a unified user experience, reducing security risks and enabling faster, more accurate processes by consolidating multiple HR functions into a single platform[4][6]. However, the literature also acknowledges certain challenges associated with integrating HCM systems with HR service delivery platforms. Achieving seamless integration requires considering beyond just systems to building an integrated business process that includes various stakeholders such as payroll, HR managers, and finance teams[7]. The complexity of managing multiple vendors, contracts, and user experiences in a best-of-breed approach is another challenge that organizations might face[6]. Moreover, studies have pointed out that although integrated systems can enhance HR productivity and improve employee satisfaction, they still require multiple systems to work together effectively[8]. There is a need for powerful, multifaceted workforce systems that can offer everything in one system to ensure workflows run together seamlessly[8]. Emerging research also points to the importance of data privacy and security when integrating HCM systems. As organizations handle increasing amounts of sensitive employee data, the need for robust security protocols becomes paramount. Studies suggest that integrating systems can expose data to potential breaches if not managed correctly, necessitating advanced security measures and regular audits. Methodology The integration of Human Capital Management (HCM) systems with HR service delivery platforms involves a structured and methodical approach to ensure seamless workflows and data synchronization. This section outlines the key steps, tools, and technologies involved in achieving this integration. 1. Planning and Assessment: Define Objectives : Begin by clearly defining the objectives of integration, including desired outcomes such as improved efficiency, enhanced employee experience, and streamlined processes. Adopt a human-centric approach, placing employee experience at the core of decision-making [9] : Begin by clearly defining the objectives of integration, including desired outcomes such as improved efficiency, enhanced employee experience, and streamlined processes. Adopt a human-centric approach, placing employee experience at the core of decision-making [9] Evaluate Existing Systems : Conduct a thorough assessment of current HCM and HR service delivery systems. Identify any gaps or inefficiencies in existing processes and determine the specific needs for integration. : Conduct a thorough assessment of current HCM and HR service delivery systems. Identify any gaps or inefficiencies in existing processes and determine the specific needs for integration. Stakeholder Engagement: Engage key stakeholders, including HR managers, IT teams, and end-users, to gather requirements and ensure alignment with organizational goals[7]. 2. Design and Strategy: Integration Strategy : Develop a comprehensive integration strategy that outlines the scope, timeline, and resources required for the integration process. : Develop a comprehensive integration strategy that outlines the scope, timeline, and resources required for the integration process. Select Integration Tools : Choose appropriate integration tools and technologies, such as middleware, APIs, or integration platforms, that facilitate seamless data exchange between systems. : Choose appropriate integration tools and technologies, such as middleware, APIs, or integration platforms, that facilitate seamless data exchange between systems. Data Mapping and Migration: Create a detailed data mapping plan to ensure that data from different systems is accurately transferred and synchronized. Perform data migration in phases to minimize disruptions. 3. Implementation: System Configuration : Configure the HCM and HR service delivery platforms to align with the integration strategy. This may involve setting up automated workflows, configuring data fields, and establishing user permissions[7][10][11]. : Configure the HCM and HR service delivery platforms to align with the integration strategy. This may involve setting up automated workflows, configuring data fields, and establishing user permissions[7][10][11]. Testing : Conduct thorough testing of the integrated systems to identify and resolve any issues before going live. Testing should include functional, performance, and security tests to ensure system stability and reliability. : Conduct thorough testing of the integrated systems to identify and resolve any issues before going live. Testing should include functional, performance, and security tests to ensure system stability and reliability. Training: Provide training for HR staff, managers, and employees on the new integrated systems. Ensure that users are familiar with the updated processes and functionalities. 4. Monitoring and Optimization: Continuous Monitoring : Implement monitoring tools to track the performance of the integrated systems. Regularly review system metrics, user feedback, and process efficiency to identify areas for improvement. : Implement monitoring tools to track the performance of the integrated systems. Regularly review system metrics, user feedback, and process efficiency to identify areas for improvement. Optimization : Continuously optimize the integrated systems based on performance data and user feedback. Address any issues promptly and make adjustments to enhance system functionality and user experience. : Continuously optimize the integrated systems based on performance data and user feedback. Address any issues promptly and make adjustments to enhance system functionality and user experience. Future Enhancements: Stay informed about emerging technologies and trends in HR technology. Plan for future enhancements and updates to ensure that the integrated systems remain current and effective. 5. Documentation and Reporting: Document Processes : Maintain comprehensive documentation of integration processes, configurations, and system changes. This documentation serves as a reference for troubleshooting and future upgrades. : Maintain comprehensive documentation of integration processes, configurations, and system changes. This documentation serves as a reference for troubleshooting and future upgrades. Reporting: Develop reporting mechanisms to track key performance indicators (KPIs) and measure the success of the integration. Regularly review reports to assess the impact of the integration on HR processes and employee experience. By following this methodology, organizations can achieve a successful integration of HCM systems with HR service delivery platforms, leading to streamlined processes, improved data accuracy, and enhanced employee satisfaction[12][13]. Challenges and Solutions The integration of Human Capital Management (HCM) systems with HR service delivery platforms presents several challenges that organizations must address to achieve a successful and seamless integration. This section explores common challenges and proposes solutions to mitigate them. 1. Managing Multiple Systems: Challenge : Organizations often use multiple systems for different HR functions, which can lead to complexities in integration and data synchronization. : Organizations often use multiple systems for different HR functions, which can lead to complexities in integration and data synchronization. Solution: Adopt an integration platform that supports seamless connectivity between various systems. Utilize middleware and APIs to facilitate data exchange and ensure that all systems work together harmoniously. 2. Ensuring Data Consistency: Challenge : Maintaining data consistency across integrated systems can be challenging, especially when dealing with large volumes of employee data. : Maintaining data consistency across integrated systems can be challenging, especially when dealing with large volumes of employee data. Solution: Implement robust data mapping and validation processes to ensure data accuracy and consistency. Regularly audit data and establish data governance practices to address discrepancies and maintain data integrity. 3. Navigating Complex Contracts and Vendors: Challenge : Managing contracts and relationships with multiple vendors can complicate the integration process and lead to delays or misalignments. : Managing contracts and relationships with multiple vendors can complicate the integration process and lead to delays or misalignments. Solution: Establish clear communication channels and coordination among vendors. Negotiate comprehensive service level agreements (SLAs) that outline expectations and responsibilities for all parties involved. 4. Ensuring User Adoption: Challenge : Employees and HR staff may be resistant to change or struggle to adapt to new integrated systems. : Employees and HR staff may be resistant to change or struggle to adapt to new integrated systems. Solution: Provide thorough training and support to users to facilitate a smooth transition. Communicate the benefits of the new systems and offer ongoing assistance to address any issues or concerns[14]. 5. Addressing Security and Privacy Concerns: Challenge : Integrating systems can expose sensitive employee data to potential security risks and privacy breaches. : Integrating systems can expose sensitive employee data to potential security risks and privacy breaches. Solution: Implement robust security measures, such as encryption and access controls, to protect data. Regularly review and update security protocols to address emerging threats and ensure compliance with data protection regulations. 6. Integration Costs and Resource Allocation: Challenge : Integration projects can be costly and require significant resources, including time, personnel, and budget. : Integration projects can be costly and require significant resources, including time, personnel, and budget. Solution: Develop a detailed budget and resource plan that accounts for all aspects of the integration process. Prioritize critical components and phase the integration to manage costs and resources effectively. By proactively addressing these challenges and implementing effective solutions, organizations can achieve a successful integration of HCM systems with HR service delivery platforms, resulting in enhanced operational efficiency and improved employee experiences. Advanced Integration Technologies and Future Trends Advanced Integration Tools and Middleware: To achieve seamless integration between HCM systems and HR service delivery platforms, organizations increasingly rely on sophisticated integration tools and middleware solutions. These technologies facilitate real-time data exchange, synchronization, and process automation. For example, integration platforms as a service (iPaaS) solutions allow for connecting multiple cloud-based applications, enabling seamless data flow between HCM systems and HR platforms. Middleware tools, such as MuleSoft or Boomi, provide robust APIs and connectors that streamline the integration process, reducing the complexity and cost of integration projects. Low-Code and No-Code Integration Platforms: The rise of low-code and no-code platforms has transformed how organizations approach HCM and HR service delivery integration. These platforms enable HR teams to create and customize workflows without requiring extensive programming knowledge. For instance, an HR department could use a low-code platform to design an automated workflow that triggers notifications to different departments when a new hire completes onboarding steps. This approach not only speeds up the integration process but also allows for greater flexibility and adaptability to changing business needs. Enhanced Data Analytics and Reporting Capabilities: Modern HCM and HR service delivery platforms are increasingly incorporating advanced data analytics and reporting tools that provide real-time insights into HR processes and employee performance. Integrating these systems allows organizations to aggregate data from multiple sources, creating a holistic view of workforce metrics. For example, integrating an HCM system with an HR service delivery platform can provide dashboards that display key performance indicators (KPIs) such as employee turnover rates, time to fill positions, and employee satisfaction scores. These insights enable HR leaders to make data-driven decisions that enhance workforce management. Robotic Process Automation (RPA) in HR Workflows: RPA technology is being leveraged to automate repetitive and time-consuming tasks within HR workflows. When integrated with HCM systems and HR service delivery platforms, RPA bots can automate processes such as data entry, document verification, and payroll processing. For example, an organization could use RPA to automate the extraction of employee data from HR cases and input it into the HCM system, reducing manual errors and freeing up HR staff to focus on strategic initiatives. Cloud-Based Integration and Scalability: The shift towards cloud-based HCM and HR service delivery platforms has made integration more accessible and scalable. Cloud platforms provide the flexibility needed to integrate various HR systems, ensuring that data is always up-to-date and accessible from anywhere. For instance, organizations could integrate its cloud-based HCM system with its HR service delivery platform to ensure that all employee data, from payroll to performance reviews, is synchronized in real time. This scalability is particularly beneficial for organizations that are growing or have a distributed workforce, as it allows them to maintain consistent HR processes across multiple locations. API Management and Microservices Architecture: Application Programming Interfaces (APIs) play a crucial role in facilitating integration between HCM systems and HR service delivery platforms. By adopting an API-first approach, organizations can create flexible and modular integrations that are easier to manage and update. Microservices architecture further enhances this flexibility by breaking down complex HR processes into smaller, manageable services that can be independently developed and deployed. For example, a microservices-based HR platform could integrate seamlessly with an HCM system to handle specific functions such as leave management or benefits administration, allowing for quicker updates and more targeted improvements. Security and Compliance Enhancements: As organizations integrate more systems, ensuring data security and compliance becomes increasingly important. Advanced security features, such as end-to-end encryption, multi-factor authentication, and compliance with regulations like GDPR and CCPA, are now standard in many HCM and HR service delivery platforms. For instance, an integrated HR system could automatically enforce compliance with local labor laws by updating policies and procedures as regulations change, reducing the risk of non-compliance and associated penalties. AI and Machine Learning Applications: AI and machine learning are set to transform further the integration of HCM systems and HR service delivery platforms. These technologies can predict workforce trends, personalize employee experiences, and optimize HR processes. For example, machine learning algorithms can analyze historical data to predict employee turnover, enabling HR teams to address retention issues proactively. Additionally, AI-powered chatbots integrated with HR platforms can provide employees with instant answers to HR-related queries, improving the overall employee experience. Analysis Integrating Human Capital Management (HCM) systems with HR service delivery platforms brings substantial improvements to the efficiency and accuracy of HR processes. This integration is transformative, impacting several key areas: Process Efficiency: Integration markedly enhances process efficiency by automating repetitive tasks and ensuring consistent workflows. For example, automating onboarding processes streamlines the sequence of activities when a new employee joins, reducing the time and complexity involved. This integration ensures seamless data flow across various modules, eliminating the need for manual data aggregation and complex spreadsheets, which often slow down operations and introduce errors[10]. Data Accuracy: Improved data accuracy is a critical advantage of HCM integration. When HR systems such as HRIS and ERP are effectively connected, data consistency and reliability are significantly enhanced. This integration helps eliminate discrepancies arising from data silos and manual entry errors. For instance, integrating background screening and verification services within HCM platforms helps maintain compliance and ensure the accuracy of candidate information, thereby protecting the organization and supporting regulatory adherence[8]. Employee Satisfaction: Integrated and automated HR processes also contribute to higher employee satisfaction. For example, an integrated onboarding system that allows new hires to complete all necessary steps online before their first day can lead to increased engagement and satisfaction[9][3]. By minimizing administrative burdens, employees can concentrate more on their roles, enhancing productivity and job satisfaction. Automated processes such as setting up user accounts, sending welcome emails, and tracking onboarding progress ensure a smooth and efficient transition for new employees[11]. Cost Savings and ROI: The financial benefits of HCM integration are significant. By reducing manual processes and minimizing errors, organizations can achieve substantial cost savings. For instance, integrating an HCM system with financial management software can cut administrative costs. The return on investment (ROI) for such integrations is often realized within the first year, as savings in time, labor, and error reduction translate into financial benefits. Conclusion The integration of Human Capital Management (HCM) systems with HR service delivery platforms offers significant improvements in HR practices, including enhanced process efficiency, data accuracy, and employee satisfaction. This seamless integration enables organizations to automate critical HR functions, streamline workflows, allow for a holistic view of the employee lifecycle, and ensure consistent data flow, leading to better decision-making, overall productivity, and enhanced employee experience[15][5][13]. However, achieving successful integration requires more than just technical implementation. It necessitates cultural and organizational changes to ensure alignment and acceptance across all levels of the organization. Key considerations include: Change Management : Implementing a robust change management strategy is crucial for guiding employees through the transition. This involves clear communication, training, and support to help staff adapt to new systems and processes. : Implementing a robust change management strategy is crucial for guiding employees through the transition. This involves clear communication, training, and support to help staff adapt to new systems and processes. Stakeholder Engagement : Engaging with stakeholders across HR, IT, and management teams ensures that their needs and concerns are addressed, fostering a collaborative approach to integration. : Engaging with stakeholders across HR, IT, and management teams ensures that their needs and concerns are addressed, fostering a collaborative approach to integration. Continuous Improvement: Organizations should establish feedback mechanisms to gather insights from users and make continuous improvements to the integrated systems. The landscape of HR technology is continuously evolving, driven by the need for more sophisticated and integrated solutions that can adapt to changing business environments and workforce dynamics. Organizations must stay abreast of these developments and be proactive in adopting new technologies that can provide strategic advantages in managing their human capital. As integration becomes more prevalent, the focus will likely shift towards leveraging data analytics and AI not only to manage but also to predict and influence workforce trends, thereby shaping the future of HR practices. In conclusion, successful integration of HCM systems with HR service delivery platforms requires careful planning, robust execution, and ongoing optimization. By embracing these practices and focusing on the employee experience, organizations can elevate their HR practices and drive meaningful improvements in workforce management and engagement. HR leaders and technology strategists should consider starting their integration journey by conducting a comprehensive audit of their current systems. Identify areas for improvement, engage with key stakeholders, and develop a clear strategy to achieve seamless integration. By investing in the right tools and technologies, organizations can enhance their HR processes and deliver a superior employee experience. References [1] ServiceNow. (n.d.). HR service management and delivery: HRSD. ServiceNow. https://www.servicenow.com/products/hr-service-delivery.html [2] Behnke, K. (2024, June 21). 20 best onboarding software: Reviewed & compared for 2024. People Managing People. https://peoplemanagingpeople.com/tools/best-hr-software-for-onboarding/ [3] Main, K., & Watts, R. (2024, June 5). What is human capital management (HCM)? Forbes. https://www.forbes.com/advisor/business/what-is-human-capital-management/ [4] ServiceNow. (n.d.). What is human capital management (HCM)? ServiceNow. https://www.servicenow.com/products/hr-service-delivery/what-is-human-capital-management.html [5] Behnke, K. (2024, July 9). 20 best HCM software: Reviewed & compared in 2024. People Managing People. https://peoplemanagingpeople.com/tools/hcm-software/ [6] ADP. (n.d.). What is human capital management? ADP. https://www.adp.com/resources/articles-and-insights/articles/w/what-is-human-capital-management.aspx [7] Appleby, T. (2022, June 23). How to realize the full potential of integrating payroll with your HCM. Immedis Blog. https://blog.immedis.com/blog/how-to-realize-the-full-potential-of-integrating-payroll-with-your-hcm [8] Fuse Workforce. (n.d.). How an HCM system can improve HR productivity, recruiting, and hiring. Fuse Workforce. https://www.fuseworkforce.com/blog/how-hcm-system-can-improve-hr-productivity-recruiting-hiring [9] Userlane. (n.d.). A human-centric approach to HCM implementation. Userlane. https://www.userlane.com/blog/a-human-centric-approach-to-hcm-implementation/ [10] Reddy, S. (2022, March 3). How integrating HCM and ERP systems benefits your business. Criterion HCM. https://www.criterionhcm.com/blog/how-integrating-hcm-and-erp-systems-benefits-your-business [11] Gitlin, J. (n.d.). Onboarding automation: Here's everything you need to know before implementing it. Workato. https://www.workato.com/the-connector/onboarding-automation-guide/ [12] Personio. (n.d.). How can human capital management help achieve your business goals? Personio. https://www.personio.com/hr-lexicon/human-capital-management-hcm/ [13] IBM. (n.d.). Human capital management (HCM). IBM. https://www.ibm.com/topics/human-capital-management [14] Center for Research on Learning and Teaching. (n.d.). Guidelines for discussing difficult or high-stakes topics. University of Michigan. https://crlt.umich.edu/publinks/generalguidelines [15] Conversation Starters World. (n.d.). Topics to talk about. Conversation Starters World. https://conversationstartersworld.com/topics-to-talk-about/ [16] Paychex. (2024, May 28). The differences between HCM vs. HRIS vs. HRMS. Paychex. https://www.paychex.com/articles/hcm/whats-the-difference-between-hris-hcm-and-hrms About the Author Debashish Acharya is an accomplished HR and IT technology strategist with extensive experience in integrating Human Capital Management (HCM) systems to streamline HR processes. As the ServiceNow HR Manager at Cummins Inc., he has been instrumental in implementing cutting-edge solutions that improve service delivery and enhance employee experiences. State Alabama Alaska Arizona Arkansas California Colorado Connecticut Delaware Florida Georgia Hawaii Idaho Illinois Indiana Iowa Kansas Kentucky Louisiana Maine Maryland Massachusetts Michigan Minnesota Mississippi Missouri Montana Nebraska Nevada New Hampshire New Jersey New Mexico New York North Carolina North Dakota Ohio Oklahoma Oregon Pennsylvania Rhode Island South Carolina South Dakota Tennessee Texas Utah Vermont Virginia Washington Washington D.C. West Virginia Wisconsin Wyoming Puerto Rico US Virgin Islands Armed Forces Americas Armed Forces Pacific Armed Forces Europe Northern Mariana Islands Marshall Islands American Samoa Federated States of Micronesia Guam Palau Alberta, Canada British Columbia, Canada Manitoba, Canada New Brunswick, Canada Newfoundland, Canada Nova Scotia, Canada Northwest Territories, Canada Nunavut, Canada Ontario, Canada Prince Edward Island, Canada Quebec, Canada Saskatchewan, Canada Yukon Territory, Canada Zip Code Elon Musk and Tesla may have fully embraced the "taxi cab" spirit as a weirdly-shaped vehicle strolling around Los Angeles, California has been spotted, and it's rumored to be its upcoming robotaxi. The Tesla Robotaxi is long due from the company as Musk initially teased its unveiling by August. Elon Musk's Tesla Robotaxi Rumored to be Strolling Around L.A. The Tesla Robotaxi's slated October 10 launch is less than a month away, and a rumored camouflaged car strolling around Los Angeles is believed to be a prototype of this future vehicle. According to The Verge, a Warner Bros. Discovery employee initially posted this spy shot on Reddit under the username u/boopitysmopp, but the employee has since deleted the post and their account. The image showed a wrapped vehicle that did not have any markings. What fuels the speculations that this vehicle is Elon Musk's anticipated robotaxi is the fact that it was tailed by a Tesla electric vehicle. As it rides around L.A. quietly, Tesla's Robotaxi is closely followed by a Model Y, but it remains unknown if the future ride-hailing vehicle has a driver behind its wheel. BREAKING Woah Tesla is testing this heavily camouflaged Cybercab robotaxi on the Warner Brothers lot. Well see the reveal on 10/10! pic.twitter.com/DDpR3hKLJk Matthew Donegan-Ryan (@MatthewDR) September 13, 2024 A Weirdly-Shaped Yellow Vehicle is the Tesla Robotaxi? Despite being taken down on Reddit, several users were able to grab the image and repost it on X. However, in a post by Matthew Donegan-Ryan, the weirdly shaped vehicle wrapped in yellow is far from the rumored renders of the Tesla Robotaxi. While the render features the Tesla Robotaxi to be sleeker and appear more premium, the prototype features irregularities in its design. It is believed that this is the LiDAR sensor, but there is also a rectangular-shaped item on its back, several hood indents, and different-looking tires. Tesla's FSD and Robotaxi Plans Tesla is among the top companies that popularized self-driving capabilities. The company initially introduced its Autopilot, which is meant as a driver-assist feature on its electric vehicles (EVs). Tesla eventually released the FSD, which is meant to be the more advanced version of the Autopilot. While the FSD ended its beta program after several years of testing it, the full self-driving feature is not yet here, and it is facing significant issues because of multiple crash involvements. Earlier this year, Elon Musk confirmed that Tesla is launching its robotaxi service and will unveil it later in the year. The robotaxi is seen as a rival to the likes of Alphabet's Waymo and General Motors' Cruise. The initial plan of Tesla is to unveil the new robotaxi via a showcase slated for August, but the company has since moved it to the mid-fall season. Fans and speculators have different beliefs on why this robotaxi launch has been delayed, and this includes reasons like the need for more time to create additional prototypes or tweaks its features and self-driving technology. Home > 2024 > Political ping pong over the death of a woman medic in Calcutta | Arun (...) by Arun Srivastava Every one, either he is a hawker, a trader, a housewife, or a cinema artist is feeling anguished and exasperated over the rape and murder of the 31-year-old postgraduate medic at the R G Kar hospital and has hit the streets seeking justice for her. All want accountability from the Mamata government. But they could not spell out what they mean by accountability. Ironically none of them have a clear perception of the justice they are seeking. Their common demand is;" we want justice for the woman medic and CBI should hasten up the investigation and identify the culprits". Their angst and protest are primarily directed against Mamata Banerjee and her police. Usually, the government and police administration come under attack for this nature of crime. None can deny that the deceased soul must get justice and her predators must be punished. It is indeed a slur for a civilised society. It was precisely for transparency in investigation and handing over justice to the deceased that the case was handed over to the CBI, just fter couple of days of the ghastly crime. Nevertheless, the Supreme Courts September 9 order sends the message that the CBI which was entrusted with the task to identify the culprits by the apex court has not accomplished the task even after one month. The top court had to direct the CBI to submit a fresh report by September 17 on the probe in the case. The question arises why the CBI was delaying the probe? Is it intentional? The agency sleuths are supposed to ask for all documents from the police. But in this case it was found to be faulting. The Kolkata police had earlier not submitted the challan. Certainly, it is at fault. But since the top court has entrusted the case to the CBI, why should it not seek that document? Was it to delay the probe and also to put the state government in dock? How could CBI fail to procure the challan from the Kolkata police? It has separate columns for the name and caste of the deceased, gender and age, residence, the place where the body was found, the date and time when the body was dispatched along with the distance from the scene of crime to the morgue where the post-mortem would be carried out, how the body was transported along with the officer accompanying the body, marks on the body, the prima facie cause of death and what clothes and articles were sent along with the body. Without the challan the doctor cannot perform the post mortem. The junior doctors have the right to resort to agitation seeking justice to their deceased colleague. But how could they ignore their moral responsibility of not attending to the ailing patients. The issue came up before the apex court today. The court had to direct the protesting doctors to resume work by 5 pm on Tuesday and also cautioned that no adverse action shall be taken against them on resumption of work. This was the second occasion court had to instruct. In its earlier hearing too the court had asked the doctors to go back to their jobs. But they disobeyed the courtas order. They are grieved does not mean that they should deliberately kill the poor patients. The court was told by state government counsel that 23 patients have died. But unofficial sources put the death figure at more than 50. This is really deplorable. At least 5,000 scheduled surgeries had been cancelled across the states 26 government medical college hospitals because of the agitation. An average of 400 elective surgeries used to take place daily at the government medical college hospitals, but the figure has dropped below 100 since the cease-work began. A five-year-old boy with kidney stones and an elderly woman who had suffered a stroke were refused admission at SSKM, while a man with a stroke was sent back from Medical College Kolkata. The bench observed; "A status report has been filed by CBI, it appears that investigation is in progress, we direct the CBI to file fresh status report...We dont want to guide the CBI on its investigation". Appearing on behalf of CBI, the SG told the bench that the probe agency has decided to send forensic samples to AIIMS for further investigation. Obviously question arises why so far CBI has not send it AIIMS. The primary task of the CBI was to identify and put on trial the rapist-killer. It is most unfortunate that CBI even after a month has not come out with a candid revelation that how many culprits were in volved. Or, was the crime committed only by the civic volunteer? Why the CB I is not coming clear. This is the prime issue that has been agitating the common people, particularly the Bhadralok middle class. Kolkata Police had arrested ne person, a day after the crime. Even some CBI officers hold that the evidence they had gathered so far suggests the involvement of one man. Test results received so far did not indicate DNA strands of more than one person. It appears that the rightist forces, the independent elements are out to create a Bangladesh-like situation. The apprehension gets strength from the allegation of the home ministry that state government has not been using the three companies of CISF for safety of the doctors and hospitals and also has not provided them accommodation. The entire happening sends a clear message that rightist forces are out to force a complete administrative breakdown. The nature of the agitation has turned a large section of the people to wonder if this could this turn a new leaf in Bengals political landscape. Are the rightist forces out to create lawlessness in state? The trajectory of the agitation during last 30 days makes it amply clear that it has not only lost direction, but also the perspective. The movement started for justice to the woman has taken the turn for Mamata bashing and creating lawless situation. Perilously so far the CBI has not succeeded in establishing the fact that principal of the college was involved in the crime. The CBI is putting its entire efforts and brains to prove that he was corrupt and it was inability to provide a good governance. In a way he was being used to vilify Mamata and her government of patronising corrupt and promoting corruption. CBI is out to dig skeletons. Nice. But he must strictly adhere to its assigned task: find put the culprit who raped and killed the woman. Beating around the bush and projecting the principal as a most corrupt person will certainly not delivery justice to the woman. The Trinamul Rajya Sabha MP Jawhar Sircar while expressing his desire to step down from the upper House of the Parliament as well as retire from politics has pointed out the serious threat the political institution of the state was facing. He pulling up the Mamata government for inept handling of the crime, pointed out that "there is a need to change strategy or the communal forces will take over the state." The fact is the communal and rightist forces been dictating the agitation and deciding its course. The mode of their agitation does not reflect what actually they desire or seek from the government. Delivery of justice at this stage is the moral responsibility of the CBI. But it wonat be an exaggeration to say they are serious and have been hedging around. The sincerity of the CBI in delivering justice to the woman medic is evident from this small piece of news. A week back a lower court in Sealdah was hearing the bail petition of the accused. Realising the importance of the case the CBIas IO should have been present just at the time. IO instead deputed his assistant who did not know anything about the case. Even CBI counsel was not present. An enraged judge around 4.30 in the evening said aokay then I am granting bail to the accused.a However after 40 minutes of this, the CBI produced a lawyer. But he was not the regular counsel of CBI. He came before judge at 5.10 pm. Angry judge relented and sent the accused for more 14 day judicial custody. This exposes the machination and intentions of the central agency. Just a day before the Calcutta High Court came out with the direction to hand over the case to CBI, responding to a petition seeking a CBI probe, Mamata had gone on record that Kolkata police would arrest the culprit by Sunday, just after four days of the court order. aIn case Kolkata police fails I will hand over the case to the CBIa , she had said. High Court could well have acceded to the plea of Mamata and allowed Kolkata police four-days time. Only the next day of the order the CBI took over the case, but even after a month, it has not officially come out with the information that how many criminals were actually involved in the crime. It is also said that if Mamata had interacted with the junior doctors, this situation must have been averted. Personally, I believe it would not have worked. Attack on the protesters inside the hospital in the night of August 14, and later the procession of the medics to Lal Bazar police head quarters and handing of a spinal cord to the Kolkata police chief make it explicit that some forces are working from behind the curtain. In case of the medic, a number of groups have apparently launched their separate movements. But a closer look would make it clear that at some plane they share the same perception and idea. Incidentally the media too has started questioning the protesters about their leaders. Confusion persists about the true intentions of the protesters. Basically this has been the reason that some eminent scholars who had earlier greed to be part of the Sundays Reclaim the Night had developed cold feet. Nivedita Menon, SC advocate Vrinda Grover dissociated themselves from organising group; Economist Jean Dreze, Actor director Aparna Sen say they just shared thoughts; Partha Sarathi Sengupta says role limited to courts. Menon told media "We were not informed that we would be named as part of a formal advisory committee. The impression conveyed to me as well as Vrinda Grover was that the organisers would occasionally reach out to us when they draft a document with recommendations, etc." Dreze emphasised; Ive shared thoughts on durable measures for womens freedom and safety. As an outsider, I would not presume to understand the complexities of the agitations that are happening in Kolkata, I have shared some thoughts as I normally do." Bengali stars known for being outspoken have been conspicuously mum on the rape-murder that has rocked Calcutta and the country. These actors have been quite vocal in 2013, during a massive rally against the gang-rape and murder of a college girl in Kamduni. Sabyasachi Chakraborty, a popular actor known for his left leanings, hasnt spoken a word in public. Konkona Sen Sharma was outspoken about sexual harassment has remained silent on RG Kar. Actress Madhabi Mukherjee was a passionate advocate of harsh punishment for crimes against women. During the 1990 Dhananjoy Chatterjee case, she was at the forefront, demanding capital punishment. She has not commented on the RG Kar incident yet. Actor Rituparna Sengupta faced hostility from a group of protesters at Shyambazar as she arrived at the protest venue. By now it is clear that the protesters do not want that persons who can question their identity should associate with the agitation. When she attempted to address the crowd, protestors shouted: "Go back." It is really sad that an attempt is being made to create economic crisis in the state. The protesters should have requested the apex court to set a time frame for CBI to complete the probe. It is significant so far CBI has not contradicted or found fault with the initial probe of Kolkata police. Surprisingly after nearly twenty day of the crime, the parents of the girl have come out with the accusations against police and government. They said; "We wanted to keep her body. But there was so much pressure on us. We were sitting in front of Tallah police station for an hour and about 300-400 police personnel surrounded us and created such a situation that we were almost forced to return home. When we returned home, we saw that 300-400 policemen had barricaded our house. The circumstances were such that we were left with nothing more to do. We were forced to cremate our daughters body. Father of the girl even alleged that he was offered huge money by a police officer, which he refused to take. But his statement has been contradicted by none else but by chief minister, Mamata Banerjee. She said, "I went to the victims house but did not give money but spoke about compensation following Court order. I told deceased doctors parents that if they wanted to do something in their daughters memory, our government was with them". Now the question arises? Who is lying; Mamata or medics father. In all fairness instead of waiting for more than 20 days, he should have revealed just soon after the incident. A senior Kolkata Police officer claimed that they are in possession of a video in which the victimas parents are saying they have no allegation about the police offering money. Political party flags were notably absent. Instead, protesters held the national flag aloft. In fact the protesters have been conscious of not letting the agitation to go out of their hands. This was the reason that the RSS and BJP have floated an independent Chhatra Samiti to take out rallies and motivate the people to come to streets. In the case of agitation of this nature usually the identity is kept secret. An apolitical march was organised by the fifteen-day-old Pashchimbanga Chhatra Samaj and Sangrami Joutha Mancha. Nevertheless, the main architect of the Samaj has been the RSS. It got exposed at a press meet at the press club just a day ahead of the Nabbana rally. The protesters are a cocktail of various political affiliations. While the BJP cadres are in the lead. The supporters of CPI(M) and left have been organising a torchlight procession Junior doctors have also been questioning who decided to allow an undefined number of people inside the room? Who were these people? The Kolkata Police have claimed that it had sealed a 40-foot area where the body was found, while the crowd was in an 11-foot area outside. A senior police officer had in a media conference pointed to people at the crime scene and identified them as experts and police personnel. Soon after, the Indian Medical Associations West Bengal chapter had pointed out that the person the cops claimed was a fingerprint expert was actually a junior doctor who is part of the Trinamul Congress Chhatra Parishad. Surprisingly a section of the media has been equating with those Ten Days That Shook The World. It is irony that the Governor CV Ananda Bose, accused of molesting a Raj Bhawan lady employee remarked that the West Bengal government is "going from wrong to wrong". The Western Health and Social Care Trust (Western Trust) Adult Learning Disability service have announced the continuation of the Friends Together Adult Learning Disability Choir. Margaret McDaid, Community Access Worker at the Western Trust explains: Membership includes adults with a Learning Disability, their Carers and Staff from across the whole Western Trust area. Singing as part of a choir has proven to help adults with a learning disability to increase their confidence and learn a new skill whilst having fun. "We are currently looking to recruit new members to expand the choir and are seeking to make contact with anyone who may be interested in joining. The Friends Together choir meets via Zoom on Tuesday evenings, the choir also meet up regularly to sing together in the Alley Theatre, Strabane. We have had three successful shows to date and the Choir plan to showcase their skills in the future on stage. Choir Mistress Siobhan Heaney, said: We can improve our wellbeing by changing our physical, emotional, and social state through music and singing. Songs can affect how we feel, and we can choose different pieces of music according to how we feel. Singing has the power to evoke the spectrum of emotions. "When we sing together our mood is elevated as is social connection. Being part of a choir brings confidence, joy and friendship to each member and helps put a smile on each and everyones face. I believe that it is possible to improve your health one song at a time! Margaret added: If you are a person with a learning disability or care for someone with a learning disability and you are interested in more information please contact Margaret McDaid on email. We are looking forward to welcoming members to join this wonderful choir. Thank you. Please allow ads as they help fund our trusted local news content. Kindly add us to your ad blocker whitelist. If you want further access to Ireland's best local journalism, consider contributing and/or subscribing to our free daily Newsletter . Support our mission and join our community now. The British government has confirmed the City Deal for Derry will go ahead as planned. There was widespread shock on Friday after the government said that it would 'pause' funding for the North's four City Deal's, which includes Derry. The signing of the 300 million City Deal for Derry is due to take place on Wednesday. Writing on X one day after announcing the Deal would be paused, Secretary of State for Northern Ireland Hilary Benn said: "I look forward to signing the financial terms of the Derry City and Strabane Deal, which has been in development for so long, on behalf of the UK Government in the coming days." We understand the importance of the Derry City and Strabane Deal, which is at a very advanced stage, a British government spokesperson said. "The UK government is committed to continuing to work closely with the Northern Ireland Executive on this Deal, to enable it to deliver growth and opportunity. Since the government's decision outgoing SDLP leader Colum Eastwood said he has been 'engaging intensively' with senior Cabinet Ministers in the Treasury and Northern Ireland Office over the weekend seeking urgent confirmation that funding for the City Deals will continue. The Foyle MP welcomed an initial commitment from the British government today to continue funding for the Derry City Deal. Mr Eastwood said: I am glad that we have made progress over the last 24 hours and have received confirmation that the Derry City Deal will continue as planned. This is a good start and we have more work to do. Derry and the North West, in particular, needs this level of capital investment to kickstart our ambitions for greater regional balance and progress higher education provision. "It should never have been placed in doubt. First Minister Michelle ONeill posted on X that the Derry and Strabane deal would go ahead. She said: I have spoken with the British Secretary of State and urged the same approach should be taken with the other city & growth deals, and projects like Casement Park. These will be major economic boosters for our local economy and should go ahead. Local Sinn Fein councillor Sandra Duffy said confirmation that the City Deal will go ahead as planned will give clarity to those working to deliver vital regeneration projects. Cllr Sandra Duffy said: This is a historic investment in this region and will be a game-changer for the regeneration of communities, creating good jobs and helping to strengthen the north wests economy. The British Government must adopt a similar approach to all City and Growth Deals for communities across the north and immediately reverse the unacceptable decision to pause this funding. Country United States of America US Virgin Islands United States Minor Outlying Islands Canada Mexico, United Mexican States Bahamas, Commonwealth of the Cuba, Republic of Dominican Republic Haiti, Republic of Jamaica Afghanistan Albania, People's Socialist Republic of Algeria, People's Democratic Republic of American Samoa Andorra, Principality of Angola, Republic of Anguilla Antarctica (the territory South of 60 deg S) Antigua and Barbuda Argentina, Argentine Republic Armenia Aruba Australia, Commonwealth of Austria, Republic of Azerbaijan, Republic of Bahrain, Kingdom of Bangladesh, People's Republic of Barbados Belarus Belgium, Kingdom of Belize Benin, People's Republic of Bermuda Bhutan, Kingdom of Bolivia, Republic of Bosnia and Herzegovina Botswana, Republic of Bouvet Island (Bouvetoya) Brazil, Federative Republic of British Indian Ocean Territory (Chagos Archipelago) British Virgin Islands Brunei Darussalam Bulgaria, People's Republic of Burkina Faso Burundi, Republic of Cambodia, Kingdom of Cameroon, United Republic of Cape Verde, Republic of Cayman Islands Central African Republic Chad, Republic of Chile, Republic of China, People's Republic of Christmas Island Cocos (Keeling) Islands Colombia, Republic of Comoros, Union of the Congo, Democratic Republic of Congo, People's Republic of Cook Islands Costa Rica, Republic of Cote D'Ivoire, Ivory Coast, Republic of the Cyprus, Republic of Czech Republic Denmark, Kingdom of Djibouti, Republic of Dominica, Commonwealth of Ecuador, Republic of Egypt, Arab Republic of El Salvador, Republic of Equatorial Guinea, Republic of Eritrea Estonia Ethiopia Faeroe Islands Falkland Islands (Malvinas) Fiji, Republic of the Fiji Islands Finland, Republic of France, French Republic French Guiana French Polynesia French Southern Territories Gabon, Gabonese Republic Gambia, Republic of the Georgia Germany Ghana, Republic of Gibraltar Greece, Hellenic Republic Greenland Grenada Guadaloupe Guam Guatemala, Republic of Guinea, Revolutionary People's Rep'c of Guinea-Bissau, Republic of Guyana, Republic of Heard and McDonald Islands Holy See (Vatican City State) Honduras, Republic of Hong Kong, Special Administrative Region of China Hrvatska (Croatia) Hungary, Hungarian People's Republic Iceland, Republic of India, Republic of Indonesia, Republic of Iran, Islamic Republic of Iraq, Republic of Ireland Israel, State of Italy, Italian Republic Japan Jordan, Hashemite Kingdom of Kazakhstan, Republic of Kenya, Republic of Kiribati, Republic of Korea, Democratic People's Republic of Korea, Republic of Kuwait, State of Kyrgyz Republic Lao People's Democratic Republic Latvia Lebanon, Lebanese Republic Lesotho, Kingdom of Liberia, Republic of Libyan Arab Jamahiriya Liechtenstein, Principality of Lithuania Luxembourg, Grand Duchy of Macao, Special Administrative Region of China Macedonia, the former Yugoslav Republic of Madagascar, Republic of Malawi, Republic of Malaysia Maldives, Republic of Mali, Republic of Malta, Republic of Marshall Islands Martinique Mauritania, Islamic Republic of Mauritius Mayotte Micronesia, Federated States of Moldova, Republic of Monaco, Principality of Mongolia, Mongolian People's Republic Montserrat Morocco, Kingdom of Mozambique, People's Republic of Myanmar Namibia Nauru, Republic of Nepal, Kingdom of Netherlands Antilles Netherlands, Kingdom of the New Caledonia New Zealand Nicaragua, Republic of Niger, Republic of the Nigeria, Federal Republic of Niue, Republic of Norfolk Island Northern Mariana Islands Norway, Kingdom of Oman, Sultanate of Pakistan, Islamic Republic of Palau Palestinian Territory, Occupied Panama, Republic of Papua New Guinea Paraguay, Republic of Peru, Republic of Philippines, Republic of the Pitcairn Island Poland, Polish People's Republic Portugal, Portuguese Republic Puerto Rico Qatar, State of Reunion Romania, Socialist Republic of Russian Federation Rwanda, Rwandese Republic Samoa, Independent State of San Marino, Republic of Sao Tome and Principe, Democratic Republic of Saudi Arabia, Kingdom of Senegal, Republic of Serbia and Montenegro Seychelles, Republic of Sierra Leone, Republic of Singapore, Republic of Slovakia (Slovak Republic) Slovenia Solomon Islands Somalia, Somali Republic South Africa, Republic of South Georgia and the South Sandwich Islands Spain, Spanish State Sri Lanka, Democratic Socialist Republic of St. Helena St. Kitts and Nevis St. Lucia St. Pierre and Miquelon St. Vincent and the Grenadines Sudan, Democratic Republic of the Suriname, Republic of Svalbard & Jan Mayen Islands Swaziland, Kingdom of Sweden, Kingdom of Switzerland, Swiss Confederation Syrian Arab Republic Taiwan, Province of China Tajikistan Tanzania, United Republic of Thailand, Kingdom of Timor-Leste, Democratic Republic of Togo, Togolese Republic Tokelau (Tokelau Islands) Tonga, Kingdom of Trinidad and Tobago, Republic of Tunisia, Republic of Turkey, Republic of Turkmenistan Turks and Caicos Islands Tuvalu Uganda, Republic of Ukraine United Arab Emirates United Kingdom of Great Britain & N. Ireland Uruguay, Eastern Republic of Uzbekistan Vanuatu Venezuela, Bolivarian Republic of Viet Nam, Socialist Republic of Wallis and Futuna Islands Western Sahara Yemen Zambia, Republic of Zimbabwe The search is officially underway to find the An Post Bookshop of the Year for 2024 with local people urged to nominate a Louth Bookshop. The category is now back for the fourth year running in the An Post Irish Book Awards, the annual literary event that celebrates and promotes Irish writing to the widest range of readers possible. The An Post Bookshop of the Year category acknowledges the vital role played by independent bookshops and local branches of bookshop chains in helping their local communities to find and enjoy their titles of choice. Previous winners include Kennys Bookshop and Art Gallery, Galway in 2021, Bridge Street Books, Wicklow in 2022, and last years winner, Halfway Up the Stairs in Greystones. Bookshop customers can visit https://www.anpost.com/bookshopoftheyear to submit a nomination for their own personal favourite bookshop and outline the reason for their choice. Readers can also enter by scanning the QR code on posters within their preferred bookshop and following the instructions. Everyone who votes will be entered into a draw to win 1 of 3 100 One4all vouchers. Nominations for the An Post Bookshop of the Year will close at midnight on Monday, 23rd September. The 12 bookshops around Ireland who receive the most online votes will then be longlisted and invited by the judges to enter a written submission. Mystery shoppers will also visit the 12 shops as part of the judging process. Following this, a shortlist of six shops will be finalised for the An Post Bookshop of the Year and they will be officially announced on 24th October. The overall winner will be presented with their trophy at the An Post Irish Book Awards ceremony on 27th November in the Convention Centre Dublin. The winner will receive a trophy along with a prize worth 15,000 from An Post Commerce. Now in its 19th year, the An Post Irish Book Awards celebrate and promote Irish writing to the widest range of readers possible. The initiative brings together a vast community passionate about books readers, authors, booksellers, publishers and librarians to recognise the very best of new and established Irish writing talent and 2024 will be no different. Categories in the An Post Irish Book Awards include Novel of the Year, Childrens (Junior and Senior), Cookery, Crime Fiction, Popular Fiction, Non-fiction, Sports, Short Story, Poetry, Teen and Young Adult and Irish Language. A television programme will be broadcast on RTE One television in December, culminating in one of the 2024 winning titles being announced as the An Post Irish Book of the Year 2024. Larry Mac Hale, Chairperson of the An Post Irish Book Awards, says: Bookshops hold a key place in communities throughout Ireland, acting as important cultural and social spaces for many. We introduced this category to honour the meaningful contribution that bookshops and booksellers make to their local area and the wider Irish book industry. We encourage everyone to support and vote for their favourite local bookshop in the An Post Bookshop of the Year category." Read Next: Residents of Marian Park celebrated the 65th anniversary of the Dundalk estate Dawn Behan, Chair of Bookselling Ireland, says: Irish bookshops are at the heart of our communities, playing a valuable role in Irelands literary landscape. Every day, booksellers use their knowledge and enthusiasm to foster a love of reading, particularly championing Irish books and writers. Im delighted that the An Post Bookshop of the Year category provides an opportunity for voters to recognise and celebrate the work of their local bookshop, connecting books and readers. Garrett Bridgeman, Managing Director of An Post Mails & Parcels said: The success of Irish bookshops is due to the positive relationships they have with their customers both in Ireland and all over the world. They take care of them by offering great in-store experiences, and seamless ecommerce offerings. Bookstores are incredibly important to local communities and connecting customers worldwide, and we are thrilled to once again sponsor this very special award category, to celebrate bookshops and booksellers and the incredible contribution they make across the country. Home > 2024 > Junior Doctors Movement in Kolkata: Right to the City | Arup Kumar (...) More than a decade back, the Marxist thinker, David Harvey, wrote a seminal book Rebel Cities: From the Right to the City to the Urban Revolution (Verso, 2012). Harvey argued: as has happened over the last decade, the idea of the right to the city has undergone a certain revivalWhat has been happening in the streets, among the urban social movements, is far more important. Kolkata has been witnessing the junior doctors movement for a month after the brutal rape and murder of a junior doctor on duty in R. G. Kar Medical College and Hospital on August 9, 2024. Organizing processions and rallies by big political parties is nothing new in West Bengal. What is novel in the present situation is that other than organizing processions in different corners of the city, the junior doctors movement has drawn people from different segments of the urban population in asserting their rights to the city. To put it in the words of The Telegraph (September 9, 2024) : Calcutta on Sunday turned into a city of rallies and protests that culminated in a nightlong vigil demanding justice for the R G Kar victim on the eve of the Supreme Courts scheduled hearing of the case (on September 9)What would otherwise have been a festive Sunday of shopping with Durga Puja barely a month away was marked by an outpouring of rage against the rape and murder of the 31-year-old doctor, with slogans and songs of protest reverberating across the city. In hearing the case on September 9, 2024, the three-judge bench of the Supreme Court led by the Chief Justice of India D Y Chandrachud pointed out significant procedural lapses of the State administration, including the missing document for post-mortem of the dead body of the victim. The bench also asked the protesting doctors to return to work by 5pm on September 10, adding that it could not stop the state government from taking disciplinary action if they failed to do so. The junior doctors are continuing their cease work even after the Supreme Court diktat to join their duties: The protesting junior doctorsdefied the 5pm deadline set by the Supreme Court to resume duties, and also an invitation from the state government for a dialogue with chief minister Mamata BanerjeeThe doctors said they would continue their sit-in outside Swasthya Bhavan until their demands were met. Till late on Tuesday (September 10) night, hundreds of junior doctors were sitting in front of Swasthya Bhavan. (The Telegraph, September 11, 2024) While reporting on the month-long junior doctors movement in Kolkata, The Indian Express observed (September 11, 2024): The city has seen more than 300 major rallies over the last month, many of these midnight events organized by women, for whom the incident of August 9 had rudely challenged their faith in their city. Kolkata now is a city thats standing vigil, pushing against the barricades. It is difficult to predict the political outcome of the junior doctors movement in Kolkata. The movement has been able to maintain its relative autonomy from the big political parties Left or Right. Whatever may be its political outcome, there is no doubt that it signifies that the idea of the right to the city has undergone a certain revival in the rebel city Kolkata. Gardai in north Cork are investigating two incidents of criminal damage in the Charleville area on Friday night which have left local residents "terrified". The first incident concerns criminal damage by fire that occurred at a domestic residence in the Fortlands area of the town, close to the Limerick border, that occurred at approximately 8.50pm. According to gardai, no persons were in the building at the time of the incident, and no injuries were reported at the time. The scene was preserved and a technical examination was carried out. Gardai say they are also investigating an incident of criminal damage to a car that occurred in the Rathgoggin area of Charleville later in the same evening, at approximately 9.55pm. Gardai are appealing to anyone who may have information in relation to these incidents to contact them. Video footage - which is understood to be of the alleged incidents - is being widely shared on social media. Local residents have said that local people are terrified by the level of violence involved. These are residential estates. This type of violence has been ongoing since last December. It is like the Wild West in Charleville. Nobody feels like they can speak up for fear of intimidation, said one resident. Any person, including road users and pedestrians, who were in the Charleville area, between 5pm and 11pm on Friday, September 13, 2024, and who observed any unusual activity, is asked to contact investigating gardai. READ ALSO: ALERT: Urgent appeal as concern grows for wellbeing of man missing from Dublin home Gardai are also appealing for information in relation to an Audi A6, a white Skoda Rapid, a BMW and a silver Ford Focus car, travelling in the Charleville area at this time. Any road users or pedestrians who were travelling in the area during this time and who may have camera footage (including dash cam) are asked to make this available to gardai. Anyone with information is asked to contact Mallow garda station on 022 31450, the Garda Confidential Line on 1800 666 111, or any garda station. Investigations are ongoing. Home > 2024 > Why Trump Needs To Be Trumped | Vijay Kumar The Old Adage: When America sneezes, the world catches cold may have worn out, but it remains the most powerful country in the world. The coming US Presidential Election in November is taking place in the most cataclysmic time, marked by a complete collapse of rule-based international order and international law, exacerbated by Putin and Netanyahus attack on Ukraine and Gaza respectively. At the same time, there is a stark contrast between the Republican and Democrat nominees, and thus, the outcome of the election will be extremely consequential --- not only for the US, but for the world. Unlike the disastrous performance by Joe Biden in his debate with Trump on 27th June, which forced him to withdraw from the election, and endorsed his Veep, Kamla Harris for the race, the latter came out on top with her debate with Trump on Tuesday. According to a CNN poll, Harris won the debate by a huge margin of 63 to 37. Thus, the electoral bounce generated in the Chicago Democratic convention seems to have been consolidated. But this upper hand in the debate should not induce any complacency in the democrat strategy. After all, Hillary Clinton, too, put Trump on the mat in her debate with him in the 2016 election. America today is dangerously polarized, and Trumps votes are almost intact. Donald Trump and Kamala Harris are diametrically opposite in all respects, including the foreign policy on which bipartisanship was the norm before Trumps arrival in the White House in 2016., and their divergent images and stands deserve to be noticed at the outset. Trump is a pathological liar, disruptor of the world order, incorrigibly racist, self-obsessed narcissist, insufferable megalomaniac, and inveterate misogynist. In complete contrast to this, Kamala Harris represents progressive and liberal ethos and values America is known for; Trump is dead against migration policy, whereas Kamala Harris is extremely circumspect on this issue; Trump is ultra neo-liberal in his economic policy and his win would accentuate the inequality. Though Trump has distanced away from Project 2025, which is the radical prescription for changing the very structure of the Administration from the inside out, few have any doubt about the project being wholly Trumpbacked. The economic inequality in the US is abnormally high and inequity inherent in it would be further aggravated. On the other hand, Kamala Harris will address the issue of rising inequality. In fact, she is addressing the issues concerning the middle class; Trump and three ultra-conservative judges: Neil. M. Gorsuch, Bret. M. Kavanaugh and Amy Coney Barret appointed by him will further tilt the balance against abortion, exemplified by the most regressive judgment of the Supreme Court in Dobb vs Jackson, rendered in June 2022, which overruled the most liberal judgment of the US Supreme Court in Roe vs. Wade handed down in 1973 granting the women right to terminate her pregnancy. On the other side of the spectrum, Kamala Harris is the staunchest advocate of abortion and has criticized the Dobb judgment in withering language, and reiterated her position boldly and convincingly in Tuesdays debate; Trump, if elected, will compound the pathological problem of race in the US, as he is incorrigibly white supremacist. On the other hand, Kamala Harris on account of her farraginous background, as her mother is Indian and her father is a Jamaican Black, will promote the multi-culturalism, pluralism and heterogeneity that would entrench the liberal social culture of the U.S; The Veep nominee of Democrat, Tim Waltz is known for supporting womens rights and workers rights. This is in complete contrast to Trump and his Veep nominee, J.D. Vances preference for neo-liberalism. Harris and Waltz will promote a more inclusive and equitable political and economic order. Vances comment of childless little cat about Harris only confirms his misogynist credential; Trump will act like a bull in China shop and will disrupt the rule-based international order, as he did during his presidency by distancing from NATO and openly admiring the play-pen dictators like Putin of Russia and Kim Jong um of North Korea. The victory of Trump will further embolden Netanyahu and that would result in mutilation of the very idea of Palestinian State. In complete contrast to this, the Harris team will lend support to NATO and voice concern about the aggression unleashed by Netanyahu; Trump will be impervious to democratic backslide and violation of human rights in US and other parts of the world, while Kamala Harris will interrogate the democratic regression and the human rights abuses; Trump is a felon, whereas Harris has been a prosecutor and this background helped the latter in outshining the former in Tuesdays debate; Democrats have rallied around Harris in a striking act of unity and solidarity, which was conspicuous by its absence in 2016 when Hillary Clinton contested against Trump. On the other side of the spectrum, one of the most striking developments is the shifting of preference by conventional conservative Republicans that is evident from Mitt Romney and Liz Cheney. The fact of the latters father and quondam Veep, Dick Cheney coming out in support of Harris, perhaps, has no parallel. The Importance Of Soft Power I have been arguing since the collapse of the erstwhile USSR that the Cold War culminated in favour of the US because of its soft power anchored solidly in the absolute right to free speech and expression guaranteed by the First Amendment. Militarily, the strength of the US and erstwhile USSR was almost on par, and results were mixed for both countries. While the Vietnam War was a waterloo for US military prowess, the Afghan misadventure turned out to be an unmitigated disaster for the USSR and hastened the dismantling of communism. The foundation of the soft power of the US stands on three pillars of unbridled freedom of speech and expression, and its robust enforcement by the Supreme Court, Hollywood and prestigious Ivy League institutions. This soft power image grounded in liberal values and individual rights, however, can flourish only when the democratic process is fair and transparent. Accepting defeat with grace is a foundational tenet for sustaining democracy, and Trump breached this when he refused to accept the result of the 2020 election, and engineered riots on Capitol Hill the very citadel of US democracy on 6th January 2021. As the Republican Party has embraced neo-capitalism since Reagans era, the process of democratic effervescence has suffered erosion and will further be attenuated, if Trump wins the White House race a second time. The result of the November 5 Election has critical relevance for the world order. In particular, the contours of the outcome of two ongoing wars in Ukraine and Gaza by Putin and Netanyahu respectively would be shaped by the outcome of the election. Unlike Joe Biden, who has been vacillating on the Gaza attack, Kamala Harris has already taken a stand on Israels attack on Gaza, and her victory may result in cessation of aggression, if not the creation of two separate States. On the other hand, Trumps success will only embolden Netanyahu to commit more aggression. The statement issued by Putin that he likes Harris has not found any taker. More than Israels war on Gaza, the future of the Ukraine war is critically dependent on the US Presidential election. Kamala Harris, like Joe Biden, will continue to help Ukraine through aid and supply of weapons and through the involvement of NATO. On the other hand, Trumps fondness for Putin is well-known, and if he occupies the White House, it will have calamitous consequences for Ukraine, nay the very existence of NATO. Future of Human Rights Though the issue of Human Rights is inextricably intertwined with US own self-interest rather than principled commitment, yet there is always a difference between Republican and Democrat administrations, at least in terms of degree, if not in kind. Trump believes in and practice transactional politics. The issue of Human Rights has never been a concern for him. On the other hand, the democrat takes serious notes of Human Rights violations. The transactional politics of Trump will be wholly indifferent to human rights and its abuses while Kamala Harris would give due priority to the transgression of human rights and democratic norms. The Trump win will give a fillip to rightist politics---- not only in US but in other parts of the world, particularly in continental Europe. The impressive performance of the extreme right party of Maine Le Pen in the Parliamentary election in France and AdF in the recent election in Germany may get a further boost from the Trump victory. The right-wing politics, grounded in the toxic combination of ethnic and racial supremacism and neo-liberalism will, eventually, accelerate the emergence of fascism and backsliding of democracy ---- not only in the US but in other parts of the world, too. This ensuing election is more important than past elections for more than one reason. I entirely endorse the editorial comment of the New York Times that, ultimately, it is a question of who, between Trump and Harris, has right attitude, and the answer is obvious. Triumph of Kamala Harris would result in breach of glass-ceiling, which could not be breached in 2016 despite Hilary Clinton securing more popular votes than Trump. Therefore, the win of Kamala Harris would be profoundly historic, as first time woman will occupy the White House the most powerful political executive office in the world. (Author: Vijay Kumar is a Senior Advocate, the Supreme Court and author of the book The Theory of Basic Structure: Saviour of the Constitution and Democracy) THE GALTEE mountains provided a stunning background to the wedding of this duo. Sarah Foley-Whelan from outside Ballyhooly, married Shane Whelan from Askeaton in Limerick. The couple live in Croom - the closest to the Cork border that Sarah could get Shane. Recalling how they first met, Sarah said: We met on Tinder over eight years ago. Shane asked me out on a physical date three times before I said yes. Little did he know I was suffering from a seriously bad stomach bug and didnt want to gross him out. Im lucky he was too tenacious and preserved. Sarah and Shane saying their vows. They got engaged on Christmas Eve 2020 on top of Seefin mountain in the Ballyhouras. She said: Shane had to bring it forward as the country went into another lockdown on St. Stevens Day. It was a complete surprise as I wasnt expecting it at all, I just thought we were going for a romantic hike before we went our separate homes for Christmas. "He put so much work into getting the ring and deciding on how to do it it was perfect. Hed even gone down the week before, while I was working, to ask my dad for my hand. The bride and groom. They were married on Saturday 27th of July.- a Saturday so as not to clash with the All Ireland. "We had the most beautiful outdoor Civil ceremony looking at the Galtee mountains on the Veranda of Aherlow House Hotel." Enjoying the celebrations. The bride chose to wear a blue dress, made in Lithuania. She was full of praise for Wardrobebydulcinea masters at alternative wedding dresses and so worth it. Amethysthair (Amanda Gowers) did all the bridal hair while MUA was mother and daughter duo Jillian and Mary from Beauty FX in Curraghagalla. Shanes suit was from Esquires Limerick. The wedding party included Sarahs sister Brenda, who was maid of honour, and Shanes sister Ciara, who was best woman. Both sisters were witnesses. They were joined by their families, both parents are married over 30 years. The bride and groom have one sister each, so Sarah's sister Brenda was a bridesmaid and Shanes sister Ciara stood with the Groomsmen as his best woman. Both sisters also acted as witnesses. The happy couple. The bridal party also included friends. Recalling the ceremony, Sarah said: "We had a beautiful civil ceremony conducted by Nuala O Sullivan, who was also a secondary school teacher in the boys secondary school in Fermoy. She didnt a brilliant job and made the ceremony really special and individual to us. We wrote our own personal vows which really elevated the ceremony but also involved a lot of tears mostly from Shane has hes a big softy! The reception continued at Aherlow House Hotel, with amazing weather. Guests enjoyed the most amazing views across to the Galtee Mountains. Walking hand in hand. "All the staff were amazing, especially our wedding coordinator Liam Barrett who executed my vision for the day amazingly. Nothing was too much trouble and he was just this lovely clam influence." The cake was by Deborah Guerin (cakesmydeborah). The band were Loose Cannons and were on unreal. Their first dance was to The Voyage by Christy Moore. Sarah Foley Pictures: JOD Photography The bride and her dad built the wedding arches and Sarah also cleaned up old urns from the family farm and decorated with flowers. Our florist, Willow_florals (Michelle Sheahan) who is also Shanes cousin did the most amazing floral arrangements. The bride loved her bouquet so much that she has sent it to be dried and pressure by Pressed Flowers by Nell. As to what was the most memorable thing about the day? Seeing Shanes face when I walked down the aisle. He was very emotional even though he told me he wouldnt be! Also, while we were declaring our legal vows to each other Shanes I do! was said with a lot of gusto and it got a great laugh from the crowd! The photographer on the day was James ODriscoll (JODPhotography). see https://www.jodphotography.ie/ An investment of more than 1m has been pledged to develop a new innovative visitor tourism attraction centred on the Fastnet Rock Lighthouse, which will include augmented reality and 3D experiences. The centre, which is to be located in a disused factory on Oilean Chleire/Cape Clear, is being supported by Udaras na Gaeltachta and Failte Ireland. It is one of two similar projects which were announced yesterday, the other being located on the largest of the Aran Islands off the coast of Galway. As well as telling the story of the lighthouse, which has been shining its guiding light for sailors since the first beacon was completed in 1854, the new centre will also house and interpret the collection of heritage artifacts from the existing Cape Clear Museum. These items and displays tell the story of the Gaeltacht islands ancient past as well as its maritime heritage. While the aim of the project is to increase the dwell times of visits and encourage overnight stays, the backers of the project, which will be run by local community co-operative Comharchumann Chleire, believe the centre will serve as a significant economic asset while preserving the islands rich cultural heritage. The centre will also contain modern facilities, outdoor spaces, bicycle parking, covered seating, and toilets. According to Gaeltacht Minister Catherine Martin, the new centres on Cape Clear and Inis Mor will be fantastic additions to the visitor offering along the Wild Atlantic Way. Both of these new visitor attractions will help to preserve and showcase the islands heritage, language, and culture in imaginative multimedia experiences that will create long-lasting, memorable experiences for visitors, said Ms Martin. Failte Ireland CEO Paul Kelly said the organisation estimated that both projects combined would drive almost 50m in visitor spend for the regions over the next 10 years. These development projects will be vital in helping to extend the tourism season beyond the traditional summer months across both islands, increasing dwell time, promoting visitation in all weather, and encouraging overnight stays, Mr Kelly said. An elderly woman in a wheelchair who was going for lunch in Cork city centre got a clatter across the face by a young woman on Winthrop St, leaving her bruised and frightened. Yesterday at Cork District Court the culprit, Chantelle Duffy of Parkview Terrace, Wellington Rd, Cork, was jailed for 10 months for the assault. Judge Mary Dorgan said: This was an elderly lady in her wheelchair being wheeled on to Winthrop St. She wasnt there for any length of time when she got a clatter across her face and bruising across the bridge of her nose and face. I have no doubt she would say how hurt she was, how afraid she was and how reluctant she would be to go back to Winthrop St. I am also thinking of the person pushing the chair. They were on their way to The Old Oak, presumably for a sandwich in the middle of the day what could be nicer? when they were confronted by this woman not in her right mind. It was a horrible assault. I am glad to hear she apologises. The accused apologised through her solicitor, Frank Buttimer, who said she was suffering from psychosis and is now doing much better under medication that is regularising her condition. Ms Duffy spoke up by video link from prison to make a further apology: I am very sorry. Judge Dorgan said: It was a horrible thing to do to the poor, defenceless, elderly lady in a wheelchair. Sergeant Gearoid Davis had pictures of the injuries to the victims face. Judge Dorgan asked for those to be handed up so that they could be put on screen to be viewed by Chantelle Duffy. I want you to think about that when you are in Limerick prison and get help for your addictions, Judge Dorgan said. The 36-year-old pleaded guilty to a charge of assault causing harm to the elderly woman on April 2. The CEO of Cork University Hospital (CUH) David Donegan has announced his decision to step down from his current role. Mr Donegan joined CUH Group as CEO in August 2022 after a 27-year career working in the UK. He previously held board-level positions in government, strategic health authorities, acute hospitals and ambulance services in England and was the director of secondary care for the largest health board in Wales. A clinician by background, Mr Donegan was also a clinical director for acute and emergency medicine in a large teaching hospital in the UK. The South/South West Hospital Group confirmed the news on Friday that Mr Donegan had decided to step down as CEO of CUH. It has been an immense privilege to lead Cork University Hospitals Group over the last few years and I am so proud of the significant achievements that have been made during that time, Mr Donegan said. These have included real improvements in cancer, elective and emergency care, the reconfiguration of paediatric and ophthalmology services in Cork, the launch of CUH as one of Irelands two major trauma centres, and significant capital developments at CUH, Mallow and Bantry. Mr Donegan has also overseen a comprehensive transformation programme in quality improvement and governance across the organisation. While there is much more to do, the organisation has gone from strength to strength in recent years, he said. Mr Donegan thanked all the staff of CUH Group as well as the people of Cork and Munster for making him so welcome and for how hard they have worked together to make our hospitals places to be truly proud of. I look forward to seeing the services develop even further over the years to come, he said. Commenting on the announcement the Regional Executive Officer (REO) of HSE South West Dr Andy Philips personally thanked Mr Donegan for his major contribution to improving healthcare in the South West over the last few years. While he will very much be missed, we do understand his decision and wish him every success with his future, he said. Feature: China's aid helps Malawians rebuild lives after Cyclone Idai Xinhua) 09:48, September 14, 2024 Ruth Millias (2nd R) sits in front of her house with her family in Kaleso Village in Malawi's southern Nsanje District, Aug. 28, 2024. (United Nations Children's Fund/Handout via Xinhua) BLANTYRE, Malawi, Sept. 13 (Xinhua) -- The painful memories of the catastrophic floods caused by Tropical Cyclone Idai remain vivid for 30-year-old Ruth Millias, a resident of Kaleso Village in Malawi's southern Nsanje District. "The floods took everything from my family and changed our lives completely," recalled Millias, a mother of three. "China's disaster relief assistance gave us hope to rebuild our lives after the disaster." Malawi, known as the "Warm Heart of Africa" for its mild climate and friendly people, faced severe hardship in March 2019 when Cyclone Idai, one of the most devastating weather-related disasters to hit the Southern Hemisphere in decades, ravaged the country's southern regions. According to the United Nations Children's Fund (UNICEF), nearly one million people in Malawi were affected by the floods, with about half of them being children. The disaster destroyed homes and schools, disrupted education, and washed away crops. Water and sanitation facilities were also severely damaged, cutting off access to clean water. Millias's hometown, Kaleso, was among the hardest-hit areas, forcing her and her family to seek refuge at Bangula Camp, a temporary shelter for flood victims, where they lived for several months. Following the disaster, the Chinese government promptly sent emergency humanitarian aid to Malawi, providing food and financial support for flood relief. China also played a key role in post-disaster reconstruction, helping displaced families return to their homes. To further assist affected families, China contributed one million U.S. dollars to UNICEF Malawi through the South-South Cooperation Fund. This aid, distributed from October 2019 to May 2021, was directed toward critical programs in child health, nutrition, and water, sanitation and hygiene. The funding provided food, nutrition supplies, iron and folic acid supplements for adolescent girls, child protection services, educational materials, and training for both disaster victims and healthcare workers. At Bangula Camp, Millias's family received essentials like soybeans, flour, mosquito nets, soap, water buckets and water treatment chemicals. "The Chinese aid arrived at just the right time, giving us the support we needed to rebuild our lives," she said. Today, Millias has returned to Kaleso Village, where she temporarily lives in a house provided by neighbors. The village has been rebuilt, with simple brick homes now standing on the once flood-ravaged land. During a recent visit, Millias was busy cleaning her home, which, though worn, was tidy and well-organized. Buckets and dishes were neatly arranged in the kitchen corner. "These buckets and the mosquito net in the bedroom were part of the Chinese aid. Without them, we would not have made it through," she said. After cleaning, Millias washed her hands with soap before preparing lunch. Thanks to a health training program launched by China and UNICEF, she learned how to store clean drinking water, wash hands properly, use mosquito nets to prevent malaria, and maintain hygiene, practices that have become part of her daily routine. "These habits will help us better cope with future disasters," she added. In Nsanje's Therere Village, 20-year-old Mika John and her family also suffered greatly from the floods. She and her husband, both subsistence farmers, lost their crops and were left with diminished food supplies. Their newborn son, Laymon Mandela, became severely malnourished. John now lives in a modest but well-kept two-room house near the village entrance. During a recent visit, her five-year-old son played barefoot outside while she prepared a nutritious porridge made from maize, vegetables, eggs and sugar. Reflecting on her son's recovery, John said, "During my son's hospitalization, we received aid from China, including essential nutritional supplies crucial for his recovery." John also benefited from the health training program, which taught her how to prepare nutritious meals from simple ingredients and maintain hygiene. "These skills and knowledge have made us much more resilient," John said. "Although the floods are behind us, the aid continues to make a difference." Today, Mandela is healthy and attending a nearby nursery school. John and her husband now earn over 500 U.S. dollars annually from casual labor. "Thanks to China's aid, we have been able to rebuild our lives," she said. According to UNICEF, with Chinese financial assistance, the agency managed community-based programs for acute malnutrition, benefiting over 4,100 children between six months and five years old. It also conducted malnutrition screenings for more than 390,000 children under five. Marie Mtimabi, a health surveillance assistant in Therere Village, is one of the healthcare workers trained through the Chinese-funded program. She oversees the health of nearly 1,700 villagers, including more than 100 children under five. "The funding provided essential training and equipment, enhancing our capacity. This year alone, we have already identified three cases of child malnutrition," Mtimabi said. China's support also enabled UNICEF to deliver water, sanitation and hygiene assistance to 12 flood-affected districts in Malawi, benefiting 200,000 people from 40,000 households. Additionally, over 80,000 children received educational materials and supplements, while more than 170,000 people gained access to basic healthcare services. Gilbert Chapweteka, director of health and social services in Nsanje District, said China's support "came at the right time," helping rebuild water and sanitation systems. It not only improved people's lives but also strengthened the community's resilience to future disasters, he added. Griet Maritz, acting representative of UNICEF Malawi, emphasized the importance of the support from China and UNICEF in empowering disaster-prone communities. "The support we received from China has truly reached the people of Malawi, helping ensure a better life and a brighter future," she said. Ruth Millias (front) fetches water at Kaleso Village in Malawi's southern Nsanje District, Aug. 28, 2024. (United Nations Children's Fund/Handout via Xinhua) Mika John (R) prepares lunch for her family at home in Therere Village in Malawi's southern Nsanje District, Aug. 27, 2024. (United Nations Children's Fund/Handout via Xinhua) (Web editor: Zhang Kaiwei, Liang Jun) Home > 2024 > CJAR Statement on Judicial Propriety and Independence | Sept 12, (...) CAMPAIGN FOR JUDICIAL ACCOUNTABILITY AND REFORMS 6/6 basement Jangpura B, Delhi - 110014 Executive Committee: Prashant Bhushan (Convenor), Cheryl Dsouza (Secretary), Nikhil Dey, Alok Prasanna Kumar, Venkatesh Sundaram, Indu Prakash Singh, Anjali Bhardwaj, Amrita Johri, Annie Raja, Siddharth Sharma, Indira Unninayar, Vijayan MJ, Vipul Mudgal, Koninika Ray, Meera Sanghamitra, Sai Vinod, Beena Pallical, Apar Gupta 12th September 2024 The Campaign for Judicial Accountability and Reforms (CJAR) has taken note of the pictures and videos that have been circulated of a private religious ceremony at the official residence of the Chief Justice of India (CJI), with the Prime Minister (PM) in attendance. CJAR expresses deep concern over the manner and conduct of this event involving two constitutional functionaries, as setting an unwarranted precedent. This precedent undermines the perception of judicial independence, raises critical questions about the separation of powers and the impartiality of the judiciary. Established practices of judicial conduct place an emphasis on maintaining public confidence through probity in the interaction between high constitutional functionaries. As then CJI MN Venkatachaliah put it to then Prime Minister Narasimha Rao, the relationship between the judiciary and executive has to be correct, not cordial, and cordiality between court and government has no place in our constitutional scheme of checks and balances. The judiciary, which holds the responsibility of safeguarding the Constitution and ensuring justice without fear or favor, must be seen as entirely independent from the Executive branch. In recent times, there have been several concerns regarding impropriety, clear departure from code of conduct. In 2019, the then CJI hearing his own case violated all known judicial procedures and norms. Earlier this year, Abhijit Gangopadhyay, judge of the Calcutta High Court resigned and immediately joined the BJP raising serious questions of judicial propriety and impartiality. Post retirement, judges have become governors and Rajya Sabha members, without any cooling off period, raising more serious concerns regarding judicial independence. Our concern is grounded in the fact that both the Union of India and State Governments are the largest litigants before the courts. Such close association between the judiciary and political leadership undermines the ability of the judiciary to impartially adjudicate cases involving the government and the ruling political party. It casts doubt as to the objectivity of an institution tasked with checking executive power. The Restatement of Values of Judicial Life, adopted by the Supreme Court of India in 1997, clearly states that justice must not only be done but it must also be seen to be done, and any act which erodes the credibility of this perception must be avoided. A judge is also required to maintain "a degree of aloofness consistent with the dignity of his office." The presence of political figures at private events hosted by sitting or recently retired judges (and vice versa) erodes this perception of impartiality. More so, when the political figures are present in their institutional and not personal capacity and then use official channels of communication to broadcast pictures and videos to the public. CJAR therefore urges the judges of the Supreme Court and the High Courts to be mindful of the potential for such events to set a trend across states, where Chief Justices may meet Chief Ministers and other political figures in informal settings, shattering peoples faith in the judiciary. This is a serious issue that threatens the democratic principles of judicial independence and must be addressed promptly to preserve the integrity and credibility of the judiciary. CJAR appeals to the Bar and the legal community that it must stand united in ensuring that the judiciary remains free from any influence, perceived or real, and retains the confidence of the people in its role as an impartial guardian of justice. A Danish companys proposal for a solar farm near Carrigaline that could power 16,000 homes has been boosted by the announcement that it has secured a contract under a Government scheme to supply power to the national grid. rsted, which has its Irish headquarters in Cork city, is proposing to locate a 55 mega watt (MW) solar farm in Ballinrea, between Carrigaline and Cork city. The company has a portfolio of onshore wind farms across Ireland that produce up to 378MW of power, and its latest proposal would add 55MW. rsted has 24 advanced or operational onshore wind and solar-energy projects across Ireland, enough to power 246,000 homes. rsted also entered a partnership with the ESB in 2023 to develop an offshore wind portfolio and announced a partnership with Terra Solar to develop 400MW of solar energy. In the auction this week for the Governments Renewable Electricity Support Scheme (RESS), the fourth such event since the schemes inception, rsted secured a contract to provide solar-powered electricity at the average price of 104.76 per megawatt. TJ Hunter, the director of Ireland and UK at rsted, said that the company was looking forward to taking the project towards commercial operation as early as 2026. Solar is the fastest-growing energy technology in the world and is a key focus for us here in Ireland, along with onshore and offshore wind farm projects, said Mr Hunter. The result increases our solar presence here, where this year also saw the commencement of construction on Garreenleen Solar Farm in Carlow, our first solar project to break ground in Ireland. These projects will help reduce and stabilise the cost of electricity for consumers and contribute to Irelands overall energy security and independence. Mr Hunter suggested that the Cork-based company would be further expanding its presence in Ireland. rsted is committed to Ireland and has invested 700m into the country thus far. We have ambitious plans to expand our footprint here, through a mix of onshore, offshore, and solar projects. Ireland is on the right track, but we still have challenges around planning and grid access to continue our expansion here and invest in technology that will help us reach our national and EU climate targets. Paramedics rushed to the assistance of a woman who was highly intoxicated in Cork City centre but she reacted by smearing her blood on the ambulance. Inspector Brendan McKenna said that because of the womans actions a second ambulance was dispatched to the scene to take her to hospital. Rachel Forde, aged 33, of 28A Cushing Road, Farranree, Cork, faced sentencing at Cork District Court following her plea of guilty to charges of being so intoxicated that she was a danger to herself or others and engaging in threatening behaviour. Eddie Burke, solicitor, said that: Things had fallen down for her around this time. She is now 16 months clear following full residential treatment in Coolmine. Mr Burke said she was now actively participating in her local Alcoholics Anonymous and getting on with her life. She is ashamed to be before the court for something like this. She knows how serious it is for the emergency services, Mr Burke said. Judge John King said: The difficulty is that this was the emergency services going to her assistance. And she is disabling an ambulance for someone who might need it. These are paramedics who are entitled to perform their duties without this kind of treatment. It is an aggravating factor. The judge did not require a probation report and said that he was taking Mr Burkes word for it that she had changed her life around. He directed her to do 80 hours of community service to avoid four weeks in prison. Inspector McKenna said the incident occurred at Paul St, Cork, at 11.30pm on February 22, 2023. An ambulance crew arrived at the scene where Rachel Forde was bleeding from a head wound. Because her blood was smeared on the ambulance this vehicle had to be removed and a second ambulance brought her to the Mercy University Hospital. Initially, she had been refusing treatment and smearing her blood around the ambulance, Insp. McKenna said. The Probation Service required a four-week adjournment so that the defendants suitability for community service could be assessed and Judge King granted that adjournment at Cork District Court. Gardai are appealing for witnesses following a number of incidents of criminal damage in North Cork yesterday. An investigation has been launched into an incident of criminal damage by fire that occurred at a residence in the Fortlands area of Charleville yesterday evening at approximately 8.50pm. A garda spokesperson confirmed no persons were in the building at the time of the incident and said no injuries were reported at the time. The scene was preserved and a technical examination was carried out. Gardai are also investigating an incident of criminal damage to a car that occurred in the Rathgoggin area of Charleville later that evening, at approximately 9.55pm. Gardai are appealing to anyone who may have information in relation to these incidents to contact them. Any person, including road users and pedestrians, who were in the Charleville area, between 5pm and 11pm yesterday and who observed any unusual activity, is asked to contact investigating gardai. Gardai are also appealing for information in relation to an Audi A6, a white Skoda Rapid, a BMW and a silver Ford Focus car, travelling in the Charleville area at this time. Any road users or pedestrians who were travelling in the area during this time and who may have camera footage (including dash cam) are asked to make this available to gardai, the garda spokesperson said. Anyone with information is asked to contact Mallow Garda Station on 022 31450, the Garda Confidential Line on 1800 666 111, or any Garda Station. Investigations are ongoing." Home > 2024 > Kashmir assembly elections 2024: Kashmirs parties united in agenda, divided (...) September 12, 2024 Despite a common political agenda, ground-level competition prevents a united front of Kashmirs regional parties. As Jammu and Kashmir (J&K) goes to the polls, there is just one pre-electoral alliance [1] in place between the National Conference (NC), a local party, and the main national Opposition party, the Indian National Congress. There is no alliance between any of the regional political parties of J&K such as the NC, the Peoples Democratic Party (PDP), the Peoples Conference and the less grounded Apni Party. The all-season friend of the NC, the Communist Party of India (Marxist), has not spared its pocket borough of Kulgam, in South Kashmir, either in the 2020 district-level elections or in this months legislative assembly elections. The regional parties are united in their agenda for Kashmir but divided by politics. Take the case of the two main parties of Jammu and Kashmir the NC and the PDP. Both derive political assertions from the same premise: the socio-cultural uniqueness of J&K and the special protection (now withdrawn) given to the region within the Constitution of India. They also appeal to and seek support from the same electoral base. Their political canvas appeals to a limited political constituency and so competition between them trickles down even to the village-level units of each party. Such rivalry is the foundation of their political existence. Yet, there are sharp political differences between the NC and the PDP. The NC has a cadre or volunteer force of party workers which is spread far and wide and its workers have suffered the brunt of the violence of separatists. Religious element While the NC does not appeal to religious organisations, the PDP has been traditionally favoured by religious organisations in J&K. However, the PDPs support among religious organisations has faltered after members of the banned Jamaat-e-Islami (JeI) decided to contest the legislative assembly elections. The JeI aims for Iqamat e Deen (establishing religion-as-system) and seeks Nizam-e-Mustafa or sharia rule. Many of its former members are contesting as independents leaving implications primarily on PDP. Worried about its eroding base, PDP President Mehbooba Mufti demanded "revocation" of the ban on JeI in August 2024 [2]. Earlier, she had protested against the government decision to ban JeI [3]. The electoral contest, which used to be primarily between the NC and the PDP, has become multi-cornered with the emergence of new political players in the past two decades. Their emergence is linked to the diminishing influence of separatist politics, especially after 2019 when the special status of the state was revoked. There are now many more parties and independent candidates in the fray. Existential questions There are also existential questions for the NC and PDP, which remain focused on constitutional autonomy and its guarantee. If they give up the demand for autonomy and special status for J&K, they would lose their regional specificity and importance. These may seem good reasons for the two parties to come together. But ground level competition and irreconcilable social bases will make this difficult. But there was one instance when they were able to unite. The immediate period following the revocation of the special status of J&K and its division into two Union Territories created conditions for political consensus between the NC and the PDP, as well as other political forces. This led to the Peoples Alliance for Gupkar Declaration (PAGD), named after Gupkar Road in the capital, Srinagar, where the political elite lives and where the agreement came about. However, the alliance was formed in an exigency without agreement on deeper political issues. In a declaration on August 4, 2019, local and national party leaders declared their political commitment to "protect" and "defend" the removal of the special provisions under Article 370 and Article 35-A of the Indian Constitution. The PAGD pledged to reverse the process. However, they were unable to maintain the alliance even in the elections for the District Development Councils in 2020. In these elections, the PAGD parties/supporters contested [4] each other in several seats in the Kashmir Valley. Alliance partners the PC complained of "breach of trust" [5] against NC for fielding proxies and left the alliance in 2021. The Jammu & Kashmir Peoples Movement (JKPM) followed it in 2022, reducing the alliance to an ineffectually functioning "four-party group" [6]. The poor electoral performance also led to fissures sooner than expected, with a radical dip in the PDPs vote share in Jammu, and other parties faring badly in the Kashmir Valley. The NC and PDP contested the general elections of 2024 separately. They have continued to do so in the ongoing legislative assembly elections as well. In effect, the PAGD did not re-group to contest these much awaited and crucial elections. Delhis role The local parties of J&K also suffer from the peculiar compulsion of all regional parties in India. They constantly look to be on the right side of the central government in Delhi, in anticipation of its largesse for their states. This makes the national parties an important factor in any alliance formation. Kashmir politics has seen many such instances. The political landscape of the erstwhile state altered significantly, for example, after the NC-Indian National Congress alliance in the mid-1980s. The alliance brought Farooq Abdullah back to power after his dismissal, but the state legislature was left without any opposition. The current NC-INC alliance is clearly propelled by the importance of the "Jammu factor". With the Bharatiya Janata Party (BJP) has substantial political and electoral presence in Jammu, neither the NC nor the PDP, either singly or jointly, can defeat it there. However, the NC-Indian National Congress alliance allows for some "friendly" contests between the parties. With or without asking for the restoration of the special status of J&K, the local parties are fighting an existential battle that has affected the pre-poll alliances in Kashmir. Their contest essentially remains among themselves, even as they face a mighty national party, the BJP. A lot of bargaining will be necessary for a post-poll alliance, if they seriously wish to keep the BJP out. (Author: Javid Ahmad Dar is Associate Professor in the Department of Political Science, University of Kashmir, Srinagar, Jammu & Kashmir, India) [Originally published under Creative Commons by 360info.org] Luxury retailer Louis Vuitton has reportedly been slapped with a lawsuit after a mother and daughter claimed they were treated unfairly compared to white patrons in the same stores. In court documents obtained by 'TMZ', Tracy Renee Williams claimed she preordered nearly $50,000 worth of items from a Costa Mesa LV retail location, but she never received the delivery. When she went to a Beverly Hills location, she claimed that an employee at the store a white manager told her she was no longer welcome, and that she'd be arrested if she came back. Williams alleged that she later sent her white assistant into the store, and reported that he was treated respectfully and was able to successfully make a purchase with several thousand dollars in cash. The social media savvy entrepreneur claims that her business reviewing and showcasing Louis Vuitton goods took a hit following, as it was reported that she lost about $40,000 a month in revenue since she's been banned from the high-end retailer. Williams' daughter Brandi one of three in the classic 90s pop group, BLAQUE is also named in the suit citing discrimination. The LV manager at the Beverly Hills location refused to allow her to shop before falsely accusing her of spending "drug money," also threatening to have her arrested if she didn't leave the store. Brandi also claimed a repeat situation took place at a Louis Vuitton retailer located in New Orleans. The mother and daughter duo also have a witness in tow, who claims he saw staff show a white customer a specific jacket meanwhile when he asked, he was told that same style wasn't available. Tracy and Brandi's witness and friend, Kristopher Enoch, later allegedly got an email stating that he was "blacklisted" from shopping the stores. Per the media outlet, all three involved are seeking damages in addition to punitive. The trio have also issued a court order to have the high-end luxury store stop blacklisting them. Russia on Friday accused six British diplomats of spying and said it decided to expel them. The U.K. said the completely baseless move came weeks ago and was linked to its action in May to revoke the credentials of an attache at the Russian Embassy and limit Moscows diplomatic activities in London. US locks in steep, impending tariff hikes for China The Biden administration locked in steep tariff hikes on Chinese imports, including a 100% duty on electric vehicles, to better protect strategic domestic industries from Chinas state-driven overproduction. Success! An email has been sent to with a link to confirm list signup. Understandably, we want to blame someone besides the 14-year-old who murdered four people last week at Apalachee High School in Georgia. People are shocked and upset that the father taught the boy to shoot and hunt and bought the boy a rifle for Christmas. But that doesnt mean it made any sense for police to arrest the father the day after the school shooting on two counts of second-degree murder, four counts of involuntary manslaughter, and eight counts of cruelty to children. This isnt the first time that parents are being held liable for their children's actions. Jennifer and James Crumbley were sentenced to prison for 10 to 15 years after their son perpetrated the 2021 Oxford High School shootings in Michigan. Their crime? Letting their son have access to the fathers pistol, which was used in the murders. The problem here is that there are a lot of mistakes to go around, and all too frequently, many fail to identify these murderers before they commit their crimes. As I will discuss later, the question is, what policies do you put in place when you know that we wont identify these killers before they strike? Georgia police interviewed the boy in May 2023 after he used the Discord communication platform to threaten to shoot up a school. Making a threat to murder people is a crime. But police concluded they didn't have enough evidence for an arrest. The boy claimed that he had stopped using the platform months earlier and promised I would never say something [like that]. Because the police couldnt directly tie the boy to the messages, the bodycam footage of the interview reveals an officer saying: I gotta take you at your word. But why he says that is a mystery. The police knew the IP address of the home where someone made the posts, which is how they found the boy. And while the boy and his father had recently moved from there, all the police needed to know was the posts dates to see if the boy lived in the house at the time. The officers didnt even need the level of proof required in a criminal case. If a judge finds that someone is a danger to himself or others, there is a range of options, including outpatient mental health care. Gun confiscation or involuntary commitment may also be options. If law enforcement officers took the boy at his word, how can we blame the father for doing the same? If anything, the Georgia boys mother should be commended. Thirty minutes before the attack, she called her sons school to warn of an impending disaster. I told them it was an extreme emergency and for them to go immediately and find [my son] to check on him, said the mother, in a screenshot of the message that she sent to the boys aunt. But the school didnt act. Isnt the school mainly at fault for that? Red flags are always easier to notice in hindsight. Indeed, since 1998, 51% of mass public shooters were seeing mental health professionals before their attacks. But none of the mental health professionals ever identified these murderers as a danger to themselves or others. In many cases, people had raised concerns about these killers before they carried out their attacks, but the professionals never recognized the threat. If experts miss the danger signs, how can we blame a parent for not seeing them? Should the families lock up their guns so only adults have access? Not surprisingly, crime rates rise when governments prevent people from defending themselves. When people are required to lock up their guns, criminals more frequently invade peoples homes and then are more successful in murdering or otherwise harming their victims. If locking up guns could have prevented all five of the mass shootings committed by minors since 2000, including this latest shooting, there would have been 25 fewer deaths and 19 fewer people wounded. Of course, these killers may very well have obtained weapons in other ways. But for the sake of argument, lets assume that all those attacks simply would not have occurred. The number of lives saved would still be only about 1/14th of the number of lives lost in just a single year because mandatory locks kept people from getting to their guns in time. The horrific deaths and injuries from school shootings rightly get a lot of attention. But we dont hear about the deaths that occur because people cant readily access guns to protect themselves and their families. Those deaths are no less horrific. The national media rarely mention defensive gun uses, even when young children use guns to save lives. But dozens of recent cases have been reported by local news outlets. Fortunately, there was a security officer at the school, though Kamala Harris has argued for banning all guns from schools, even for law enforcement. But even when school resource officers are in the right place at the right time, they have a tough job. Uniformed guards may as well be holding neon signs saying, Shoot me first. Attackers know that once they kill the security officer, who is the only person with a gun, no one else can stop them. Having armed teachers carrying concealed firearms takes away that tactical advantage. Twenty states allow this under a variety of rules. Outside of suicides or gang violence in the middle of the night, there has not been one instance of a death or injury from an attack at a school that has armed teachers. Not surprisingly, the attacks in Georgia and Michigan both occurred in schools that banned teachers and staff from having guns. Other schools in Georgia have armed teachers, but not Apalachee High School. We could blame law enforcement, schools, mental health experts and the parents. But, politically, it seems to be easier to blame the parents instead of the experts. The bottom line is that if we keep failing to identify these murderers, what is the backup plan? Lets take real action to protect our schools and arm teachers. Largely shedding Joe Bidens canard that Trump must be defeated to save democracy, Kamala Harris conceit is that she prosecutes criminals and Donald Trump is one. I know Donald Trumps type, she sneers. As San Francisco district attorney and then California attorney general, Harris supported jailing parents of truants, suppressed evidence, keeping an innocent man on death row, repeatedly covered up misconduct, leading to the dismissal of more than 600 cases, incarcerated prisoners beyond their sentences, violated Federal laws that protect donor privacy, and failed to disclose conflicts of interest arising from her personal relationships. Her record of abusing prosecutorial power fits perfectly with Democrat lawfare against Trump and his advisers. Now, following setbacks for prosecutors, Trump will have a reprieve in further substantive proceedings until after the election. Colorado, Maine, and Illinois declared Trump an insurrectionist, ineligible for the presidency under the 14 th Up to 32 other states were considering doing the same. In Trump v. Anderson, the U.S. Supreme Court unanimously rejected this travesty. Among other failings, the states violated a requirement that Congress determine the process, and Trump has never been indicted for, let alone convicted of, insurrection. Up to 32 other states were considering doing the same. In Trump v. Anderson, the U.S. Supreme Court unanimously rejected this travesty. Among other failings, the states violated a requirement that Congress determine the process, and Trump has never been indicted for, let alone convicted of, insurrection. The lefts least favorite judge, U.S. District Court Judge Aileen Cannon, dismissed the Mar-a-Lago classified records case, holding that Jack Smiths appointment as special prosecutor violated the Appointments Clause of the Constitution (Article II, 2) and his use of a permanent indefinite appropriation violated the Appropriations Clause (Article I, 9). The government refused a compromise that might have saved the case, and is appealing. In Trump v. United States, a 6-3 court held that a president is immune from prosecution for official acts, his motives cannot be questioned, and his official acts may not be used as evidence in a prosecution of his private acts. Smith has filed a superseding indictment that suffers many of the same defects as the initial indictment, including as to immunity, novel legal theories, and the First Amendment rights of free speech and petition. Despite U.S. District Court Judge Tanya Chutkins best efforts to move the case forward, she has bowed to reality and delayed the next hearing until after the election. In Fischer v. United States, the Supreme Court threw out federal prosecutors use of 18 U.S.C. 1512(c)(2) to prosecute Jan. 6 defendants for interfering in congressional proceedings, holding that the statute is limited to tampering with, or destroying, official records. That ruling also will narrow Trumps election fraud case. A Georgia appeals court agreed to hear a challenge to Fani Willis right to remain as prosecutor, scheduling arguments too late for a trial this year. Even if Willis prevails, the immunity decision, First Amendment, and misapplication of the Georgia RICO statute likely will doom her case. A Nevada court dismissed an indictment against six Republicans accused of submitting certificates to Congress falsely declaring Trump the winner of the states 2020 presidential election. The New York cases are more problematic abuses by prosecutors who ran on platforms of getting Trump: There are at least a dozen reasons Trumps conviction in New York District Attorney Alvin Braggs business records case should be reversed. Trial Judge Juan Merchan has delayed sentencing until Nov. 26, but he first must rule on whether to vacate the verdict because he allowed testimony by federal officials (Hopes Hicks and Trumps assistant) about Trumps official acts as president, now prohibited by the Supreme Courts immunity decision. More damaging, in Erlinger v. United States, the Supreme Court held that a unanimous jury verdict is required for any factual finding that increases a potential sentence. Merchan did not require unanimity to identify the so-called other crime used to convert an expired business records misdemeanor into 34 felonies. Judge Arthur Engoron found Trump liable in New York Attorney General Letitia James so-called civil fraud case for misstating asset values in loan applications, though the banks testified they did not rely on the statements, lost no money, and would continue to do business with Trump. Engoron ordered Trump to pay $455 million and forfeit his New York businesses. The New York appeals court stayed most of Engorons ruling and allowed Trump to post a reduced bond of $175 million for his appeal. The finding of liability may survive, but the penalties should be vacated as excessive under the 8th Amendment and Article I 5 of the New York Constitution, among other flaws. If Trump is elected, he can order that the federal prosecutions against him end, or pardon himself, and the state cases likely will be delayed until he leaves office. If Harris wins, the Democrats can be expected to press forward. Though Trumps legal team has carved back most of the cases and will continue to do so, a conviction still could mean jail time. Democrats are doing better in their lawfare against Trumps advisers, who have limited immunity defenses. Several are defendants in Georgia, Arizona, and Michigan. Rudy Guliani and John Eastman are being disbarred, and at least eight other Trump lawyers face disciplinary proceedings. Peter Navarro and Steve Bannon were jailed for refusing to testify to the Jan. 6 Committee. The last time a recalcitrant congressional witness was jailed appears to be 1948. But for Trumps wealth and perseverance, he might now be in jail. Democrats financially destroyed or jailed his closest political advisers and are broadly threatening Republican party lawyers. Usually, Harris talks about the criminal justice system from the far left. But, like other progressives, when she is in pursuit mode, the Constitution, equal justice, and fundamental principles are mere affect. ALF actor Benji Gregorys cause of death has been ruled an accident. ALF actor Benji Gregorys cause of death has been ruled an accident The child star, was found dead on 13 June in his car in Peoria, Arizona, aged 46 amid a blazing heatwave, and the Maricopa Medical Examiner has now issued records that say he suffered environmental heat exposure in the setting of hepatic cirrhosis. Benjis sister Rebecca Hertzberg-Pfaffinger announced his death via a Facebook post on 10 July, revealing the family believed he had been killed by heat stroke, as it was 109 degrees in Peoria the day before the actor was found dead. Best known for playing Brian Tanner on the alien puppet sitcom ALF which was a hit when it ran from 1986 to 1990 Benji was found dead in his car alongside his service dog Hans at a Chase Bank parking lot. Benjis sister said he had suffered from depression, bipolar disorder and had an insomnia disorder that often kept him awake for days. She said on Facebook about his passing: It is with a heavy heart my family has suffered a loss way too early. Ben was a great Son, Brother and Uncle. He was fun to be around and made us laugh quite often. Still, going through his things, I find myself laughing at little videos or notes of his, in between crying. My brother Ben was found in his car, along with his beloved service dog Hans, deceased on June 13. We believe he went there the evening of the 12th to deposit some residuals (found in his car) and never got out of the car to do so. He fell asleep and died from vehicular heatstroke. She asked for any donations in honour of Benjis life to be made to the Foundation or the American Society for the Prevention of Cruelty to Animals. Benji starred in 101 episodes of NBCs ALF which told of the middle-class Tanner family who take in alien ALF after he crash-lands in their garage. The series shows the Tanners struggling to hide the extra terrestrial from nosy neighbours and government officials. A reboot of the show was in the pipeline in 2018 but was axed later that year. Eric Roberts has apologised for infamously boasting he was responsible for his sisters Hollywood stardom. Eric Roberts has apologised for infamously boasting he was responsible for his sisters Hollywood stardom The Pope of Greenwich Village star, 68, told Vanity Fair in 2018 if he hadnt existed and acted there would be no Julia Roberts or his actress daughter Emma Roberts as celebrities or actresses. But he has now issued a public apology for the remarks in his new memoir Runaway Train: Or, the Story of My Life So Far. He said in the book: One of the things Id like to apologise for in this book is for publicly saying on more than one occasion, If it wasnt for me, there would be no Julia Roberts. Thats not only unfortunate, but its also untrue. And I hope Julie will accept this more public apology. It was an asinine thing to have said. Eric said about Pretty Woman star Julia, 56, and his Scream Queens actress daughter Emma, 33: If it wasnt for me, there would be no Julia Roberts and no Emma Roberts as celebrities, as actresses, and Im very proud of that. When Julia first came to New York, I went into (showbiz agency) William Morris and I said, Which one of you is going to sign my sister Julia? And I am so proud that everybody knows I was first, because I was first by a long shot. I was first to get Golden Globe and Academy Award nominations, so Im proud of that. Eric also used his book to share his regret for claiming his and Julias mother Betty Lou Bredemus was dead during the early years of his career as a way to get back at their mum over his anger at her split from their father. He said in his autobiography: Im only now beginning to realise the impact it must have had (on my family.) It was the great undoing of my relationship with my sisters. That I was unconcerned about them and focused only on my mom reading that Id killed her off was such a selfish thing to have done. Ethan Slater has settled his divorce from Lilly Jay. Lilly Jay and Ethan Slater have settled their divorce The Broadway actor - who is now dating Ariana Grande - split from his high school sweetheart in summer 2023 amid claims he had grown close to his 'Wicked' co-star, and the pair have now agreed the terms of their split and had their divorce signed off by a judge, TMZ reports. It is unclear how the former couple have agreed to split their assets or custody of their two-year-old son. The 32-year-old actor and Ariana went public with their romance last October, three months after they split. After Ethan filed for divorce last July, Lilly hit out at the 'Thank U, Next' hitmaker - whose marriage to Dalton Gomez also ended in 2023 - and said her family had been "collateral damage". She told the New York Post newspaper's Page Six column: "[Arianas] the story really. Not a girls girl. My family is just collateral damage. The story is her and Dalton [Gomez, Ariana's estranged husband]." Earlier this year, Ariana voiced her frustration over the speculation surrounding her relationship. Addressing the conversation around her personal life, she told 'The Zach Sang Show': "I feel like we don't need to go into any specifics. "But of course, there's an insatiable frustration, inexplicable, hellish feeling with watching people misunderstand the people you love, and you and anything." Ariana previously described 2023 as one of the "most challenging" years of her life. She wrote on Instagram: "one of the most transformative, most challenging, and yet happiest and most special years of my life. there were so many beautiful and yet polarized feelings. "i've never felt more at the mercy of and in acceptance of what life was screaming to teach me. i've never felt more fulfilled by or present in my work, being able to cherish every moment. i gave everything i could have possibly given of my heart and of myself to the projects i was fortunate enough to be a part of and learned so much from every brilliant, beautiful, soul that i had the privilege of creating art with and crossing paths with this year. "i have never felt more pride or joy or love while simultaneously feeling so deeply misunderstood by people who don't know me, who piece whispers together and make what they want out of me and their assumptions of my life. i have learned how much more important one of those things is than the other. (sic)" The global container shipping industry is currently grappling with ongoing challenges, as data reveals a plateau in container leasing rates with significant regional variations, according to Container xChange. This stabilisation comes at a critical time for the industry, as the consumer demand momentum for the peak season will play a key role in shaping container price development in the near future. The global Container Price Sentiment Index (xCPSI), which gauges industry optimism regarding future container prices, reached a peak of 83 in May, signaling strong expectations for rising prices. However, by mid-August, the index had significantly moderated to around 39. On key routes from China to the US, leasing rates for 40-foot-high cube containers showed steady increases from July to August 2024. For instance, rates on the Ningbo to Seattle route rose from $695 in July to $858 in August. Similarly, leasing rates from Qingdao to Seattle climbed from $1,334 to $1,545 during the same period. Routes such as Shanghai to Savannah and Shenzhen to Seattle also experienced notable price increases. However, while some routes saw rising leasing rates, other stretches from China to the US have shown signs of decline, indicating that the previous upward trend is starting to plateau, as per Container xChange. The global container shipping industry is facing challenges as leasing rates plateau with regional variations. Container Price Sentiment Index (xCPSI) dropped from 83 in May to 39 by mid-August. While leasing rates from China to the US rose steadily, some routes began to decline. Central Asia saw the largest spot rate increases, followed by the Middle East. This stabilisation mirrors broader trends in average container prices for trading, which have also begun to level off after months of steady increases. Container leasing rates on routes from China to Europe, for example, increased steadily until June 2024, but have since plateaued, with some routes even experiencing slight declines. In addition to these patterns, the global container market saw significant regional volatility in August 2024. Central Asia reported the highest increase in container spot rates, with prices rising by an average of 40 percent. The Middle East and the Indian Subcontinent followed with a 10 percent increase, while Japan and Korea experienced an 8 percent hike. These regional disparities underscore the complexities of the global container market and the varying demand dynamics in different regions. "As we move through 2024, the stabilization of container leasing rates, particularly from China to key global destinations, reflects a market that is adjusting to ongoing disruptions and evolving demand. While we are seeing plateauing rates, it's crucial to monitor these trends closely, especially with the upcoming Golden Week in China and potential shifts in global economic conditions," said Christian Roeloffs, CEO of Container xChange. Fibre2Fashion News Desk (DP) Samarkand, the ancient city in east-central Uzbekistan, has long been recognised as one of the oldest cities in Central Asia, steeped in history as a key trading hub along the Silk Road for over 2,500 years. In recent times, the city once again found itself at the centre of global attention, thanks to the 2024 ITMF Annual Conference and the IAF World Fashion Convention, held between September 8-10. This event was particularly significant as it was the first time that the International Textile Manufacturers Federation (ITMF) and the International Apparel Federation (IAF) chose to host their conference jointly. Samarkand in Uzbekistan hosts 2024 ITMF Annual Conference and the IAF World Fashion Convention, held between September 8-10. ITMF and IAF's decision to hold their joint conference in Uzbekistan reflects the country's growing importance in the global arena when it comes to textiles. The event attracted over 500 delegates from around the world, including leading industry players. Whats more, this was for the first time in ITMF's 120-year history, Central Asia was chosen as the venue. The conference further underscored Uzbekistans return to the global textile arena following the end of the Cotton Campaign boycott in 2022. The ITMF is a global trade association that represents textile manufacturers, fostering communication and cooperation among them to promote industry growth and sustainability. On the other hand, the IAF serves as a leading global association for the apparel industry, offering support for sector development through international dialogue and collaboration. We are here in Samarkand not only to see the wonderful Silk Road City of Samarkand. We are also here to learn a lot about Uzbekistans enormous progress in the last eight years since the start of the reform policy initiated by President Shavkat Mirziyoyev. It will be most interesting to learn how the countrys cotton, textile and apparel industry has changed during this period and what can be expected from it in the future, maintained ITMF President Dr. K.V. Srinivasan in his welcome speech while the President of Uzbekistan Shavkat Mirziyoyev in his address to conference participants said, We highly value and support all mutually beneficial initiatives from our foreign partners. In particular, we are ready to provide full assistance in implementing the necessary standards for international brands to enter Uzbekistan. We are also prepared to create favourable conditions for prestigious international organisations to regularly hold conferences, exhibitions, and fashion shows in our country, particularly in cities like Bukhara, Khiva, Shakhrisabz, Margilan, Kokand, Namangan, and Andijan, which offer high tourism and industrial potential. This historic event marked the convergence of the global textile and fashion industries in a city that has stood at the crossroads of world cultures for ages. Aptly titled Innovation, Cooperation & Regulation Drivers of the Textile & Apparel Industry, the event attracted leading figures from across the global textile and apparel landscape, estimated at over 500, to engage in critical discussions, spanning a total of 25 business events, including closed meetings, on the key issues shaping the future of the industry. The ITMF board meeting which took place on September 7, set the stage for the next three days of intense discussions on topics such as artificial intelligence, regulation, digitalization, ESG (Environmental, Social, and Governance), and supply chains, among other important issues. The significance of holding such a landmark event in Uzbekistan, particularly in Samarkand, cannot be overstated. For centuries, Samarkand has been a key player in global trade, especially during the height of the Silk Road when it was a vital link between the East and the West. Now, as Uzbekistans textile sector continues to grow, it evolves as a crucial player in the global textile supply chain. Last year, the countrys textile exports reached around $3.5 billion, serving over 75 countries, and by 2026, Uzbekistan aims to increase this figure to $6.5 billion. The ITMF and IAFs decision to hold their joint conference in Uzbekistan only reflected the countrys growing importance in the global arena when it comes to textiles. Uzbekistan competed with Egypt, Turkiye, Indonesia, and the United States to host this large-scale forum. The selection of our country highlights Uzbekistans growing role in the global textile supply chain. In just two years, we have made tremendous progress, significantly expanding our circle of international partners. In 2023, the countrys textile industry exported around $3.5 billion worth of products to more than 75 countries, and by 2026, we plan to increase exports to $6.5 billion. Strengthening ties with strong international partners plays a key role in achieving this goal, noted Acting Chairman of the Uztextileprom Association Mirmukhsin Sultanov. As the event unfolded, participants were treated to a packed agenda that included seminars, panel discussions, and Q&A sessions. Among the notable speakers were Stephen Lamar, President of the American Apparel & Footwear Association (AAFA), Dirk Vantyghem, General Director of the European Apparel and Textile Confederation (EURATEX), representatives from Cotton Analytics (USA), Indorama Corporation (Singapore) and many more. These discussions not only delved into topics like artificial intelligence and digitalization but also addressed key regulatory challenges facing the industry today. The focus on sustainability was particularly pertinent, as the global textile and apparel industry faces increasing pressure to adopt environmentally responsible practices. The ITMF Awards Session was another highlight of the event, with winners of the ITMF Innovation & Sustainability Award 2024 and the ITMF International Cooperation Award 2024 being announced. These awards recognised the contributions of companies and individuals who have made significant strides in driving innovation and sustainability in the textile industry. The first day of the conference on September 8 set the tone for the entire event, with a series of discussions that explored the future of textiles and fashion. The Fiber Session on Cotton, was one of the most anticipated ones of the day, featuring global leaders such as Uday Gill of Indorama Corporation, Singapore. The session concluded with a panel discussion that allowed for a deeper exchange of ideas and perspectives between the speakers and the participants. The afternoon sessions highlighted manmade fibres, with Ajay Sardana (President & Head, PETCHEM- Industry Affairs. Reliance Industries), India, delivering a presentation on polyester titled Polyester: the Magical Fibre. Sardana emphasised the versatility and growing demand for polyester, noting that it is expected to be the fastest-growing fibre globally due to its adaptability across various applications and its ability to blend with other fibres. He also highlighted Indias rapid growth in polyester production, driven by the government policies that promote use of manmade fibres. Sardana pointed out that even though polyesters share in Indias total fibre basket is currently lower than the global average, it is quickly catching up. He also underlined Indias unique position in the polyester value chain while also highlighting Reliances integration from upstream to downstream, encompassing yarn, fabric, and garment production. He linked this integration to Prime Minister Narendra Modis 5F Vision, which spans Farm to Fibre, Factory to Fashion, and ultimately to Foreign exports. Another key session focused on the issue of audit fatigue, a growing concern for manufacturers across the globe. The session titled Textile & Apparel Industry in Uzbekistan, provided an in-depth overview of the countrys textile history and its current ambitions. As Uzbekistans textile industry continues to grow, modernising with advanced technologies and sustainable practices, the countrys cluster development system, introduced in 2017, significantly reduced transaction costs for farmers and producers, making Uzbek products more competitive on the global stage. Today, Uzbekistan is a member of international organisations like Better Cotton and Better Work, with the quality of Uzbek cotton and its compliance with global production standards confirmed by numerous certifications. During this session, speakers such as Muzaffar Razakov from Global Textile, Uzbekistan, shared insights into the countrys efforts to innovate within the textile industry while Kihak Sung, CEO and Chairman of YOUNGONE Corporation, spoke about his companys experience in Uzbekistan. YOUNGONE operates two factories in Uzbekistan and is planning further expansion. The general session focussing on Sustainability & Regulation, tackled the critical regulatory frameworks shaping the future of the industry. Dirk Vantyghem from Euratex, discussed the EUs sustainable textile strategy, while Kenichi Tomiyoshi from JTF, Japan, highlighted Japans efforts to promote a circular economy within its textile sector. Yan Yan from CNTAC, China, provided an update on Chinas regulatory environment, particularly its focus on green manufacturing, while Stephen Lamar from AAFA, spoke on USs regulatory trends. The final day of the conference, held on September 10, was marked by the 5th General Session on Collaboration, which emphasized the importance of partnerships in tackling the industrys biggest challenges. Speakers like Felix Poza Pena from Inditex and Akila Fernando from Epic Group explored the future of supply chain collaboration and sustainability. The event culminated with the ITMF Awards Session, moderated by Juan Pares from Textil Santanderina, Spain, where the winners of the ITMF Innovation & Sustainability Award 2024 were honoured. To sum it up, the 2024 ITMF Annual Conference and IAF World Fashion Convention in Samarkand was a resounding success, bringing together global industry leaders to deliberate on the key issues, including the challenges and opportunities facing the textile and apparel sector even as the event underscored the importance of innovation, sustainability, and collaboration in driving the future trajectory while also highlighting Uzbekistans growing importance on the global stage. Fibre2Fashion News Desk (DR) Known for his opulent hand-beaded designs in the United States that are reminiscent of to India, Mac Duggal enters India with multi-brand outlets. Duggal has built a globally thriving fashion legacy spanning across 51 countries. His creations are retailed in popular stores across the world. The Spring/Summer 24 collection is an ode to an ethereal dream with a romantic-edgy modern twist. Elated about launching his brand in India and expanding into the 52nd country, Mac says We chose to enter the Indian market based on a deep understanding of its diverse culture and dynamic yet evolving fashion landscape. Upon evaluating Indias fashion landscape, we recognised its growing expansion and promising opportunities for luxury fashion. There is a noticeable increase in demand among Indian consumers for affordable luxury, mainly looking for exclusivity and sophistication. This trend is evident not only in major metropolitan cities like Delhi and Mumbai but also in Tier 1 and 2 cities across the country. Indias rich cultural heritage and vibrant social calendar offer numerous opportunities to serve a market seeking designer pieces that combine elegance with modern style seamlessly.Of course, it is a proud moment for our fashion label Mac Duggal, as well as for myself on a personal level. Because I am of Indian origin and I have spent significant years of my life growing up in India. My love for the opulent hand-beaded designs, regal embroidery and traditional jewelled details inspired by the rich cultural tapestry of India has been the inspiration behind the silhouettes for Mac Duggal. Therefore, it is almost like a dream come true to expand into India and show what we have to offer to our patrons.We have dressed Hollywood celebrities such as Shakira, Beyonce, Eva Longoria and Khloe Kardashian in the past. For me, I wouldnt pick a celebrity I would love to dress as I believe that a Mac Duggal dress is here to make every woman feel like a celebrity. There is a silhouette to make each one feel special and beautiful in their own way.Deepika PadukoneAlia BhattKiara AdvaniSuhana KhanKhushi KapoorThe biggest fashion icon of today is Sonam Kapoor. She is well known for her impeccable and versatile style, perfectly aligning with the essence of the Mac Duggal brand. She is empowered and confident. Her edgy yet versatile style always determines fashion trends for the next few years.The younger girls of Bollywood are just about to make their mark in the industry with their fashion, such as Ananya Pandey, Shanaya Kapoor, Alizeh Agnihotri, and Khushi Kapoor.Alia Bhatt at the Met Gala in 2024. She represents timeless elegance Natasha Poonawalas archival looks, She understands the gravity and the timeless significance of fashion momentsMarilyn Monroes iconic white silhouette, which is a fashion moment etched in time.I would say that the eternal icon that transcends time and culture is using pearls as an embellishment in occasion wear like heavy gowns. It will certainly always be in fashion, as you see these heavily embellished silhouettes everywhere on the runway. Their timeless elegance effortlessly elevates any ensemble, lending a touch of sophistication and refinement that never fails to captivate. In 2024, pearls will emerge as a definitive trend, adorning everything from opulent gowns to sleek sarees on runways worldwide. Their versatility knows no bounds, seamlessly complementing both traditional and contemporary aesthetics with equal grace.I believe that our creations encapsulate a unique fusion of traditional Indian craftsmanship with contemporary Western silhouettes. This blend creates a mesmerising aesthetic that appeals to a global audience, especially with a presence in 51 countries, while staying true to my cultural roots. In essence, my evening wear designs offer a harmonious blend of the East and the West, tradition and modernity, making them distinctive and sought-after in the realm of fashion. The size inclusivity factor just further adds to the desirability of Mac Duggal, as we have a dress for every occasion and every woman to feel beautiful.It is definitely hard to pick a specific colour, but rather, a range of colours that we have created silhouettes in would be deep hues such as plum, olive green, antique gold and royal blue, perfect for evening dressing. The lighter hues and tones preferred for daytime occasions vary from sage green, taupe, slate blue and champagne to vibrant toneslike marigold yellow, fuschia and cobalt blue. VANCOUVER, BC / ACCESSWIRE / September 13, 2024 / bettermoo(d) Food Corporation (CSE:MOOO)(OTCQB:MOOOF)(Frankfurt:0I5A)(WKN:A3D8PP) (the "Company" or "bettermoo(d)") is pleased to announce it has received initial purchase orders for its newly launched Moodrink Barista Edition ( Moodrink Barista ) from United Natural Foods Canada, ("UNFI Canada" or the "Distribution Partner"), the largest publicly traded wholesale distributor in North America delivering healthier food options to people throughout the United States and Canada[1], and an established coffee shop distributor (the "West Coast Coffee ShopDistributor"), serving the West Coast of Canada for over 16 years. Both distributors placed initial order soon after the product's official launch in late August, which management believes reflects the strong demand and enthusiasm for bettermoo(d)'s innovative plant-based Moodrink Barista. bettermoo(d) intends to leverage its distribution partners to target coffee shops and food service establishments, while also utilizing its existing networks to work on placing Moodrink Barista in retail chains across Canada. By offering a product tailored for baristas and coffee enthusiasts, the Company is positioning itself to serve an expanding segment of the beverage industry. The coffee industry has demonstrated consistent growth, with North America being a major player in its expansion. On average, individuals in this region consume about three cups of coffee daily, highlighting the role coffee plays in their daily routines. In 2023, the North American coffee market was valued at US$ 18.23 billion and is projected to reach US$ 27.06 billion by 2030, growing at a compound annual growth rate (CAGR) of 5.8%.[2] "As the coffee industry continues to grow and evolve, the demand for high-quality plant-based alternatives has never been greater. Launching Moodrink Barista is a strategic move that allows bettermoo(d) to not only meet this rising demand but also to expand our market presence in a key segment. This is a fantastic opportunity for us to introduce our innovative products to a wider audience, with the goal of creating new revenue streams that align with our vision of sustainable and health-conscious living," stated Nima Bahrami, CEO of bettermoo(d). About United Natural Foods, Inc. (UNFI): As one of the most influential companies in its industry, United Natural Foods, Inc. ("UNFI"), the parent company of UNFI Canada, operates 50 distribution centers across North America and serves a diverse array of approximately 30,000 retail partners, including natural product superstores, independent retailers, conventional supermarket chains, e-commerce platforms, and food service providers. About the West Coast Coffee Shop Distributor: The West Coast Coffee Shop Distributor, a trusted name in the industry for over 16 years, specializes in providing premium bubble tea ingredients, coffee products, and food packaging solutions. With a strong presence across three locations in lower BC and Calgary, Local Distributor has been serving the West Coast of Canada since 2007. Committed to the principles of integrity, professionalism, and quality, they offer not only high-quality products but also a broad spectrum of integrated services. These include expert recommendations for store planning, innovative packaging design, and essential equipment, ensuring that customers receive comprehensive support tailored to their needs. With 1,149 coffee and snack shops in British Columbia [3] and 970 in Alberta [4], bettermoo(d)'s newly launched Moodrink Barista has the potential to secure placement in a large number of these locations through the Local Distributors. This opportunity can position bettermoo(d) as an integral part of the lucrative coffee industry in Western Canada, while also enhancing brand visibility and driving growth through expanded reach. ABOUT BETTERMOO(D) FOOD CORPORATION bettermoo(d) Food Corporation is an innovative plant-based dairy alternative food and beverage company based in Vancouver, British Columbia Canada, launching Moodrink, a nutritious dairy-alternative beverage with a revolutionary flavour. Moodrink includes a blend of herbs and flowers similar to what cows ate, before the time of mass livestock production. Like rich dairy products, Moodrink contains added healthy plant fats and vitamins, so consumers don't miss out. The "Moodrink" is just the beginning of the revolution for the Vancouver based dairy-alternative company, bettermoo(d). Driven by the motto "What A Cow Eats and A Human Needs" bettermoo(d) seeks to produce dairy alternative products that are good for both people and the planet - ensuring that all products are nutritious and sustainably sourced, and that also emulate the great taste of traditional milk from the Alps regions of Switzerland, France and Austria. Working with food scientists, the Company's goal is to conduct continuous food research and development programs with the aim of rolling out a full line of dairy alternative products, including Moogurt and Buetter, as well as many other products, that are better for YOU and better for the planet. ON BEHALF OF THE BOARD of DIRECTORS Nima Bahrami Chief Executive Officer and Director bettermoo(d) Food Corporation For further information please contact: Email: investors@bettermoodfoodcorporation.com Website: www.bettermoo.com Phone: 1-855-715-1865 The CSE does not accept responsibility for the adequacy or accuracy of this release. This news contains forward-looking statements and forward-looking information (collectively, "Forward-Looking Statements") within the meaning of the applicable Canadian and U.S. securities laws, including the United States Private Securities Litigation Reform Act of 1995. All statements, other than statements of historical fact, included herein including, without limitation, statements with respect to the anticipated development of, and market demand for, Moodrink Barista, as well as with respect to the anticipated size and growth of the dairy alternatives market and opportunities for growth therein, and with respect to the Company's ability to achieve, sustain and expand market share within the coffee segment, are forward-looking statements. When or if used in this news release, the words "anticipate", "believe", "estimate", "expect", "target, "plan", "forecast", "may", "schedule" and similar words or expressions identify forward-looking statements or information. Such statements represent the Company's current views with respect to future events and are necessarily based upon a number of assumptions and estimates that, while considered reasonable by the Company, are inherently subject to significant business, economic, competitive, political and social risks, contingencies and uncertainties. Many factors, both known and unknown, could cause results, performance, or achievements to be materially different from the results, performance or achievements that are or may be expressed or implied by such forward-looking statements. There is no assurance that the Company will be successful in marketing or continuing to distribute Moodrink Barista, as contemplated or at all, nor that it will be able to maintain relationships with distributors and other industry partners. The Company does not intend, and does not assume any obligation, to update these forward-looking statements or information to reflect changes in assumptions or changes in circumstances or any other events affecting such statements and information other than as required by applicable laws, rules and regulations. [1] https://ir.unfi.com/home/default.aspx [2] https://www.stellarmr.com/report/North-America-Coffee-Market/77 [3] https://www.ibisworld.com/ca/industry/british-columbia/coffee-snack-shops/18683/ [4] https://www.ibisworld.com/ca/industry/alberta/coffee-snack-shops/15228/ SOURCE: bettermoo(d) Food Corporation View the original press release on accesswire.com IRAEmpire releases its latest analysis of Noble Gold Investments reviews and fees. LOS ANGELES, CA / ACCESSWIRE / September 14, 2024 / IRAEmpire is proud to release its Noble Gold Investments review for 2024. Ryan Paulson, Chief Editor at IRAEmpire.com says, "Seeing the recent surge in the popularity of gold IRA companies and investments, we thought it was vital to release this analysis." Consumers interested in learning about gold IRA companies can sign up for this free checklist. Ryan highlights that the checklist has already helped hundreds of investors choose reliable gold IRA companies. Noble Gold Investments is a precious metals company that specializes in gold IRAs and other precious metals investments. Consumers can read the full Noble Gold review here. Founded in 2016, Noble Gold Investments has quickly established itself as a reputable player in the precious metals industry. The company offers gold, silver, platinum, and palladium products for investment, with a focus on helping clients diversify their retirement portfolios through gold IRAs. One of Noble Gold's distinguishing features is its low minimum investment requirement of $2,000 for precious metals IRAs, making it more accessible to a wider range of investors compared to some competitors. The company charges an $80 annual fee for its gold IRAs, along with storage fees that vary depending on the depository used. Noble Gold has garnered positive reviews across multiple consumer watchdog websites. It boasts an A+ rating from the Better Business Bureau and high ratings on platforms like ConsumerAffairs, TrustPilot, and Google Reviews. These ratings suggest a strong track record of customer satisfaction. The company offers a variety of IRA-approved precious metals products, including popular coins like American Gold Eagles and Canadian Gold Maple Leafs. In addition to standard offerings, Noble Gold provides unique services such as its "Royal Survival Packs," which are pre-selected collections of precious metals designed for use in emergency situations. Noble Gold emphasizes customer education and support, offering free consultations and educational resources to help investors make informed decisions. The company also provides a buyback program, allowing customers to liquidate their precious metals investments when needed. While Noble Gold has many positive attributes, potential investors should note that the company does not list prices online, which may make comparison shopping more challenging. Additionally, its storage options are somewhat limited compared to some competitors, with facilities primarily located in Texas. Overall, Noble Gold Investments appears to be a legitimate and customer-focused precious metals company, particularly well-suited for those looking to start a gold IRA with a relatively low initial investment. However, as with any investment decision, potential customers are advised to conduct thorough research and consider consulting with a financial advisor before committing to a precious metals investment strategy. Noble Gold Investments offers a diverse range of precious metals products and services tailored for investors looking to diversify their portfolios or protect their wealth. Here's a detailed breakdown of their key offerings: Noble Gold specializes in self-directed Individual Retirement Accounts (IRAs) backed by precious metals. These IRAs allow investors to hold physical gold, silver, platinum, and palladium in their retirement accounts. The company guides clients through the process of setting up or rolling over existing retirement accounts into precious metals IRAs. For direct investment outside of retirement accounts, Noble Gold offers a variety of gold and silver bullion products. These include popular coins like American Gold Eagles, Canadian Gold Maple Leafs, and American Silver Eagles, as well as gold and silver bars of various weights. Setting itself apart from many competitors, Noble Gold also provides investment options in platinum and palladium. This includes coins like the American Platinum Eagle and Canadian Palladium Maple Leaf, as well as platinum and palladium bars. For numismatic enthusiasts or those seeking collectible investments, Noble Gold offers a selection of rare coins. These may include historical pieces or limited edition coins with potential numismatic value beyond their precious metal content. A unique offering from Noble Gold is their "Royal Survival Packs." These are pre-selected collections of precious metals designed for investors concerned about economic instability or crisis scenarios. The packs come in various sizes and compositions, tailored to different investment levels and preferences. IRAEmpire recently ranked the best gold investments companies of each US state as well. Noble Gold Investments, a precious metals company specializing in gold IRAs and other precious metals investments, offers a unique product called "Royal Survival Packs" designed for investors concerned about economic instability or crisis scenarios. These Royal Survival Packs are pre-selected collections of precious metals, including gold and silver coins and bars, tailored to different investment levels and potential emergency situations. The packs are marketed as a way for investors to have readily accessible precious metals that could be used as currency or bartered during times of economic turmoil. Key features of the Royal Survival Packs include: Investment tiers ranging from $10,000 to $500,000, with names like "Noble Knight," "Noble Baron," and "Noble Duke" corresponding to increasing investment amounts. Discreet home delivery within 5 days of purchase, with packages fully insured and shipped in plain wrapping to ensure privacy. Option for secure storage in guarded depositories in the U.S. or Canada for those who prefer not to keep precious metals at home. Composition of easily recognizable and liquid precious metals, selected by Noble Gold's experts for their potential utility in crisis situations. A special "Noble Ambassador" pack available for offshore clients, which can be purchased with dollars or bitcoin. Noble Gold positions these packs as a form of financial insurance, arguing that precious metals could serve as a reliable means of exchange during emergencies when traditional currencies might lose value or become inaccessible. While the company touts the benefits of these survival packs, financial experts generally caution against keeping large amounts of precious metals at home due to security risks. Additionally, the effectiveness of such packs in actual crisis scenarios remains theoretical. As with any investment product, potential buyers should carefully consider their financial goals, risk tolerance, and the broader context of their investment portfolio before purchasing Royal Survival Packs. Noble Gold partners with secure storage facilities to offer clients options for storing their precious metals investments. While home delivery is available for some products, IRA-held metals must be stored in approved depositories. The company maintains a buyback program, offering clients a straightforward way to liquidate their precious metals investments when desired. This service aims to provide peace of mind to investors concerned about the liquidity of their assets. While not a product per se, Noble Gold places significant emphasis on investor education. They provide extensive resources, including market analyses, investment guides, and personalized consultations to help clients make informed decisions. Noble Gold's product lineup is designed to cater to a wide range of investors, from those just starting with precious metals to experienced investors looking for specific products or services. Their offerings reflect a focus on both traditional precious metals investments and innovative solutions for wealth protection in uncertain economic times. Noble Gold partners with Equity Trust (also referred to as Equity Gold in one source) as the custodian for their IRA accounts. Equity Trust/Equity Gold handles the custodianship to ensure Noble Gold's precious metal IRA investments comply with IRS regulations . The custodian partnership with Equity Trust allows Noble Gold to offer IRA services for precious metals investments while ensuring regulatory compliance. Equity Trust takes over account maintenance and management once the IRA is set up through Noble Gold. Noble Gold Investments, a precious metals company specializing in gold IRAs, maintains a fee structure that is relatively straightforward but not entirely transparent on their website. Here's a breakdown of their known fees. Firstly, Noble Gold stands out by not charging any setup fees for new accounts, which is uncommon in the industry. This could be an attractive feature for investors looking to minimize initial costs. The company charges an annual fee of $80 for maintaining a gold IRA. This fee remains consistent regardless of the account size, which could be advantageous for larger investors. In addition to the annual maintenance fee, Noble Gold charges storage fees that vary depending on the depository used. For gold IRAs stored in Texas or Delaware, there's an additional annual fee of $150. On the other hand, silver IRAs incur a higher storage fee, with a recurring annual charge of $225, plus an extra $250 annually for Texas storage. These fees cover secure segregated storage, insurance, and online account access for real-time asset tracking. Noble Gold requires a minimum investment of $2,000 for direct purchases or transfers, and $5,000 for rollovers. This relatively low entry point could make the company more accessible to a broader range of investors. Product Pricing: It's important to note that Noble Gold does not list individual product prices on their website. Potential investors need to contact the company directly for specific pricing information on precious metals. While Noble Gold's fee structure is competitive in some aspects, such as the absence of setup fees, the lack of transparent pricing for their products and the variability in storage fees depending on location may require potential investors to do more research or directly engage with the company for a complete understanding of costs associated with their investments. As with any financial decision, investors are advised to carefully review all fees and compare them with other providers before committing to a precious metals IRA. IRAEmpire.com is a retirement news and review website located in the United States that mostly focuses on Individual Retirement Accounts (IRAs). The website, founded in 2021, provides extensive market updates, technical analyses, and expert evaluations tailored to the IRA industry. Their primary emphasis lies in providing guidance to individuals in making informed decisions about retirement planning, namely in the areas of retirement advisors, Gold IRAs, and Crypto IRAs. The presence of user-generated material is a distinguishing feature of IRAEmpire.com. The website allows people to contribute their own assessments of financial firms and specialists, alongside expert reviews, thus promoting a thorough and unbiased understanding of retirement planning choices. IRAEmpire.com sets itself apart with its extensive and thorough research technique. The editorial team dedicates a substantial amount of effort, averaging over 200 hours per category, to conducting thorough research, analysis, and delivering sharp suggestions. To ensure integrity, fairness, and a transparent documentation of recent legal or ethical matters, this thorough procedure entails rigorous quality assessment of companies. Consumer visits, registrations, and service purchases serve as metrics for partner rankings. It is important to emphasize that IRAEmpire maintains rigorous editorial integrity, guaranteeing that its evaluations and opinions remain independent from its marketing and business development departments, in order to deliver impartial material. In addition, IRAEmpire.com provides comprehensive guides and educational materials that cover a range of retirement plans, such as Traditional IRAs, SEP IRAs, Self-Directed 401(k)s, and Thrift Savings Plans (TSPs). The purpose of this information is to educate readers about the different categories of retirement plans and their distinct guidelines, advantages, and regulations. Contact Information Ryan Paulson Chief Editor ryan@iraempire.com +18024878205 SOURCE: IRAEmpire View the original press release on accesswire.com FRANKFURT, Germany, Sept. 14, 2024 /PRNewswire/ -- China Petroleum & Chemical Corporation (Sinopec, HKG: 0386) has been honored with the Best Environmental Protection Case award at the First Sino-European Corporate ESG Best Practice Conference in Frankfurt, Germany. The event, held at Congress Center Messe Frankfurt, recognized Sinopec's outstanding contributions to sustainable development. Themed Together for the Future, the conference showcased top cases in ten categories, including environmental protection, social responsibility, corporate governance, and technological innovation. It aimed to foster dialogue and cooperation on sustainable development between Chinese and European businesses and deepen understanding of China's advancements among German and European industrial communities. KPMG served as the knowledge partner, providing professional services throughout the selection process. The event was co-organized by several prominent institutions, including the China Chamber of Commerce for Import and Export of Machinery and Electronic Products, China International Publishing Group, Frankfurt Chamber of Commerce, Frankfurt International Auto Parts Exhibition, and the German-Chinese Economic Association. This recognition not only underscores Sinopec's leadership in environmental stewardship but also reflects its ongoing efforts towards achieving a more sustainable future through innovative practices across all aspects of business operation, from governance to social responsibilities. Yongsheng Yu, Managing Director of Sinopec Group Branding Department, underscoring the company's dedication to embedding environmental sustainability into its core operations. Emphasizing their commitment to the mantra "Cleaner Energy, Better Life," Sinopec has strategically adopted Environmental, Social, and Governance (ESG) principles as a cornerstone of its business strategy. Sinopec, one of the world's top refining companies and the second-largest chemical product producer and marketer, strives to balance development between its enterprise and societal welfare. Since 2007, with its first sustainability report released for the following 18 consecutive years, the company has been enhancing its ESG governance to address global challenges like climate change and energy security while also adapting to trends such as the electrification of transportation and steadily promoting energy transformation. In tackling global challenges such as climate change and energy security, Sinopec's Board of Directors has continually improved its ESG governance structure, energetically implemented a green and clean development strategy, and progressively advanced energy transition while embracing the electrification trend in transportation energy. Sinopec will continue to work towards being a key player in the creation of a clean and beautiful world, a trailblazer in green and low-carbon development, and a protector of shared planet. Photo - https://mma.prnewswire.com/media/2505638/image1.jpg Logo - https://mma.prnewswire.com/media/960416/SINOPEC_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/sinopec-wins-best-environmental-protection-case-at-the-first-sino-european-corporate-esg-best-practice-conference-in-frankfurt-302248331.html Blog Archive: Oct 2024 (40) Sep 2024 (149) Aug 2024 (155) Jul 2024 (155) Jun 2024 (150) May 2024 (153) Apr 2024 (149) Mar 2024 (155) Feb 2024 (145) Jan 2024 (156) Dec 2023 (155) Nov 2023 (150) Oct 2023 (155) Sep 2023 (150) Aug 2023 (155) Jul 2023 (155) Jun 2023 (150) May 2023 (155) Apr 2023 (150) Mar 2023 (155) Feb 2023 (140) Jan 2023 (155) Dec 2022 (156) Nov 2022 (150) Oct 2022 (155) Sep 2022 (150) Aug 2022 (155) Jul 2022 (154) Jun 2022 (150) May 2022 (155) Apr 2022 (150) Mar 2022 (155) Feb 2022 (140) Jan 2022 (156) Dec 2021 (156) Nov 2021 (150) Oct 2021 (155) Sep 2021 (150) Aug 2021 (155) Jul 2021 (155) Jun 2021 (150) May 2021 (155) Apr 2021 (150) Mar 2021 (155) Feb 2021 (140) Jan 2021 (155) Dec 2020 (155) Nov 2020 (150) Oct 2020 (158) Sep 2020 (150) Aug 2020 (130) Jul 2020 (124) Jun 2020 (120) May 2020 (124) Apr 2020 (120) Mar 2020 (124) Feb 2020 (116) Jan 2020 (125) Dec 2019 (126) Nov 2019 (120) Oct 2019 (124) Sep 2019 (120) Aug 2019 (125) Jul 2019 (124) Jun 2019 (120) May 2019 (123) Apr 2019 (121) Mar 2019 (124) Feb 2019 (112) Jan 2019 (125) Dec 2018 (126) Nov 2018 (120) Oct 2018 (124) Sep 2018 (121) Aug 2018 (124) Jul 2018 (125) Jun 2018 (120) May 2018 (124) Apr 2018 (121) Mar 2018 (124) Feb 2018 (112) Jan 2018 (123) Dec 2017 (124) Nov 2017 (124) Oct 2017 (141) Sep 2017 (135) Aug 2017 (138) Jul 2017 (137) Jun 2017 (134) May 2017 (138) Apr 2017 (135) Mar 2017 (139) Feb 2017 (129) Jan 2017 (143) Dec 2016 (135) Nov 2016 (138) Oct 2016 (142) Sep 2016 (128) Aug 2016 (133) Jul 2016 (136) Jun 2016 (138) May 2016 (164) Apr 2016 (311) Mar 2016 (348) Feb 2016 (320) Jan 2016 (348) Dec 2015 (314) Nov 2015 (338) Oct 2015 (363) Sep 2015 (358) Aug 2015 (399) Jul 2015 (374) Jun 2015 (331) May 2015 (337) Apr 2015 (319) Mar 2015 (320) Feb 2015 (271) Jan 2015 (286) Dec 2014 (254) Nov 2014 (238) Oct 2014 (287) Sep 2014 (267) Aug 2014 (259) Jul 2014 (260) Jun 2014 (238) May 2014 (241) Apr 2014 (228) Mar 2014 (240) Feb 2014 (217) Jan 2014 (263) Dec 2013 (226) Nov 2013 (254) Oct 2013 (256) Sep 2013 (252) Aug 2013 (263) Jul 2013 (261) Jun 2013 (251) May 2013 (250) Apr 2013 (221) Mar 2013 (193) Feb 2013 (164) Jan 2013 (157) Dec 2012 (155) Nov 2012 (240) Oct 2012 (526) Sep 2012 (411) Aug 2012 (394) Jul 2012 (284) Jun 2012 (229) May 2012 (213) Apr 2012 (213) Mar 2012 (253) Feb 2012 (269) Jan 2012 (298) Dec 2011 (273) Nov 2011 (219) Oct 2011 (204) Sep 2011 (201) Aug 2011 (236) Jul 2011 (217) Jun 2011 (211) May 2011 (206) Apr 2011 (215) Mar 2011 (215) Feb 2011 (186) Jan 2011 (215) Dec 2010 (107) Nov 2010 (98) Oct 2010 (55) On September 12th, Dongfeng Motor held the DONGFENG DAYS EUROPE launch event in Turin, Italy, officially announcing the entry of DONGFENG BOX into the Italian market and the first European debut of the VOYAH COURAGE. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20240914431182/en/ Site of DONGFENG DAYS EUROPE (Photo: Business Wire) During the event, Mr. Ma Lei, General Manager of Dongfeng Motor Corporation International Business Department and Managing Director of China Dongfeng Motor Industry Imp. Exp. Co., Ltd., delivered a speech. With the brand value of "Quality, Intelligence, Harmony" as the main line, he emphasized Dongfeng's continuous investment in product quality, technology innovation, network construction, and market services. He said: "Dongfeng will always shoulder the responsibility of building a green and beautiful home together with global users, forge the unique Dongfeng charm with new energy technology strength, and work together with partners to achieve win-win development." As a representative electric vehicle entered Italy, DONGFENG BOX attracted much attention. DONGFENG BOX integrates multi-functional configuration and chic appearance. It comes with the first 10-in-1 electric drive in its class, with strong power and a maximum range of 430KM, providing diversified choices for local consumers' mobility. VOYAH COURAGE is also Dongfeng's first global model, representing Dongfeng Motor strategic deployment and solutions for the European market in the short and medium term. It features a pioneering exterior design, an intelligent cockpit that enables human-car interaction and is equipped with the industry-first LFC system, making driving both relaxing and safe. As the lively performance came to an end, the guests welcomed the unveiling ceremony of the much-anticipated DONGFENG BOX and VOYAH COURAGE and experienced the design details and technical highlights of the two models up close. Auto Motor Sport, the top German auto media present, expressed appreciation for Dongfeng Motor and interest about the future development of Dongfeng in the European market. Moving forward, Dongfeng is committed to "DRIVE YOUR DREAMS" brand mission, delivering user-centric innovation to provide a superior travel ecosystem for global customers. View source version on businesswire.com: https://www.businesswire.com/news/home/20240914431182/en/ Contacts: Company: China Dongfeng Motor Industry Imp. Exp. Co. Ltd Contact: Xie Qian Email: xieqian@dfmc.com.cn Phone number: +86181 7151 9169 Website: http://www.dongfeng-global.com/ https://www.facebook.com/DongfengMotorCorporationGlobal https://www.facebook.com/DongfengMotorCorporationSA https://www.facebook.com/DongfengMotorCorporationME https://www.tiktok.com/@dongfeng_motor Congress general secretary Jairam Ramesh claimed Madhabi traded in listed securities worth Rs 36.9 crore. Meanwhile, party president Mallikarjun Kharge said it is not surprising that the Sebi chairperson, is also not behind in investing in Chinese companies read more Sebi chairperson Madhabi Puri Buch is once again on the receiving end of allegations by Congress. Source: PTI. Upping the ante over the conflict of interest row involving Sebi Chairperson Madhabi Buch, the Congress on Saturday claimed that she traded in listed securities while in possession of unpublished price sensitive information and has been investing in the Chinese firms at a time when India is facing geopolitical tensions with China. Congress general secretary Jairam Ramesh pointed to fresh conflict of interest allegations against Buch, claiming that she, as a whole-time member and later as the Sebi chairperson, traded in listed securities worth Rs 36.9 crore. Advertisement The Congress attack comes a day after Buch said that she had made all necessary disclosures and complied with recusal guidelines in dealing with companies such as Mahindra Group that hired her husband, as she rejected allegations of impropriety as false, malicious and motivated. Buch and her husband Dhaval Buch in a joint statement the second since US-based short seller Hindenburg Research charged her of not being motivated enough to act on allegations against the Adani group due to conflict of interest also addressed issues raised by the opposition Congress over receiving payments from her previous employer the ICICI Bank while being a whole time member of the Sebi. The statement said Buch never dealt with any file involving Agora Advisory and Agora Partners the advisories where she held 99 per cent and continued to earn revenue even after she joined the market regulator body Sebi in 2017. Congress president Mallikarjun Kharge, in a post on X, said it is not surprising that the governments favourite, the Sebi chairperson, is also not behind in investing in Chinese companies. The new revelations prove that there are many things that are kept secret, he added. Posing questions to Prime Minister Narendra Modi, Ramesh asked if he is aware that the Sebi chairperson has been trading in listed securities while in possession of unpublished price sensitive information. Advertisement Is the PM aware that Madhabi Buch has made high value investments outside India? If yes, what is the date of this investment and date of disclosure? Ramesh asked. Is the PM aware that the Sebi chairperson has been investing in Chinese firms at a time when India is facing geopolitical tensions with China, the Congress leader asked. Addressing a press conference at the AICC headquarters here, Congress media and publicity department head Pawan Khera alleged that between 2017-2023, Buch, as a whole-time member and later as the Sebi chairperson, traded in listed securities worth Rs 36.9 crore. This is in violation of Section 6 of the Sebis Code on Conflict of Interest for the Members of Board (2008), he said. Advertisement He also gave a year-wise break up of the total trading in securities which in total amounted to over Rs 36.9 crore. In addition to that, Khera said, We have information that between 2017-2021, Madhabi Buch held foreign assets. We hereby ask: When was the first time she declared the foreign assets and to which agency of the government? Is it true that Ms. Madhabi P. Buch was actively involved in Agora Partners PTE (Singapore) as she was a signatory to the bank account? he said. Listing the details of her investment in the US between 2021 and 2024, Khera claimed that she had invested in Vanguard Total Stock Market ETF (VTI), ARK Innovation ETF (ARKK), Global X MSCI China Consumer (CHIQ) and Invesco China Technology ETF (CQQQ). Advertisement It is deeply concerning to learn that Madhabi Buch, the Sebi chairperson, has been investing in Chinese funds. When the Prime Minister of India can publicly give China a clean chit, its hardly surprising that a key regulatory figure is engaging in investments linked to China, Khera said. At the press conference, Khera also recapped previous conflict of interest allegations levelled by the Congress against Buch. From September 2, 2024, the Congress started unravelling the long-kept secrets of the current Sebi Chairperson Madhabi Buch on how she has been deceiving the people of India, he said. He pointed to the Congress earlier allegation that the current Sebi chairperson drew an income of Rs 16.8 crores from the ICICI Bank and ICICI Prudential in the form of salary, ESOPS, TDS on ESOPs, while also drawing a salary from the Sebi was brought to the forefront. Advertisement Shockingly, the Sebi was also adjudicating complaints against the ICICI and its affiliates during this period, he said. In lieu of a clarification issued by the ICICI Bank, the Congress presented facts with regard to questions raised on retiral benefits, ESOPs, and TDS on ESOPs. So far, ICICI has not responded to our newly- presented facts, Khera said. We disclosed that Ms. Madhabi P. Buch rented her property to Carol Info Services Limited, an affiliate of Wockhardt Limited, between 2018-2024. Wockhardt has been under Sebis investigation for cases, including that of insider trading, he said. We exposed Ms. Madhabi P. Buchs public lie that Agora Advisory Pvt Ltd became immediately dormant upon her joining Sebi. She still holds a 99% stake in it, and the company has actively provided consultancy/advisory services, receiving Rs 2.95 Crores from six Sebi-regulated entities between 2016-2024, Khera added. Through their response, Dr. Reddys Laboratories and Pidilite confirm that they made payments to Dhaval Buch via Agora Advisory Private Limited, the Congress leader said. The payments from listed companies, which are regulated by the Sebi to Agora Advisory Private Limited violate Section 5 of Code on Conflict of Interests for Members of Board (2008), he said. Further, Mahindra & Mahindra must clarify whether they paid large sums to both Dhaval Buch personally and his joint consultancy, Agora Advisory Private Limited, in which Madhabi Buch holds a 99% stake. If yes, did Mahindra & Mahindra fail to conduct KYC and due diligence before transferring substantial public funds to Agora Advisory Private Limited? he said. If Dhaval Buch was paid Rs 4.78 crores personally, they must also clarify the payment of Rs 2.59 crores to Agora Advisory Private Limited, a supposedly dormant company, Khera said. The Congress allegations come weeks after Hindenburg Research launched a fresh broadside against Buch, alleging that she and her husband had stakes in obscure offshore funds used in the Adani money siphoning scandal. Convenience stores like 7-Elevens, called conbini in Japan, are akin to national infrastructure. They are even crucial for rural communities and have a role to play in times of natural disasters read more Less than a month ago, Japans Seven & i Holdings, the owner of 7-Eleven and several other retail chains, received an unsolicited buyout offer from Canadian convenience store giant Alimentation Couche-Tard. The proposed $38 billion deal, which would have marked the largest foreign-led acquisition of a Japanese company, was swiftly rejected by Seven & i. The company dismissed the offer, stating it grossly undervalued the business and posed serious antitrust risks, particularly in the United States market, where Alimentation Couche-Tards Circle K stores and Seven & is 7-Eleven shops are the top convenience store chains. Advertisement Seven & i also said that the proposal was not in the best interest of its shareholders. Despite this outright rejection, Alimentation Couche-Tard remained undeterred. In a September 10 statement, the Canadian firm signaled its intent to pursue the acquisition, claiming it was focused on finalizing a deal that would benefit all stakeholders involved. We remain highly confident that we have the capacity to finance the transaction in cash, and that financing would not be a condition for closing, the company said, positioning itself as resolute in its bid. Then, just three days after that de-facto threat from its Canadian rival, the Japanese government itself appeared to be on track to stop the acquisition. On September 13, Japans finance ministry designated Seven & i Holdings a core industry, according to Japan Today. The move can be seen as a protective measure aimed at preventing foreign takeovers. According to the ministry, core industries are those requiring advance notification for foreign investments due to potential risks to national security. Under this system, authorities can issue a cease-and-desist order to halt certain foreign investment activities. That interference has a lot to do with Japans corporate culture, and the importance of Seven & i Holdings stores importance in the country. Corporate Japan, long considered impenetrable for inbound mergers and acquisitions, as ramped up its scrutiny of foreign investment in recent years a trend noted with many other developed countries, according to New York Times. Advertisement At the heart of the concern is also the crucial role that is played by these stores, known as conbini, in Japan. These ubiquitous convenience stores are deeply woven into the fabric of daily life, offering much more than snacks and drinks. They function as essential service hubs where customers can pay bills, drop off luggage before boarding trains, and even seek refuge during natural disasters. In rural areas, where services are scarce, these stores are lifelines for communities. Any disruption to this network, officials fear, could have far-reaching consequences. While Seven & i has downplayed the connection between the core industry designation and the Canadian firms takeover bid, insisting the classification resulted from an unrelated inquiry by the ministry in June, the timing of the decision warrants raising eyebrows. Advertisement If there would ever be a signal that the Japanese government is prepared to step in to protect what it views as a national asset, it couldnt be clearer than this. For now, the future of the potential acquisition remains uncertain, as Alimentation Couche-Tard has yet to abandon its pursuit. The situation could set the stage for a high-stakes battle, pitting foreign investment ambitions against Japans determination to safeguard its economic and social institutions. How that will play out remains to be seen. With inputs from agencies New intelligence reports suggest that Hamza bin Laden, son of Osama bin Laden, may still be alive and orchestrating al-Qaedas resurgence from Afghanistan. Despite claims of his death in a 2019 US airstrike, sources indicate that Hamza is working closely with the Taliban, running terror training camps and planning future attacks read more A photograph circulated by the US State Departments X (formerly Twitter) account to announce a $1 million USD reward for al Qaeda key leader Hamza bin Laden, son of Osama bin Laden, is seen March 1, 2019. File Image/US State Department via Reuters Hamza bin Laden, son of al-Qaedas infamous leader Osama bin Laden, has long been the subject of both intrigue and terror in global security circles. While reports of his death surfaced in 2019 following a US airstrike, new intelligence suggests that the so-called Crown Prince of Terror is very much alive and possibly commanding a newly invigorated al-Qaeda from within Afghanistan. Is Hamza Bin Laden alive? For years, it was widely believed that Hamza bin Laden had been killed in a US airstrike, a narrative fuelled by the then-US president Donald Trump in 2019. However, no DNA evidence was ever produced to confirm his death, and the shadowy figures whereabouts remained uncertain. Advertisement Recent intelligence assessments indicate that Hamza may have survived the attack and is currently spearheading al-Qaedas resurgence, along with his brother Abdullah. Picture taken from undated Al Jazeera television footage purportedly shows Hamza bin Osama bin Laden (L), one of the sons of Osama bin Laden, displaying what the Taliban say is wreckage from a US helicopter near Ghazni, Afghanistan. Child at right is unidentified. November 7, 2001. File Image/Reuters A report by Western intelligence agencies, accessed by British tabloid Daily Mirror, highlights that Hamza is living under the protection of the Taliban, conducting clandestine operations in Afghanistan. His current stronghold is believed to be in Jalalabad, a known hotbed for terrorist activity located around 100 miles (160 kilometres) east of Kabul. The same report also suggests that al-Qaeda, under Hamzas leadership, is making significant strides toward re-establishing itself as a formidable terror group, with as many as 10 training camps established in Afghanistan. The National Mobilization Front (NMF), an Afghan resistance group, published an even more specific claim. According to their September 2024 report, Hamza has been moved to the Dara Abdullah Khel district in Panjshir, where he is under the protection of 450 Arab and Pakistani fighters. How has Al-Qaeda revived again amid Talibans support? Since the Taliban regained control of Afghanistan in 2021, the country has once again become a focal point for extremist activities. Intelligence reports indicate that al-Qaeda, along with other groups such as ISIS, has found safe haven under the Talibans protection. Despite initial assurances from the Taliban to the international community that they would distance themselves from terrorist organisations, it is now clear that these ties are being strengthened, not severed. Advertisement According to the reports, Hamza bin Laden has been instrumental in forging these renewed alliances. Under his leadership, al-Qaeda has reportedly established at least ten new terror training camps across Afghanistan. These camps, scattered across provinces such as Ghazni, Helmand, and Nangarhar, train fighters in everything from tactical warfare to suicide bombings. Recruits are indoctrinated with the belief that martyrdom is the ultimate goal, driving many to volunteer for suicide missions. A detailed intelligence analysis underlines the severity of the situation: The parallels between the current situation and the prelude to the 9/11 attacks are alarming. The report warns that Afghanistan has become a terrorist hotbed with as many as 21 extremist groups operating across the country, turning it into the most dangerous region for Western interests. Advertisement One intelligence official remarked that this scenario mirrors the pre-9/11 landscape, where al-Qaedas training camps in Afghanistan bred the operatives that carried out the 9/11 attacks. What is Hamza bin Ladens role? Hamza bin Ladens rise to leadership within al-Qaeda carries significant symbolic weight. He is not just the son of Osama bin Laden; he represents the enduring legacy of the bin Laden dynasty within global jihadism. This legacy has made him an inspirational figure within terrorist circles, motivating new recruits and unifying splintered jihadist factions. His brother, Abdullah bin Laden, is also believed to play a pivotal role in the organisations revival. An intelligence report, based on various sources, highlights how Hamzas leadership has been crucial in steering al-Qaeda toward its most potent resurgence since the Iraq War. Under his command, al-Qaeda is regrouping and preparing for future attacks on Western targets, the report notes. Advertisement Picture taken from Al Jazeera television purportedly shows Hamza bin Osama bin Laden (C), one of the sons of Osama bin Laden, seated between two Taliban fighters near Ghazni, Afghanistan. November 7, 2001. File Image/Reuters Analysts believe that the next phase of al-Qaedas terror campaign will likely be even more sophisticated and wide-reaching, driven by Hamzas deep connections with other extremist groups. It is believed that Hamzas operations are being supported by the Haqqani network, another formidable terror group operating in Afghanistan. Sirajuddin Haqqani, who leads the network, is said to have forged close personal and professional ties with Hamza, even arranging a marriage between one of his daughters and Hamza, further intertwining the two terror organisations. Hamzas family, including his four wives, is reportedly residing in safe houses scattered across Afghanistans provinces such as Ghazni, Laghman, and Helmand. Advertisement Is there another 9/11-style threat looming? The growing presence of terror camps in Afghanistan has heightened fears of another large-scale attack on Western soil. While the West has managed to dismantle key elements of al-Qaeda since the 9/11 attacks, the groups reemergence in Afghanistan poses a serious security threat. Recent intelligence reports reveal that al-Qaeda is not only working with the Taliban but has also formed alliances with ISIS-K (Khorasan Province), the regional branch of the Islamic State, primarily active in Afghanistan and Pakistan, and other extremist groups operating in the region. These alliances are based on a shared ideological hatred for the West, as well as practical collaboration in training, logistics, and operations. In some cases, there are reports of intermarriages between al-Qaeda and ISIS members, creating a more unified and dangerous jihadist network. This cooperation is particularly alarming for security analysts who see it as a revival of the global jihadist movement, which had been severely weakened following Osama bin Ladens death in 2011 and the fall of ISISs territorial caliphate. The separate intelligence assessment from the NMF points to a growing network of terrorist groups in the northeastern regions of Afghanistan, including Kunduz, Takhar, and Badakhshan. These areas are being used as recruitment and training hubs for al-Qaeda, ISIS, and other jihadist groups, with the Taliban providing logistical support. In Kunduz, for example, there are reported hideouts where al-Qaeda and ISIS militants operate together, despite hoisting separate flags outside their respective centres. What now? The network of alliances between al-Qaeda, ISIS, and the Taliban ensures that the terror organisations will continue to pose a substantial threat to global security for the foreseeable future. While the exact status of Hamza bin Laden remains somewhat uncertain, the overwhelming body of intelligence claims that he is alive and playing a critical role in al-Qaedas resurgence. With inputs from agencies In 1984, External Affairs Minister S Jaishankars father, K Subrahmanyam, found himself aboard an Indian Airlines flight hijacked by pro-Khalistani separatists. Over the course of a tense 38-hour standoff, the plane was forced to land in Dubai after circling over the Golden Temple and multiple stops including Pakistan read more An Indian Airlines Boeing 737-200 is seen, similar to the aircraft involved in the August 24, 1984 hijack. File Image/Creative Commons License External Affairs Minister S Jaishankar recently disclosed that his father was aboard an Indian Airlines flight that was hijacked in 1984. Speaking at a recent event in Geneva on Friday, Jaishankar recounted his involvement during the crisis, explaining his unique perspective of being part of both the government team handling the hijacking and as a family member with a loved one on the plane. In 1984, there was a hijackingI was a very young officer. I was part of the team dealing with it, he said. He elaborated that he had called his mother to explain his absence, only to learn later that his father, K Subrahmanyam, was one of the passengers onboard the hijacked plane. Advertisement I discovered my father was on the flightFortunately, nobody got killed. It could have ended as a problem, he added. Jaishankars role as part of the government team gave him what he described as a unique window on both sides of the problem. This startling admission has resurfaced memories of the tense and dramatic incident involving the hijacking of Flight IC-421 by pro-Khalistani separatists. What happened on Indian Airlines Flight IC-421? On August 24, 1984, Indian Airlines Flight IC-421, a Boeing 737, departed from Delhi-Palam Airport bound for Srinagar via Chandigarh, carrying 74 people, including 68 passengers and six crew members. Among the passengers was K Subrahmanyam, who was then director of the Delhi-based Institute for Defence Studies and Analysis and is the father of S Jaishankar, who was then a young officer in the Indian Foreign Service (IFS). At Chandigarh, seven armed Sikh men, aged between 22 and 30, boarded the plane. Shortly after takeoff, the militants, armed with kirpans (ceremonial daggers) and a revolver, seized control of the aircraft and ordered Captain VK Mehta to circle over the Golden Temple in Amritsar. This was just two months after Operation Blue Star, the Indian militarys controversial raid on the temple to remove militants, which had intensified the secessionist Khalistan movement. Advertisement The hijackers primary demand was to be flown to the United States to seek asylum, citing their support for the creation of Khalistan, a separate Sikh homeland. How did negotiations begin? After circling the Golden Temple, the hijackers directed the flight to Lahore, Pakistan, where it circled for nearly 80 minutes before being allowed to land due to critically low fuel levels. The plane was then refueled but the hijackers demands for passage to the United States were not met. As negotiations continued, they released five passengers, including a three-member family, at Lahore. Pakistani authorities later revealed that the revolver used in the hijacking had been handed to the militants by local officials during their time on the tarmac in Lahore. Advertisement After leaving Lahore, the plane was rerouted to Karachi and then Dubai. However, Dubai airport authorities initially refused landing permission, switching off runway lights and radio signals. It was only after Captain Mehta threatened to make a water landing due to low fuel that permission was granted, and the aircraft touched down at 4:55 am (local time) on August 25, 1984. The plane had just five minutes of fuel left. What happened in Dubai? Negotiations at Dubai airport intensified with the arrival of UAEs Defence Minister Sheikh Mohammed bin Rashid Al Maktoum, who played a key role in resolving the standoff. The hijackers, insisting on safe passage to the United States, refused to release the passengers initially. Advertisement Sheikh Mohammed proposed housing the hijackers in Dubai while their request for asylum was processed. However, the United States Consul General in Dubai, David Stockwell, made it clear that if they go to the US, they will be arrested. At 12:35 pm (local time), the situation took a more urgent turn when two ambulances were called to the scene due to a medical emergency involving Subrahmanyam. Subrahmanyam, who had diabetes, informed the hijackers that he was in need of an insulin injection. In response, both Subrahmanyam and one of the hijackers exited the aircraft and made their way to the ambulances, where the necessary medical treatment was administered. After receiving his insulin dose, Subrahmanyam, along with the hijacker, returned to the plane Advertisement How did the situation finally resolve? As the hours passed, the situation grew increasingly tense. The hijackers threatened to start executing hostages, beginning with K Subrahmanyam, if their demands were not met. This prompted Dubai authorities to accelerate the negotiations. Around 6:50 pm (local time) on August 25, after a 38-hour ordeal, the hijackers agreed to release the hostages on humanitarian grounds. Following the release of the passengers, who were taken to the airport lounge for rest and refreshments, the hijackers surrendered without further incident. They were taken into custody by UAE authorities, who had promised them a seven-day asylum period. However, the UAE and India soon reached an agreement, and all seven hijackers were extradited to India to face charges for air piracy. The crisis was averted without any loss of life, and the hostages, including K Subrahmanyam, were flown back to India the next day. Reflecting on the incident, Jaishankar highlighted how such situations are often portrayed poorly in popular media, stating, Movie guys dont make the governments look goodthe hero is supposed to look good. Then nobody would watch the movie. Why does this incident still matter today? The hijacking of IC-421 was part of a troubling trend during that period. From 1971 to 1999, Indian Airlines aircraft were hijacked 16 times, many of these incidents linked to the Khalistan movement. The 1984 hijacking, in particular, was tied to the broader political unrest in Punjab, following Operation Blue Star. The UAEs role in defusing the situation and ensuring the safe release of the passengers has been remembered as a significant diplomatic success. The hijacking of Indian Airlines Flight IC-421 remains a pivotal event in Indias aviation and political history. With no casualties and the safe release of all hostages, it stands out as a rare example of a successful resolution to a high-stakes terrorist hijacking. With inputs from agencies Delhi CM Arvind Kejriwal is a free man. He walked out of jail after the Supreme Court granted him bail in a corruption case filed by the Central Bureau of Investigation (CBI) in the now-scrapped liquor policy case. Heres all this and more in our weekly wrap from India read more It was a politically charged week in India. Delhi Chief Minister Arvind Kejriwal walked out of jail after getting bail from the Supreme Court in a corruption case filed by the Central Bureau of Investigation (CBI) in the now-scrapped excise policy case. It was a sombre moment for Indian politics as Left stalwart Sitaram Yechury passed away at the age of 72 after battling a severe lung infection. Congress leader Rahul Gandhis trip to the United States, his first foreign visit after becoming the Leader of the Opposition in Lok Sabha, sparked controversy back home. From his remarks on different issues to his meeting with a controversial US lawmaker, Gandhis visit gave ammunition to the BJP. Advertisement Heres all this and more in our weekly roundup of stories from India. 1. Delhi CM Arvind Kejriwal is a free man. After spending six months in jail with a brief reprieve in between, the AAP chief was released from Tihar jail after securing bail from the Supreme Court. A bench of Justices Surya Kant and Ujjal Bhuyan granted relief to Kejriwal on certain conditions, a bail bond of Rs 10 lakh, and two sureties. The AAP chief got bail thrice in the liquor policy case before and once he even walked out of jail for campaigning in the Lok Sabha elections. His bail in the CBI case is a shot in the arm for the party ahead of the upcoming Haryana Assembly polls. Kejriwal was first arrested by the Enforcement Directorate (ED) in the excise policy case on March 21. He was sent to judicial custody in Tihar jail on April 1. Heres our story on Kejriwals entire bail saga. 2. Sitaram Yechury, the Communist Party of India (Marxist) general secretary, breathed his last this week. His party described his sudden demise as a grievous loss for the Left, democratic and secular forces. Yechury helped shape Indias Communist movement. Sitaram Yechury passed away at the age of 72. File Photo/PTI A Marxist, he was an advocate of coalition politics. Yechury, who once defied Indira Gandhi, grew close to Sonia and Rahul over the years. While paying tributes to the veteran politician, the Leader of the Opposition in Lok Sabha remembered Yechury as a friend. Read our explainer on Yechurys political journey. Advertisement 3. Congress Rahul Gandhi came under fire over his remarks in the US, with the BJP accusing him of insulting India. Speaking about religious freedom in the South Asian country, Gandhi said during an interaction with a member of the Indian community in Virginia, The fight is about whetherhe as a Sikh is going to be allowed to wear his turban in India. Or he as a Sikh is going to be allowed to wear a kada in India. Or a Sikh is going to be able to go to Gurdwara. Thats what the fight is about and not just for him, for all religions. Advertisement His statements on reservations in India, the Rashtriya Swayamsevak Sangh and unemployment also drew the BJPs ire. However, this is not the first time that Gandhi has created a political storm during his foreign trips. Heres our report on how Rahul Gandhi has faced flak over his utterances abroad. 4. Elections on all 90 Assembly seats of Jammu and Kashmir will be held in the coming days. These polls are significant as Assembly elections are being held in J&K after a decade, and five years after Article 370 was abrogated. These are the first elections after J&Ks statehood was withdrawn and it was split into two Union Territories Jammu and Kashmir and Ladakh. Advertisement The BJP is vying to come to power J&K. File Photo/PTI The BJP is eyeing to come to power in the UT. However, it has decided to contest only 19 of the 47 Assembly seats in the Kashmir Valley. This comes after the saffron party did not field a candidate on a single Lok Sabha seat in the Valley. But why is the BJP not contesting all seats in Kashmir? What are the challenges and advantages for the party? Read our story to find out. 5. Sexual harassment in the Indian armed forces is a haunting reality. A new case came to light this week after a Wing Commander of the Indian Air Force (IAF) was accused of rape by a woman flying officer in J&K. The woman officer has reportedly alleged authorities at the Srinagars Air Force Station where both are posted subjected her to continuous harassment, sexual assault, and mental torture over the last two years. Advertisement This is not a standalone case. The menace of sexual harassment continues to plague armed forces across the world. It is a big problem in India, too. Heres our story on the prevalence of sexual abuse in the Indian armed forces. 6. Another young life was lost to a heart-related condition in India. Indian TV actor Vikas Sethi died from a massive cardiac arrest at the age of 48. His wife, Jhanvi, told the media that the couple were in Maharashtras Nashik for a family event when the actor suddenly complained of nausea and stomach upset. After we reached my mothers house in Nashik, he had vomiting and loose motions. He didnt want to go to the hospital, so we asked the doctor to come home. When I went to wake him up around 6 am (on Sunday), he was no more. The doctor there told us he passed away last night in his sleep due to a cardiac arrest, she reportedly said. Before Vikas, TV actor Sidharth Shukla died of a heart attack. Bollywood actor Shreyas Talpade also suffered a heart attack and underwent underwent angioplasty last year. It is not just these three who witnessed a heart-related ailment. Cardiovascular diseases (CVDs), namely heart attacks and cardiac arrests, have increased in India from 25.7 million cases in 1990 to 64 million in 2023. But why are heart attacks among the young rising? We explain in this report. You are all caught up for this week. If you like reading our explainers, you can bookmark this page to stay updated. But as the assembly election returns to Jammu and Kashmir after a gap of 10 years, its difficult to miss the scenes of calm and waning dread days before the phase of voting is held on September 18. The other two phases of voting are scheduled for September 25 and October 1 read more The door-to-door canvassing has returned to the Kashmir Valley for the first time since the 1987 Jammu and Kashmir Assembly election described generally by political observers as heavily rigged. Overseen by the then Farooq Abdullah-led National Conference government and blamed for dividing the populace, the 1987 election was followed by a wave of terrorism that dominated a better part of the 1990s with active support from Pakistan. Elections and poll campaigns became a risky affair for candidates and the door-to-door canvassing stopped despite heavy deployment of security personnel. Advertisement But as the assembly election returns to Jammu and Kashmir after a gap of 10 years, its difficult to miss the scenes of calm and waning dread days before the phase of voting is held on September 18. The other two phases of voting are scheduled for September 25 and October 1. Candidates now shake hands, embrace supporters, and enjoy tea during door-to-door campaigns even in the downtown Valley areas where the guns shadow has lifted instead of having to address people from a distance while heavily defended by the military. Khurshid Alam, a former MLC and PDP candidate running for the Eidgah seat in Srinagar says, We got back home before dusk earlier. Back then, there was risk. Now, campaigning lasts till one in the morning. Voters, too, echo the sentiment. People who used to be reluctant and afraid to welcome politicians into their homes with tea and blessings now do it with openness. This degree of involvement has been unheard of in the last forty years, according to Gulzar Ahmad of Rajpora, Pulwama. Ahmad made the contrast more pronounced. Earlier, candidates were wary about door-to-door canvassing because they thought that Hurriyat leaders and terrorist outfits would organise poll boycotts and stone-pelting. According to Ahmad, people are now leaving their houses and approaching politicians directly with their problems. Analysts surmise that the peaceful campaigning portends a spike in voter turnout, which in the Valley has been in the single digits for the majority of the previous 40 years. Advertisement In the Lok Sabha polls held this summer, voting in the Srinagar constituency reached a four-decade high of 38.5 per cent, signalling a turn of the tide. The entry of many fresh faces is yet another noteworthy feature of this election. In seven of the ninety assembly seats, Independents supported by the outlawed Jamaat-e-Islami (JeI) are also running for berths in the assembly. This is the first assembly election since J&Ks special status was abrogated in August 2019. The JeI claims that electoral fraud is the reason it was excluded from previous polls. Aijaz Ahmed Guru, the brother of Mohammad Afzal Guru, convicted in the Parliament attack case of 2001 and executed in 2013, is one of the newcomers. Aijaz, an Independent from Sopore, is involved in the debate, bringing attention to regional concerns including joblessness and juvenile rehabilitation. During his campaigns, Aijaz declared that he would prioritise Sopore, which has been neglected for a long time. However, Tabasum, the widow of Afzal, has disassociated herself from her brother-in-laws choice. Advertisement Baramulla MP Abdul Rashid Sheikh, also known as Engineer Rashid, who defeated NC vice-president and former Chief Minister Omar Abdullah in the Lok Sabha while in Delhis Tihar jail following the central governments August 2019 move, is among the many Independent candidates. His Awami Ittehad Party (AIP) has fielded 19 candidates but as Independent contestants. Rashid was recently granted temporary bail. Omar questioned the bail, alleging that Rashids brief release could be a BJP ploy to undermine the mainstream parties in the Valley. Omar claimed that Rasheed would ultimately have to return to Tihar. In response, Rashid said Omar could see him off till Tihar if he so desired. He also said that the former J&K chief minister never called for his release as a fellow Kashmiri, even though he had been demanding bail for Delhi Chief Minister Arvind Kejriwal, an ally of the INDIA bloc. Advertisement On Friday, Omar launched another attack, claiming that if Rashid leaves the pitch for NC, he would go with him to Tihar jail. Omar also questioned Rashids silence over the possibility of a post-election tie-up with the Bharatiya Janata Party (BJP). Omar alleged that the people of Baramulla were misled during the Lok Sabha election into believing that their votes would help Rashids release, but the truth is that the courts are the only way out of jail. Ghosh, 54, is expected to appear before the Sealdah court on Sunday (September 15) read more The Central Bureau of Investigation (CBI) on Saturday (September 14) arrested Dr. Sandip Ghosh, former principal of Kolkatas RG Kar Medical College, in connection with the rape and murder of a junior doctor at the hospital. The CBI had added rape and murder charge against Ghosh, PTI quoted an agency official as saying. Ghosh was already in judicial custody in a corruption case. The CBI also arrested Abhijit Mondal, the Station House Officer (SHO) of Tala Police Station, in relation to the same case. Advertisement The trainee doctor was found dead in the morning. Her parents filed a complaint by 6:00 pm on August 9. However, it wasnt until around 11:45 pm, after the post mortem was completed, that the First Information Report (FIR) was registered. The investigating agency had also informed the Supreme Court earlier that the scene of the incident had been altered. The probe agency became more suspicious after the arrested accused, Sanjay Roy, initially consented to a Narco test but later refused. Subsequently, a Kolkata court rejected the application to conduct a Narco analysis test on Roy. Ghosh, 54, is expected to appear before the Sealdah court on Sunday (September 15). The case relates to the August 9 incident, in which a junior woman doctor was found raped and murdered at RG Kar Medical College, sparking widespread protests across the country. Ghosh is currently being held in solitary confinement at Presidency Central Jail after a special court placed him in judicial custody until September. The CBI informed the court that Ghosh was also involved in siphoning off funds and making 84 illegal appointments during 2022 and 2023. With inputs from PTI The encounter between security forces and terrorists began on the intervening night of September 13-14 in Baramullas Chak Tappar Kreeri area read more Three terrorists were shot dead in an overnight gunfight with security forces in Jammu and Kashmirs Baramulla on Friday. The encounter between security forces and terrorists began on the intervening night of September 13-14 in Baramullas Chak Tappar Kreeri area. #Encounter has started at Chak Tapper Kreeri Pattan area of #Baramulla. Police and security forces are on the job. Further details shall follow.@JmuKmrPolice Kashmir Zone Police (@KashmirPolice) September 13, 2024 Advertisement Officials said, Two to three terrorists are believed to be hiding in the area. Operation is going on to neutralise the terrorists. Meanwhile, two soldiers were killed in a separate operation in the Chatroo village of Kishtwar district. The incidents come ahead of assembly elections in Jammu and Kashmir. While Kishtwar will go to polls in the first phase of elections on September 18, Baramulla will vote in the third phase on October 1. 2 soldiers dead, 2 others injured The operation in Kishtwar began in response to a tip-off following which the army and police jointly initiated a cordon-and-search operation in the Naidgham area. #WATCH | Kishtwar Encounter | J&K: Security forces along with Jammu and Kashmir police continue the search operation in Kishtwar. Two Indian Army personnel lost their lives in the encounter yesterday. (Visuals deferred by unspecified time) pic.twitter.com/1hhRFEFajh ANI (@ANI) September 14, 2024 Advertisement Spotting the security officials from the Pingnal Dugadda forest, where they were hiding, the terrorists opened fire leading to a gunfight. Four army personnel were injured after the gunfight ensued. Two of them Junior Commissioned Officer Naib Subedar Vipan Kumar and Sepoy Arvind Singh succumbed to their injuries. Advertisement PM Modi rally in Doda Prime Minister Narendra Modi will hold an election rally in Doda on Saturday, making it the first time a prime minister has visited the district in 42 years. The area near the campaign venue and across the districts of Doda and Kishtwar have been secured by multi-tier security ahead of the prime ministers visit. The four men who returned to India on Friday said that at least 60 others fell prey to the scam while many of them are still in Russia. They said that the youths were shipped out of India last year in December with the promise of jobs as helpers or security personnel read more Four Indian men who were duped into joining the Russian Army and fight the war in Ukraine received a rousing homecoming welcome as they finally flew back to India. These men were the ones who made a heart-wrenching video urging the Prime Minister Narendra Modi-led government to rescue them from Russia. Earlier this year, a group of men claimed that they were fraudulently drafted into the Russian Army under the pretext of lucrative job offers. Advertisement The External Affairs Minister had been making efforts to repatriate the Indian citizens but the final push to those efforts came after Prime Minister Narendra Modi visited Russia when he raked up the issue with President Vladimir Putin. Following their discussion, Moscow agreed to send back all the Indians who had been hired by the Russian army to fight on the battlefield against Ukraine. Treated like slaves The four men who returned to India on Friday said that at least 60 others fell prey to the scam while many of them are still in Russia. They said that the youths were shipped out of India last year in December with the promise of jobs as helpers or security personnel. Mohammad Sufiyan, from Telangana, told Times of India, We were treated like slaves. We were woken up at 6 am every day and made to work 15 hours straight - with no rest or sleep. The conditions were inhuman. We were given meagre ration. Our hands were blistered, our backs ached and our spirits were broken. He added that if they tried to show any signs of exhaustion, they were fired upon to force them back to work. Among the tasks that they were asked to do included digging trenches, firing AK-12 and AK-74, hand grenades and other explosives. The men were completely disconnected from the world as their phones were confiscated by the army. Sufiyan said that he and his companions never knew their exact whereabouts and their only solace was a hope that they would get home soon. Advertisement Every day we woke up not knowing if it would be our last. The sound of gunfire and explosions became a constant backdrop to our lives, and we lived in perpetual fear, said another man Syed Ilias Hussaini from Karnataka. Friend was blown to death Sufiyan recalled that they had to witness other soldiers dropping dead regularly. He said, Hamil, a very good friend of mine from Gujarat, was blown to death in a drone attack. He was part of a team of 24 soldiers, including one Indian and one Nepali. It shook me up. The death of a close friend was the Indian men following which they told their families about the situation and requested EAM Jaishankar to repatriate them from the warzone. While Turkeys foreign policy takes an oriental turn, and the geographical location provides it all the amenities to become a rising middle-power, finding friends in East requires moving beyond just the legacy of the Ottomans read more Turkey can become a strong, prosperous, prestigious, and effective country if it improves its relations with the East and the West simultaneously, said Turkish President Recep Tayyip Erdogan in early September, as the news reports rolled in that Ankara has formally asked to join the BRICS+ group of emerging-market nations as it seeks to bolster its global influence and forge new ties beyond its traditional Western allies. Turkey is the first NATO member country to request BRICS membershipa group that signifies rise of the rest and seeks an alternative world order challenging the dominance of the US-led West, while NATO is reminiscent of a cold-war alliance system led by the US to counter the containment of communism in Europe. While NATO still searches for relevance, the G7the group of seven most advanced economieshas six NATO members, and the only out-member remains Japan, which has been demanding an Asian version of the organisation. Also, the European Union (taken collectively), along with America, constitutes the conceptual formation of what is perceived as West in the Global Order. Advertisement Contrastingly, Brazil, Russia, India, China, and South Africa (BRICS), or now BRICS+ (after Iran, Egypt, Ethiopia, Saudi Arabia and the United Arab Emirates joined this year, in 2024), is seen as the quest of the East to seek its due position in the Global Order, countering the hegemony of the developed West and its institutions, particularly the Bretton Woods twinsthe IMF and the World Bank. Another conceptual distinction is the divide between the developed Global North and the developing Global South. While the G7 members represent the leadership of the Global South, BRICS nations (though Russia is considered a member of the Global North, once a part of the communist second world) are deemed to be representing the Global South. Amid all these, there is a region, which Historian Peter Frankopan, in his book, The Silk Roads, rightly finds the centre of the world, running broadly from the eastern shores of the Mediterranean and the Black Sea to the Himalayas. The very positioning of the states here gives the privilege of choices in foreign policy. Consequently, whether Turkey or India, for that matter, gets that geopolitical advantage, which can be reaped well by any aspirational power, provided it has sound and farsighted leadership. Now, consider Turkey; the western part (Thrace) is on the European continent, and the Anatolia peninsula in the east lies in western Asia. While on one hand Turkey wishes for EU membership (though the largest market is adamant of not accepting it as a full member), on the other hand it wants to regain the leadership of the Islamic world on the legacies of the Ottoman Caliphate. This becomes even more contrasting when you see that in recent European parliamentary elections, Right-wing parties held the sway. Advertisement Apart from having a cold shoulder from Europe, Turkey apparently conceives Western-led Global Order as detrimental to its geopolitical aspirations and defence sector. Being a NATO nation, it purchased the Russian S400 missile system in 2019. The US, as a result, blocked Turkeys acquisition of F-35 fighter jets. Though the green light for the purchase of 40 such aircraft was given this year, the US Senate saw considerable opposition to it. Also, whether on the issue of United Nations reforms or upon the issue of the Israel-Hamas war, Washington and Ankara are not on the same page as should befit two NATO partners. Erdogan is of the opinion that the permanent-five veto holders of the UN Security CouncilUS, Russia, China, France, and Britaindo not represent the realities of the 21st century. On the Israel-Hamas war issue, the Turkish president calls for an Islamic alliance against Americas most essential ally in West Asia, Israel. Advertisement But that does not mean that Turkey has a cosy embrace in the East. Ankaras lukewarm relationship with New Delhi, particularly due to its stance on the Kashmir issue and its religious mutuality with Pakistan, will certainly play a role in the process. Meanwhile, the Indian foreign ministrys stance remains that it will go by consensus. However, apart from this well understandable inhibition, reports suggest Russia is sending mixed signals about Turkeys bid to join BRICS and is openly sharing developments with the media, apparently to unsettle Ankaras chances. Erdogan has been toying with this idea since 2018, when Turkey was invited to the BRICS annual summit. Advertisement Russian Foreign Affairs Minister Sergey Lavrov has said: By the overwhelming majority, the 10 nations decided to take a pause with new members to take in the new members who have doubled the association. Apparently, BRICS could create a category called partner states separate from the full membership. The 16th BRICS summit will take place from October 2224, 2024, in Kazan, Russia, which is currently the chair of the BRICS organisation. So, these mixed signals become even more significant in this context. As per experts, Russia may be seeking to deepen the rift between Turkey and the West, particularly NATO. Or, it might think of scoring some points in the West when negotiations for the Russia-Ukraine war gain momentum. Advertisement So, while Turkeys foreign policy takes an oriental turn, and the geographical location provides it all the amenities to become a rising middle-power, finding friends in the East requires moving beyond just the legacy of the Ottomanstowards a more comprehensive and realist understanding of the geopolitical reality. Views expressed in the above piece are personal and solely those of the author. They do not necessarily reflect Firstposts views. Mohammed Sufiyan of Telangana, was misled into working for the Russian army and left stranded near the Russia-Ukraine border for months. Thanks to the intervention of the Indian government and Prime Minister Narendra Modis efforts, he safely returned to India and expressed deep gratitude for the support read more A 22-year-old youth from Telangana, who was unwittingly recruited into the Russian army and left stranded at the Russia-Ukraine border for months, expressed his gratitude to the Centre on Saturday for facilitating his return to the country. I still cannot believe that I have returned home. The horrific scenes of the ongoing war are still fresh in my mind said Mohammed Sufiyan, who returned home safely on Friday night after working as a support staff for the Russian army in its war with Ukraine. Advertisement Sufiyan (22), who hails from Narayanpet district, thanked Prime Minister Narendra Modi, the Telangana government and also the media for facilitating his return. Sufiyan recalled that he reached Russia via Chennai and Dubai in December 2023 after being promised the job of a security personnel by a Mumbai-based employment agent. However, he was taken to the Russia-Ukraine border and subjected to training before being entrusted with work like loading vehicles and building bunkers, he told PTI. Sufiyan and others like him realised that they were misled, but could not establish contact with the main agent. The youth said he was taken close to the frontline but his health deteriorated after spending sleepless nights as the war raged on. Later, he was shifted to a green zone in Ukrainian territory under the control of Russia, about 60 kms from the frontlines, after he shared his ordeal. We were in a jungle for eight months, he said. The central government arranged air tickets to reach Delhi from Moscow, he added. Speaking to PTI in July this year, Sufiyans family expressed hope that he would return home following PM Narendra Modis visit to Russia. Russia had agreed to Indias demand to ensure early release of Indian nationals working with the Russian military as support staff after PM Modi very strongly took up the issue with President Vladimir Putin during his visit. The four senior leaders were among the 14 killed in the attack on August 29 when US and Iraqi forces raided four locations in western Iraq read more US troops walk as an army helicopter flies over the village of Oreij in Iraq, 22 February 2017. Photograph. File Image/ AFP Four senior ISIS leaders were killed in a US-Iraqi military raid in western Iraq, last month. The confirmation came from the US military on Friday, in which they also mentioned that the terror groups top operations leader in Iraq and its chief bombmaker were also killed in the raid. The four senior leaders were among the 14 killed in the attack on August 29 when US and Iraqi forces raided four locations in western Iraq. Seven American service members who participated in the raid also lost their lives. In its recent update, the military said that Washington has a $5 million bounty on the bombmaker who died in the August raid, ABC News reported. Advertisement This operation targeted ISIS leaders and served to disrupt and degrade ISIS ability to plan, organize, and conduct attacks against Iraqi civilians, as well as US citizens, allies, and partners throughout the region and beyond, the United States Central Command (CENTCOM) said in a statement on Friday. U.S. Central Command (CENTCOM) forces and Iraqi Security Forces conducted a partnered raid in pic.twitter.com/0XMXjKODne U.S. Central Command (@CENTCOM) September 13, 2024 The key player Abu Ali al-Tunisi killed in the raids In the Friday statement, the American military confirmed that during the raid the troops managed to kill key ISIS leader Abu Ali al-Tunisi. As part of the ongoing post-raid assessment, CENTCOM can confirm that four ISIS leaders were killed including Ahmad Hamid Husayn Abd-al-Jalil al-Ithawi, responsible for all operations in Iraq, Abu Hammam, responsible for overseeing all operations in Western Iraq, Abu-Ali al-Tunisi, responsible for overseeing technical development, and Shakir Abud Ahmad al-Issawi, responsible for overseeing military operations in Western Iraq, CENTCOM said in a statement. Advertisement On the US Department of Justice website, Tunisi was described as the " leader of manufacturing for ISIS in Iraq." He has conducted training for ISIS members, including instruction on how to make explosives, suicide vests, and improvised explosive devices (IEDs). Al-Tunisi also provided advanced training on weapons development and the manufacturing of chemical weapons, the Rewards for Justice website said in a statement. The website also has several photographs of the ISIS bomb maker. Before his death, the Justice Department noted that Tunisi had an injury to his right hand and right eye. It is pertinent to note that in December 2004, the US Department of State designated ISIS (formerly known as AQI) as a Foreign Terrorist Organization under section 219 of the Immigration and Nationality Act, as amended. Advertisement In the Friday statement, CENTCOM noted that some of the ISIS militants in the raid were armed with grenades and were wearing explosive suicide belts. Australian Prime Minister Anthony Albanese defended his governments proposed anti-misinformation laws after Elon Musk called them fascists. The laws could fine social media giants up to five percent of their annual revenue for failing to meet online safety standards read more Australian Prime Minister Anthony Albanese hit back at Elon Musk on Saturday after the tech mogul called his government fascists for proposing laws that would fine social media giants for spreading misinformation. Australia introduced a combating misinformation bill earlier this week, which includes sweeping powers to fine tech giants up to five percent of their annual turnover for breaching online safety obligations. Fascists, Musk posted Thursday on his social media platform X, formerly known as Twitter. Advertisement But Albanese fired back at Musk on Saturday, saying social media has a social responsibility. If Mr Musk doesnt understand that, that says more about him than it does about my government, he told reporters Saturday. The exchange between Musk and Australian officials is the latest in a long-running spat with the Australian government over social media regulation. Australias government is exploring a raft of new measures that would see social media companies take greater accountability for the content on their platforms including a ban for those under 16 years old. The countrys online watchdog took Musks company to court earlier this year, alleging it had failed to remove extremely violent videos that showed a Sydney preacher being stabbed. But it abruptly dropped its attempt to force a global takedown order on X after Musk scored a legal victory in a preliminary hearing, a move he celebrated as a free speech triumph. Musk, a self-described free speech absolutist, has clashed with politicians and digital rights groups worldwide, including in the European Union, which could decide within months to take action against X with possible fines. Advertisement In Brazil, where X has effectively been suspended after it ignored a series of court directives, Musk has responded by blasting the judge as an evil dictator cosplaying as a judge. The trip, which is still being finalized, is likely to occur after the United Nations General Assembly meeting in September and before the Nov. 5 presidential election, one of the sources said read more President Joe Biden is planning a trip to Angola in the coming weeks, fulfilling an earlier promise that would make him the first U.S. head of state to visit sub-Saharan Africa since Barack Obama in 2015, three sources familiar with the plans said. The trip, which is still being finalized, is likely to occur after the United Nations General Assembly meeting in September and before the Nov. 5 presidential election, one of the sources said. Advertisement The White House declined to comment on the trip plans. Biden had hoped to visit Angola late last year but the trip was postponed after the outbreak of the Israel-Hamas war in October. Biden has pledged closer U.S. partnership with democracies on the African continent, as Beijing invests heavily in the region. The Democratic president hosted Angolan President Joao Lourenco at the White House last November and raised the prospect of a visit during their Oval Office meeting. In May, he said he planned to make an official visit to Africa in February if he won the U.S. presidential election. Biden would be the first U.S. president to visit the oil- and resource-rich African country, one of the sources said, following a first-ever visit by a U.S. defense secretary, Lloyd Austin, in September 2023. Keen to counter Chinas massive investments in Africa, the U.S. has been supporting a project that links resource-rich Democratic Republic of Congo to Angolas Lobito port by rail to bypass road congestion on the copper and cobalt route. Biden, who took office in 2021, has faced some criticism for not visiting the African continent earlier in his term after hosting a U.S.-African leaders summit in Washington in December 2022. Treasury Secretary Janet Yellen and Vice President Kamala Harris visited Africa in 2023, and Secretary of State Antony Blinken this year. Advertisement Bidens trip would come weeks before a U.S. presidential election that remains razor-tight, with recent polls showing Democratic candidate Harris virtually tied with her Republican rival, former President Donald Trump, whose derogatory reference to African nations as shithole countries continues to reverberate in African diplomatic circles. China claims sovereignty and jurisdiction over the Taiwan Strait, which separates the Chinese mainland from the self-governed island of Taiwan read more China has criticised Germany for letting its warship go through the Taiwan Strait. Representational image. AP Germany sent its first warship through the Taiwan Strait in 22 years, despite warnings from China against doing so. Beijing was obviously displeased. According to Defence Minister Boris Pistorius, who announced the transit at a press conference in Berlin, the German frigate Baden-Wurttemberg, accompanied by a support vessel, sailed through the strait on Friday (September 13). On Saturday (September 14), Chinas military condemned the move, accusing Germany of increasing security risks and sending the wrong message. The Peoples Liberation Army said its forces monitored and issued warnings to the two German vessels during their passage. Advertisement China claims sovereignty and jurisdiction over the Taiwan Strait, which separates the Chinese mainland from the self-governed island of Taiwan. However, the United States and Taiwan argue that the strait is an international waterway, with about half of the worlds container ships passing through it. The Peoples Liberation Armys Eastern Theatre Command described the transit as public hyping, stating that its navy and air forces closely tracked and warned the frigate and supply vessel throughout the journey. The Chinese military said that the German sides actions increase security risks and send the wrong signal. The German Defence Minister told reporters that International waters are international waters. Chancellor Olaf Scholz, when questioned about the passage, added, Theres not much to say about the transit of ships. Its an international waterway. Chinas embassy in Germany said The question of Taiwan is not a matter of freedom of navigation, but of Chinas sovereignty and territorial integrity. The Taiwan Strait is Chinese waters and there are no so-called international waters at all, the embassy added. China urged Germany to avoid actions that could disrupt the stable development of bilateral relations. United States warships routinely transit the Taiwan Strait, typically every two months, which has consistently drawn protests from Beijing. Allied nations such as Canada and the United Kingdom have also occasionally navigated the waterway. In Taiwan, the government reiterated its position that only the islands people have the right to determine their future. Advertisement With inputs from agencies Zhang, an operator of the aircraft take-off control group unit, was in charge of releasing fighter jets to the ski jump ramp and launching their take-offs read more Amid the brewing tension in the Indo-Pacific region, reports are emerging that China has tested a new type of ship-borne fighter jet on its aircraft carrier. According to Chinese state broadcaster CCTV, the unidentified new fighter jet carried out take-off tests on the Liaoning, Chinas first aircraft carrier. The information on the new jet was shown in the Chinese documentary titled Quenching. While the documentary included footage of the J-15 Flying Shark taking off from the Liaoning, 12 years ago, it did not show any image nor give any information about the new jet. It is also not clear exactly when the tests were conducted, South China Morning Post (SCMP) reported. Advertisement Zhang, an operator of the aircraft take-off control group unit, was in charge of releasing fighter jets to the ski jump ramp and launching their take-offs. Im close to the end of my service career, and the new fighter on board of our ship looks so pretty. It was a sunny day [when we tested the new fighter jet] just as good as the day when the J-15 had its first take-off flight, Zhan was quoted as saying in the documentary. China develops its own F-35 As per the report, China is developing a new fighter jet called J-31 or J-35 for deployment on its aircraft carriers. The jets can be used in the mix-and-match formations with current air wings of J-15s. The new jets are touted as the Chinese equivalent of the F-35, the fifth-generation US fighter jet by Lockheed Martin. The new jet is likely to be a variant of an earlier prototype FC-31 that was developed by the Shenyang Aircraft Corporation. In April this year, multiple photographs circulated online showed what was believed to be one of the new aircraft stationed on the deck of Liaoning. The documentary released by CCTV on Friday also included footage of a sea trial in May of Chinas most advanced Fujian aircraft carrier. Advertisement With inputs from agencies. The European Unions Operation Aspides has begun a new effort to salvage the Greek-flagged oil tanker Sounion, which has been burning since attacks by Yemens Houthi rebels. The mission aims to create a secure environment for the towing operation amid concerns of a potential oil spill read more Explosions occur on the deck of the Greek-flagged oil tanker Sounion on the Red Sea. Houthi Military Media/Handout via REUTERS A new attempt has begun to try to salvage an oil tanker burning in the Red Sea after attacks by Yemens Houthi rebels, a European Union naval mission said Saturday. The EUs Operation Aspides published images dated Saturday of its vessels escorting ships heading to the Greek-flagged oil tanker Sounion. The mission has been actively involved in this complex endeavor, by creating a secure environment, which is necessary for the tugboats to conduct the towing operation, the EU said. Advertisement A phone number for the mission rang unanswered Saturday. The Sounion came under attack from the Houthis beginning Aug. 21. The vessel had been staffed by a crew of 25 Filipinos and Russians, as well as four private security personnel, who were taken by a French destroyer to nearby Djibouti. The Houthis later planted explosives aboard the ship and detonated them. Thats led to fears the ships 1 million barrels of crude oil could spill into the Red Sea. The Houthis have targeted more than 80 vessels with missiles and drones since the war in Gaza started in October. They seized one vessel and sank two in the campaign that also killed four sailors. One of the sunken vessels, the Tutor, went down after the Houthis planted explosives aboard it and after its crew abandoned it due to an earlier attack, the rebel group later acknowledged. Other missiles and drones have either been intercepted by a U.S.-led coalition in the Red Sea or failed to reach their targets. The rebels maintain that they target ships linked to Israel, the U.S. or the U.K. to force an end to Israels campaign against Hamas in Gaza. However, many of the ships attacked have little or no connection to the conflict, including some bound for Iran. The agreement will also streamline the repatriation of illegal immigrants, although there are relatively few Kenyan asylum seekers in Germany, which hosts far larger numbers of migrants from Syria and Afghanistan as well as Ukrainian war refugees read more German Chancellor Olaf Scholz (R) and Kenya's President William Ruto give a joint press conference on September 13, 2024 at the Chancellery in Berlin. AFP Germany and Kenya on Friday struck a labour and migration deal to allow skilled workers from the east African country to live and work in the biggest EU economy. The deal will help Germany as it struggles with an ageing and shrinking pool of workers, said Chancellor Olaf Scholz as he welcomed President William Ruto in Berlin. The agreement will also streamline the repatriation of illegal immigrants, although there are relatively few Kenyan asylum seekers in Germany, which hosts far larger numbers of migrants from Syria and Afghanistan as well as Ukrainian war refugees. Irregular immigration has been a flashpoint political issue in Germany where popular fears have been fuelled by a recent spate of Islamist attacks and driven the rise of the far-right AfD party. Advertisement The basis of our prosperity is being open to the world, said Scholz, who added that the deal with Kenya would help counter the glaring shortage of skilled workers in Germany. He said Kenya boasts an incredible number of highly qualified IT specialists and said many of its skilled workers and young people could soon come to Germany for work and vocational training. Ruto hailed the comprehensive migration and labour mobility partnership agreement, which he said would harness the human capital in Kenya, where we have a big youth bulge. We can combine the innovation, creativity, energy, talent, knowledge of our young people with German investment, technology and resources and provide for a win-win outcome. Scholz said the agreement also provides for effective return procedures for those who have come to us from Kenya but do not have or cannot acquire a right of residence here. Ruto said this framework gives us an opportunity to avoid illegal migrants. Because illegal migrants pose a problem both to us and to Germany. Germany has since 2022 struck similar migration agreements with India and Georgia and plans to sign another when Scholz visits Uzbekistan next week. Berlin has also been in various stages of talks on similar arrangements with Colombia, Ghana, Kyrgyzstan, Moldova, Morocco and the Philippines. Pakistans Federal Investigation Agency (FIA) has charged former Prime Minister Imran Khan with inciting government officials to mutiny. It claims Khans posts attempt to mobilise people against the military and judiciary read more Imran Khan has been accused on inciting mutiny in Pakistan via his social media. File Photo Pakistans top investigating agency has registered a case against jailed former Prime Minister Imran Khan for allegedly inciting government officials to mutiny through his social media posts, according to a media report. A Federal Investigation Agency (FIA) team comprising investigation and technical officers visited the Adiala Jail to question Khan, the founder of the Pakistan Tehreek-e-Insaf party, in connection with a controversial post on his official X account. A case has been registered against Mr. Khan by the FIA for inciting government officials to mutiny, the Dawn newspaper said citing sources. Advertisement The FIA personnel returned empty-handed after Khan, 71, insisted that he would not join the interrogation without the presence of his lawyers. Minister for Information and Broadcasting Attaullah Tarar in a statement earlier said the FIA would probe into the handling of the PTI founders social media accounts, which were being used allegedly to create chaos and anarchy in the country, and undermining the national security". Tarar said it would be ascertained who was the handler of his social media accounts, and whether such posts were being made at his behest or it was done on directives of someone else. He said a botched attempt had been made to conspire against the sitting chief justice and heads of other institutions. Through these posts, he tried to mobilise the people against two major State institutions. His acts were highly condemnable, Tarar said. Khan, who has been incarcerated at the Adiala Jail since last year, has often criticised the powerful establishment through his longish posts on X. On Friday, Khan posted a long note on his X account, which said, This is not the first time in the history of this country that one individual (referring to Army Chief Gen Asim Munir) has put the entire country at stake to protect his hold on power. (General) Yahya Khan also betrayed the Awami League and Sheikh Mujibur Rahman to stay in power." Advertisement He recalled the events from 1971 when 90,000 soldiers were taken as prisoners during Bangladeshs Liberation War and how former president Zulfikar Ali Bhutto himself admitted to the loss of 50,000 innocent lives. Even today, the same story is being repeated. Once again, one person has taken over control and is destroying the system to prolong and strengthen his hold on power, he said, adding that a small powerful elite dominates all the resources, power, and control of the country. The Supreme Court is the only institution somewhat safe from their influence, and now it is also being attacked, he said. Antitrust investigations by the Competition Commission of India (CCI) have uncovered that major smartphone manufacturers, including Samsung and Xiaomi, colluded with Amazon and Flipkart for exclusive product launches, violating local competition laws. The findings, detailed in extensive CCI reports, could lead to significant legal and regulatory consequences for the involved companies read more Samsung, Xiaomi and other smartphone companies colluded with Amazon and Walmarts Flipkart to exclusively launch products on the e-commerce firms Indian websites in breach of antitrust laws, according to regulatory reports seen by Reuters. Antitrust investigations conducted by the Competition Commission of India (CCI) have found that Amazon and Flipkart violated local competition laws by giving preference to select sellers, prioritising certain listings, and steeply discounting products, hurting other companies, Reuters reported this week. Advertisement The CCIs 1,027-page report on Amazon also said the Indian units of five companies - Samsung, Xiaomi, Motorola, Realme and OnePlus - were involved in the practice of exclusive phone launches in collusion with Amazon and its affiliates, breaking competition law. In Flipkarts case, a 1,696-page CCI report said the Indian units of Samsung, Xiaomi, Motorola, Vivo, Lenovo and Realme conducted similar practices. The inclusion of smartphone makers like Samsung and Xiaomi in the case could increase their legal and compliance headaches. Exclusivity in business is anathema. Not only is it against free and fair competition but also against the interest of consumers, CCIs additional director general G.V. Siva Prasad wrote in the Amazon and Flipkart reports, in identical findings. Reuters is first to report the smartphone companies have been accused of anticompetitive behavior in the CCIs reports which are dated Aug. 9 and are not public. Xiaomi declined to comment, while the other smartphone makers did not respond to requests for comment. Amazon, Flipkart and the CCI did not respond, and have not so far commented on the reports findings. Both the CCI reports said that during investigations Amazon and Flipkart deliberately downplayed allegations of exclusive launches, but officials found the practice was rampant. Advertisement Counterpoint Research data shows that South Koreas Samsung and Chinas Xiaomi are two of Indias biggest smartphone players, together holding an almost 36% market share, with Chinas Vivo on 19%. Indias e-retail market is set to exceed $160 billion by 2028, up from $57-60 billion in 2023, consultancy firm Bain estimates. The investigation findings are a major setback for Amazon and Flipkart in a key growth market where they have faced the ire of small retailers for years for hurting their offline businesses. The CCI has also said both companies used their foreign investments to provide subsidised rates for services like warehousing and marketing to a select number of sellers. Advertisement ONLINE SALES BOOM Some of the smartphone companies - Xiaomi, Samsung, OnePlus, Realme and Motorola - have been ordered to submit their financial statements for three fiscal years to 2024, certified by their auditor, to the CCI, according to an internal CCI document dated Aug. 28, also seen by Reuters. The investigation into Amazon, Flipkart and their sellers was triggered in 2020 by a complaint from an affiliate of the countrys biggest retailer association, the Confederation of All India Traders, which has 80 million members. The CCI will in coming weeks review any objections to its findings from Amazon, Flipkart, the retailer association, and the smartphone companies, and could potentially impose fines along with mandating companies to change their business practices, people familiar with the matter said. Advertisement Indian retailers have repeatedly accused Amazon and Flipkart, and smartphone companies, of exclusive phone launches online, saying shopkeepers suffered as they didnt get the latest models and customers looked for them on the shopping websites. Exclusive launches had not only severely affected the ordinary sellers on the platform but also the brick-and-mortar retailers who were provided mobile phones at a much later date, both CCI reports said, citing analyses of data from smartphone companies. Indian research firm Datum Intelligence estimates that 50% of phone sales were online last year, up from 14.5% in 2013. Flipkart had a 55% share in online phone sales in 2023, and Amazon 35%. Intel Corp has been approved for up to $3.5 billion in federal grants to advance semiconductor production for the U.S. Department of Defense. The funding comes after the chipmaker finalized a binding agreement with U.S. officials read more Israels foreign minister Katz has repeatedly levelled accusations of anti-Semitism against the European Union foreign policy chief, who has consistently spoken out against perceived Israeli abuses in Gaza and the West Bank. read more An Israeli soldier walks in a tunnel which the military says Hamas militants used in the southern Gaza Strip, about a 100 meters from the Philadelphi corridor along the border with Egypt, on Friday, Sept. 13, 2024. Image- AP Days after EU foreign policy chief Josep Borrell expressed outrage at the killing of UN staff in an Israeli strike in Gaza, Israels foreign minister again accused the top diplomat of anti-Semitism Saturday. In a statement issued on Saturday, Foreign Minister Israel Katz said Josep Borrell is an anti-Semite and Israel-hater who consistently tries to pass resolutions and sanctions against Israel in the EU, only to be blocked by most member states,. Advertisement On Thursday, Borrell said he was outraged by the killing of six employees from the UN Palestinian refugee agency (UNRWA) in an Israeli air strike on a school-turned-shelter in the Nuseirat area of central Gaza the day before. The attack flattened part of the UN-run Al-Jawni School on Wednesday, leaving only a pile of charred rebar and concrete. Israeli airstrikes pounded central and southern Gaza overnight into Saturday, killing at least 14 people. The strikes in Gaza City hit one home housing 11 people, including three women and four children, and another strike hit a tent in Khan Younis with Palestinians displaced by the Israel-Hamas war, Gazas Civil Defense said. They followed airstrikes earlier this week that hit a tent camp on Tuesday and a United Nations school sheltering displaced on Wednesday. The Israeli army on Saturday ordered Palestinians sheltering in the northern neighborhoods of Manshiyeh, Beit Lahia and Sheikh Zayed to evacuate south toward Gaza City. The order came after projectiles were fired from the area, the Israeli army said in a post on X. It remains unclear how many people are sheltering in those areas. Gazas civil defence agency and the United Nations said at least 18 people, among them women and children, were killed in the strike, while the Israeli military said it had targeted Hamas terrorists. Borrell said the Nuseirat strike showed a disregard of the basic principles of international humanitarian law. On Saturday, Katz retorted: Theres a difference between legitimate criticism and the anti-Semitic, hate-filled campaign Borrell is leading against Israel reminiscent of historys worst anti-Semites. Advertisement Meanwhile, Hezbollahs second-in-command warned on Saturday that an all-out war by Israel aimed at returning 100,000 displaced people to their homes in areas near the Lebanon border would displace hundreds of thousands more. Naim Qassem, number two in the Iran-backed Lebanese group, was speaking after Defence Minister Yoav Gallant said Israel was determined to restore security to its northern front. Gallant told Israeli troops last week that we are preparing for anything that may happen in the north. In a speech in Beirut, Qassem said: We have no intention of going to war, as we consider that this would not be useful. However, if Israel does unleash a war, we will face up to it and there will be large losses on both sides, he said. Advertisement If they think such a war would allow the 100,000 displaced people to return home we issue this warning: prepare to deal with hundreds of thousands more displaced. Hezbollah has traded near-daily fire with Israeli forces in support of ally Hamas since the Palestinian militant groups October 7 attack on Israel triggered war in Gaza. The war has caused vast destruction and displaced around 90% of Gazas population of 2.3 million, often multiple times, and plunged the territory into a severe humanitarian crisis. Gazas Health Ministry says over 41,000 Palestinians have been killed since the war began. The ministry does not distinguish between civilians and militants in its count, but says women and children make up just over half of the dead. Israel says it has killed more than 17,000 militants in the war. Advertisement With inputs from agencies. Harris entry into the race has enlivened the Democratic Partys base in Wisconsin, particularly in areas where she must run up big margins to carry a state that Joe Biden flipped from Republican Donald Trump in 2020. read more Vice President Kamala Harris has decided to campaign in Wisconsin on Friday, returning to the battleground state for the fourth time since she launched her White House campaign in July. Her campaign reported that since entering the race, supporters in Wisconsin have knocked on over 500,000 doors. Following last weeks debate with Trump, more than 3,000 new volunteers have joined the effort. In contrast, when Biden was still a candidate, organisers in Wisconsin faced difficulties recruiting volunteers for door-to-door outreach, a key element in any campaign. Advertisement Harris running mate, Minnesota Gov. Tim Walz, has been campaigning in Wisconsin, visiting Wausau and Superior. Vice President Kamala Harris, who presents herself as the candidate of change as she runs for president against Republican Donald Trump, said Friday that shes different from President Joe Biden because she offers a new generation of leadership. In her first solo television interview since she became the Democratic presidential nominee, Harris also criticized the hate and division that we see coming out of Donald Trump and said she thinks people are exhausted by his style of leadership. She also said shes a gun owner and doesnt want to take away anyones guns, but believes a ban on assault-style weapons is necessary and consistent with the Second Amendment. With inputs from agencies. As Harris courts voters, she while talking to Pennsylvania voters embodies her identity as a woman of colour rather than making it an overt part of her pitch, choosing instead to emphasise her policies and resume. read more While President Joe Biden was hosting a celebration of Black excellence at the White House with lawmakers, advocates and celebrities this past week, Kamala Harris was instead headed off to Pennsylvania. The nations first Black vice president talked with Pennsylvania voters about supporting small businesses, building more housing and expanding the child tax credit. She said the country needs a president of the United States who works for all the American people. Advertisement What she did not do was spend time talking about her race or gender or the prospect that she would be the nations first Black and South Asian woman to be president if she defeated Republican Donald Trump. As Harris courts voters, she embodies her identity as a woman of colour rather than making it an overt part of her pitch, choosing instead to emphasise her policies and resume. She will be making her case to minority voters in a number of key settings in the coming days. She is scheduled to speak on Saturday night at a Washington awards dinner sponsored by the Congressional Black Caucus Foundation, conduct an interview with members of the National Association of Black Journalists in Philadelphia on Tuesday, and attend an online rally Thursday headlined by Oprah and involving groups such as Win with Black Women, White Women: Answer the Call, and South Asians for Harris. Harris, throughout her career, has been many different firsts, and has never really led with that as a descriptor, said Brian Brokaw, who managed Harris winning campaign for California attorney general in 2010. Her life story and her identity and her background and her job experience have all been critical parts of her campaigns, he said. But he added that becoming the first that has never actually been part of her core rationale for why she should be elected a office. It just happens to be a important result of her elections. Advertisement Harris identity, too, is evident in how she chooses to engage with voters. A member of a historically Black sorority while attending Howard University, Harris spoke this summer in Houston at the annual assembly of another sorority, where she told the women it is so good to be with you this evening, and I say that as a proud member of the Divine Nine. And when I look out at everyone here, I see family. Its a different approach from Hillary Clintons in her 2016 Democratic campaign for president, when she put front and center her potential to break the glass ceiling. Harris aides and allies say with no time to lose in a compressed campaign this year, it is perhaps more valuable to focus on voters rather than herself. Advertisement North Carolinas Crystal McLaughlin, who attended a Harris rally in Greensboro this past week, acknowledged Harris candidacy as an important historical moment but added that what is more important is to look at who wants to do whats right. Still, she said Harris identity matters even if it is not the focus on her campaign. Its important, not only for Black young girls, but for girls period, said McLaughlin, 53, who is Black. If you can see it, you can actually be it. So far, its been Trump who has brought up race in the campaign, falsely claiming that Harris belatedly turned Black. During the presidential debate this past week, he again said he had read she was not Black and then she was. Advertisement Harris did not mention herself once in her response, saying instead: I think its a tragedy that we have someone who wants to be president who has consistently over the course of his career attempted to use race to divide the American people. After Biden dropped out of the race in July, polling indicated that Black Americans were more excited about Harris as the Democratic nominee. In late July, an Associated Press-NORC Center for Public Affairs Research poll showed that about 7 in 10 Black adults said would be satisfied with Harris as the Democratic nominee. That was a marked increase from earlier in July, when about half of Black adults and 15% of Hispanic adults felt that way about Biden. Advertisement Another AP-NORC poll conducted in August found that about half of Black adults said that excited would describe their feelings extremely or very well if Harris was elected president. Only about 3 in 10 had said the same about Biden in March. Although Black Americans overwhelmingly identify as Democrats and about 9 in 10 Black voters supported Biden in the 2020 election, according to AP VoteCast, there are some signs that older Black voters may be more supportive of Harris than younger Black voters are. A recent Pew Research Center poll found that about 9 in 10 Black voters over age 50 were supporting Harris, compared with three-quarters of Black voters 18 to 49. Civil rights organizations focused on mobilizing Black voters say they have seen an uptick in enthusiasm and engagement since Harris ascended to the top of the Democratic ticket. The NAACP has been circulating messaging with allied groups that its researchers believe will especially resonate with Black voters, including protecting the rights of Black Americans and appealing to their responsibility to vote. On economic questions, the civil rights group is urging organizers and campaigns to listen to Black voters concerns. Black voters want policy solutions, said Phaedra Jackson, vice president of unit advocacy and effectiveness at the NAACP. But she added: Representation matters. Folks are excited to see a Black women vying for the highest office in the land and they care more about institutions when they are represented within those institutions. The NAACP has focused much of its voter turnout efforts in battleground states where they believe issues like voter suppression will be a potential issue come November. Black voters are reachable and we are not a monolith. This is an extremely exciting time to be in voter engagement, said Tyler Sterling, the NAACPs national campaign director. John Spencer, a 58-year-old geographer from Chapel Hill, North Carolina, said he wants Harris to stay focused on her plans for the future rather than her race and gender. Identity, unlike policies, is something you can tell just by looking at her, he said. Ideally in this country, a politician should be judged about their positions and not about anything other than who they are and their character and their positions, said Spencer, who is white. He said Harris positions matter more to him because he said they will ultimately impact his 11-year-old daughter Leah, who attended the Greensboro rally with him. When Harris takes a stage, said 66-year-old Sheila Carter, the Democratic presidential candidates identity is self-explanatory. Discussion about her race and gender are secondary to what she offers as a candidate, said Carter, a Black retiree from Durham, North Carolina, who attended the rally. You see who she is, Carter said. And as she says, Why bother to even address whether or not Im Black or Indian or whatever? I am who I am. You see it, I see it, the world sees it. In what is believed to be the worst such case to hit Malaysia in decades, police suspect the victims aged from one to 17 had been subjected to sexual and physical attacks. They were also allegedly forced by the care home staff to abuse each other. read more Malaysia child abuse sandal: The CEO of Global Ikhwan Service and Business Holding (GISBH), which has been linked to a banned Islamic sect, said "there were one or two sodomy cases" at the shelter. Representative Image The head of a Malaysian conglomerate accused of running care homes where hundreds of children were allegedly abused admitted on Saturday that one or two sodomy cases took place at the shelters but denied allegations of widespread misconduct. Malaysian police arrested 171 suspects on Wednesday including religious studies teachers and caregivers and brought to safety over 400 children after storming 20 charity shelters. The CEO of Global Ikhwan Service and Business Holding (GISBH), which has been linked to a banned Islamic sect, said there were one or two sodomy cases at the shelter. Advertisement GISBH had earlier denied all allegations of abuse and said they did not run the care homes. But in a video posted on the companys Facebook page on Saturday, Chief Executive Officer Nasiruddin Ali acknowledged the company had violated some laws, without giving specifics. He questioned the police raids, saying authorities should have discussed matters with the firm before taking action and insisted the children at the charity homes did not know the full story. There were one or two sodomy cases, but why lump everything together? he said. Police Inspector-General Razarudin Husain on Friday said his department was working towards further raids and arrests in the probe on GISBH. Investigations and health checks so far show that at least 13 minors had been sexually abused, Razarudin said at a press conference. GISBH has long been controversial for its links to the now-defunct Al-Arqam sect and has faced scrutiny by religious authorities in the Muslim-majority country. The United Nations childrens agency underlined the unimaginable horror faced by the victims. The children will need long-term professional medical and psychosocial support, said Robert Gass, UNICEFs Malaysia representative. Maldives the defence ministry said that during the meeting, the two ministers discussed avenues of strengthening defence cooperation between the two countries. read more The Maldives and China discussed avenues of strengthening defence cooperation between the two countries, the defence ministry has said. In a post on X on Friday, the Maldives Ministry of Defence said Defence Minister Ghassan Maumoon met his Chinese counterpart Admiral Dong Jun on the sidelines of the 11th Beijing Xiangshan Forum. During the meeting, the two ministers discussed avenues of strengthening defence cooperation between the two countries, it said, without disclosing the details of the discussions. Advertisement Maldives Ambassador to China, Dr Fazeel Najeeb, was also present during the meeting, it said. The Maldives and China have previously held talks to strengthen military ties. In March, Maldives and China signed an agreement to provide military assistance to Maldives military and security services, according to a report in adhadhu.com news portal. Under the agreement, China will provide military equipment and training to Maldives, President Mohamed Muizzu had said. However, he did not provide details. Kyiv has been monitoring arms deliveries from Pyongyang to Moscow and feels their effect on the battlefield. read more The military aid North Korea provides to Russia, including large amounts of ammunition, is the most damaging for Ukraine, its intelligence chief Kyrylo Budanov said Saturday. He was speaking at a conference organised by the Victor Pinchuk Foundation in Kyiv, where he answered a question on support from other Russian allies, Iran and China. Our biggest problem from all these allies of Russia is from North Korea. Because with the volume of military products that they supply, they actually affect the intensity of the fighting, Budanov said. Advertisement His remarks came as North Korean leader Kim Jong Un pledged to deepen ties with Russia as he held talks with visiting security chief Sergei Shoigu. There is a direct correlation. They are supplying huge volumes of artillery ammunition, which is critical, Budanov continued. Besides foreign aid, Moscow is also investing in its own weapons, including by developing and ramping up the production of its Iskander missiles. We are now clearly seeing the massive use of Iskander-M, Budanov said. Guided bombs are a huge problem. Their production has been increased several times, Budanov added. The aerial bombs hold around half a tonne of explosives and can be dropped from further away, allowing Russian planes to avoid air defence. To counter sustained Russian assaults, Kyiv has been developing its own weapons production, while asking for increased Western support. Western allies have throughout the war hesitated before scaling up aid to Ukraine as they seek to avoid direct confrontation with Moscow. The United States and Britain are now considering whether to let Ukraine use longer-range weapons to strike targets deeper inside Russia a key request from Kyiv. Advertisement There will be no (escalation), Budanov said, there is simply nothing to make this situation worse. Budanov speculated that Russia would try to end its war with Ukraine before 2026, due to potential economic problems, partly due to Western sanctions and a need for a new wave of mobilisation. He vowed to keep fighting. We are fighting for our land, we have no other options here. Well, you cant just say that: You know, Im tired. It doesnt work like that. Thats our strength. While speaking to the reporters after the meeting, Starmer did not signal any decision on allowing Ukraine to use long-range missiles to hit Russian targets read more Despite assuring Ukraine to allow the use of long-range missiles in Russian territories, no new pledges regarding the same were made during the talks between UK Prime Minister Keir Starmer and US President Joe Biden. While speaking to the reporters after the meeting, Starmer did not signal any decision on allowing Ukraine to use long-range missiles to hit Russian targets. When asked if he persuaded Biden to allow Storm Shadow to be used in Russia, the British premier noted that they had a long and productive discussion on a number of fronts, including Ukraine, as you would expect, the Middle East and the Indo-Pacific, BBC reported. Advertisement Meanwhile, the White House expressed deep concern about Iran and North Koreas provision of lethal weapons to Russia. However, it did not mention whether the matter was discussed during the meeting or not. The use of long-range missiles within the Russian territories became a contentious issue after Russian President Vladimir Putin warned that its use would drag NATO into the war. Putin insisted that such a move would represent NATOs direct participation in the Ukraine war. Following the meeting, it has become clear that to date, the US and the UK have not given Ukraine permission to use long-range missiles inside Russia. Many believe that the West is fearing an escalation of the war. Biden dismisses Putins warning During the meeting, Biden dismissed Putins threats regarding the escalation of war. The POTUS said that he did not accept that Ukraine using Western-made Storm Shadow missiles to bomb targets in Russia would amount to NATO going to war with Moscow. While speaking about the meeting at the foreign policy summit on Friday afternoon Biden said: I do not think much about Vladimir Putin. The talks between Biden and Starmer along with their foreign policy teams were conducted in the Blue Room in the White House. Also present in the meeting were Antony Blinken, the US secretary of state, and David Lammy, the UK foreign secretary. Some of the other British participants included Tim Barrow, the national security adviser, and Starmers chief of staff, Sue Gray. Advertisement Neither of the presidential candidates, Vice President Kamala Harris and President Donald Trump were available in the capital to meet Starmer. Both the candidates are travelling to key swing states for campaigning. The meeting between Biden and Starmer came at a time when Russia revoked the accreditation of six British diplomats in Moscow on accusations of espionage. Moscows FSB domestic spy agency said on Friday that the British foreign office was helping coordinate what it called the escalation of the political and military situation in Ukraine. Meanwhile, the UK denied the allegations and called them baseless. The accusations made today by the FSB against our staff are completely baseless We are unapologetic about protecting our national interests," the British foreign office spokesperson told the reporters. Advertisement With inputs from agencies. In an interview on the Montreal radio station CJAD 800, the Canadian premier made it clear that he would stay on regardless of the results of the Montreal by-election. read more After losing key allies, Canadian Prime Minister Justin Trudeau said that he wont resign as Liberal leader even if his party loses the high-stakes Montreal byelection. According to The Toronto Star, the elections in Montreal will be held on Monday, the same day Trudeaus minority government will return to the parliament without the support of the NDP. In an interview on the Montreal radio station CJAD 800, the Canadian premier made it clear that he would stay on regardless of the results of the by-election. When asked what it would mean if the Liberal party lost the contest, Trudeau emphasised that a defeat would mean that his party has to do a lot more work to convince voters to support them. Advertisement He also slammed his Conservative opposition leader Pierre Poilievre and insisted that his rival would take actions to harm Canadians if they took power. Im not going anywhere, Trudeau said. Ive got a fight to lead against people who want to hurt this country, who want to hurt our communities, and who want to take the country in directions that quite frankly are exactly the opposite of where the world needs to go. Why the byelection in Montreal matters The byelections in Montreal will be held in two sets, one of which is scheduled to take place on Monday. The polls are coming months after the Canadian PM is facing a wave of pressure over his leadership which became intense after the Liberal candidate lost to the Conservative in a byelection in TorontoSt. Pauls, which had been a Liberal stronghold. The defeat led to growing calls for Trudeaus resignation from Liberals like former environment minister Catherine McKenna and former B.C. Premier Christy Clark, who told The Toronto Star that shes not ruling out a future campaign for federal leadership. Hence, theres a lot at stake for the Liberals in the upcoming by-elections. If we lose the Montreal one, people are going to be up in arms, one Liberal MP who asked to remain anonymous told the Canadian news outlet. The polls are also being conducted a week after the New Democrats dropped out of an alliance with the Liberals. Trudeau insisted that he is excited to come back to the parliament despite the support from the NDP. Pakistan has dismissed Asif Durrani from his role as Special Representative to Afghanistan, following strained relations between Islamabad and Kabul. Durranis departure comes amid frustrations over his ineffective impact on the bilateral relationship and dissatisfaction from Pakistans military read more The Pakistan government has removed its special representative to Afghanistan Asif Durrani, amid heightened tensions between Islamabad and Kabul, media reports said on Saturday. According to an official notification, Durrani was relieved of his responsibilities as Special Representative on Afghanistan in Management Position on September 10, The Express Tribune newspaper reported. No official reason was given for the move. However, citing sources, the report said Durrani, who was appointed to the post in May 2023, failed to make an impact on the Pakistan-Afghanistan relationship. It also said Durrani had no acceptability in Kabul as he only had a handful of engagements with the Taliban-led interim government. Advertisement Other sources said that Durrani had few tools at his disposal, given the overall strategy being followed by Pakistan. The Dawn newspaper reported the countrys powerful military was unhappy with his performance, and Durrani, too, had grown frustrated as his policy advice was regularly ignored by his principals. Durrani confirmed his departure in a text message, expressing gratitude to the leadership for allowing him to serve Pakistan, according to the report. The post of the special envoy for Afghanistan was created in June 2020 after the US-Taliban Doha Accord. It engaged with the Taliban and other countries involved in Afghanistan. Relations between Pakistan and Afghanistan have lately become strained, largely because of frequent attacks by the banned Tehreek-e-Taliban Pakistan (TTP) but also due to frequent border skirmishes. There has been an uptick in the incidents of terrorism in Pakistan since the Taliban took over the government in Kabul in 2021, dashing hopes in Islamabad that a friendly government in Afghanistan would help to tackle militancy. The Pakistani government has repeatedly accused the banned TTP of operating from sanctuaries in Afghanistan, a claim denied by the Afghan Taliban. Relations between the two countries have lately become strained, largely because of the TTP but also due to frequent border skirmishes. Last month, Russia and Ukraine exchanged over 100 prisoners of war each as Kyiv marked its third Independence Day since Moscows full-scale invasion. read more Ukrainian prisoners of war (POWs) react after a swap, amid Russia's attack on Ukraine, at an unknown location in Ukraine May 31, 2024. File Photo- Reuters Russia swapped 103 Ukrainian soldiers held captive for an equal number of Russian POWs in an exchange deal brokered by the United Arab Emirates. 103 Russian servicemen captured in the Kursk region were returned from territory controlled by the Kyiv regime, the Russian defence ministry said Saturday. In return, 103 Ukrainian army prisoners of war were handed over. According to the U.N., most Ukrainian POWs suffer routine medical neglect, severe and systematic mistreatment and even torture while in detention. There have also been isolated reports of abuse of Russian soldiers, mostly during capture or transit to internment sites. Advertisement Both countries swapped over 100 prisoners of war each last month as Kyiv marked its third Independence Day since Moscows full-scale invasion. Ukraine said the 115 Ukrainian servicemen who were freed were conscripts, many of whom were taken prisoner in the first months of Russias invasion. Among them are nearly 50 soldiers captured by Russian forces from the Azovstal steelworks in Mariupol. The Russian Defense Ministry said the 115 Russian soldiers had been captured in the Kursk region, where Ukrainian forces launched their surprise offensive into Russia two weeks ago. The ministry said the soldiers were currently in Belarus, but would be taken to Russia for medical treatment and rehabilitation. With inputs from agencies. Serbian President Aleksandar Vucic has approved the reinstatement of compulsory military service for 75 days to bolster the countrys defense capabilities. The proposal, aimed at deterring threats, will require government and parliamentary approval and is expected to be implemented by 2025 read more Serbian President Aleksandar Vucic said on Saturday he had approved the reinstatement of compulsory military service. I hope you all understand how much we need a strong army, how much we need to purchase and manufacture more weapons, he told a ceremony at the Military Academy. Compulsory service would last 75 days, he told cadets being promoted at the ceremony to the rank of first officer. We dont want to attack anyone. Nor will we do so. But we want to deter those who relentlessly threaten us every day, he added. Advertisement Serbia abolished compulsory military service in 2011 and has since relied on a professional army. For the provision to be reintroduced, it must be approved by government and then by parliament, where Vucics party has solid majority. Prime Minister Milos Vucevic said on Friday the government was ready to expedite the proposal to parliament and have it implemented in 2025 after fulfilling logistical and administrative conditions. In an exclusive conversation with Firstpost, American historian, popularly known as the Nostradamus of presidential polls, Allan Lichtman answered why he is predicting Vice President Kamala Harris to be the winner of the 2024 US Presidential Elections read more American historian Allan Lichtman, who is touted an the Nostradamus of presidential elections has predicted that US Vice President Kamala Harris will win against former US President Donald Trump in the 2024 Presidential Elections. AFP/ AP Just days before the first presidential debate between US Vice President Kamala Harris and former President Donald Trump, American historian dubbed as the Nostradamus of US presidential elections, Allan Lichtman, shared his prediction for the upcoming polls. In just the first week of September, the distinguished history professor from the American University predicted that Harris would win the November election against Trump. Kamala Harris will be the next president of the United States at least thats my prediction for the outcome of this race, Lichtman said. His proclamation was based on a unique model that he had been using to predict the winners of presidential races since 1982. The model comprises 13 true/false propositions which he calls the 13 keys. Advertisement What makes Lichtmans prediction process unique is the fact that his keys dont account for polling trends. Not only this, only 2 of the 13 keys are related to the candidates. Lichtman is called the Nostradamus of the presidential polls due to his stellar record when it comes to election forecasting. Over the years, Lichtman claimed to have predicted all but one, which was George W Bushs contested triumph over Al Gore in 2000, but theres more to that story. American historian Allan Lichtman is popularly known as the Nostradamus of presidential elections since he has accurately predicted all but one presidential race. Source: AP In an exclusive conversation with Firstpost, Lichtman gave insights into his forecasting model, how he came about it and its past performance. He also elucidated the challenges that lie ahead for Trump and Harris as the race for the White House comes closer to an end. 13 keys ignore predictions from pundits While speaking to Firstpost, Lichtman emphasised that his election forecasting model ignores a host of predictions made by political pundits. He noted that his decision to share the results before the first debate between the two candidates was deliberate to get across the message that the presidential election is about governance and not campaigning. The 13 keys ignore the pundits who have no scientific basis for their opinions or a track record of extensive prediction. They dont look at polls which are snapshots but abused as predictors. Instead, the 13 keys to the White House reflect how American presidential elections really work as votes up or down on the strength and performance of the White House party, Lichtman explained. Advertisement They look at things like midterm election results, incumbency, internal party battles, third parties, short and long-term economy, policy change, social unrest, scandal, foreign slash military failures and success. Only two keys relate to the candidates at all and theyre very high threshold keys looking at whether the candidates are those once in a generation, inspirational, transformational, he added. Lichtman stated that if the party in the White House has six or more of the keys as false, then it is predicted to be a loser, otherwise, it is a predicted winner. The keys have been right since I predicted Ronald Reagans reelection in April 1982, when America was in the worst recession since the Great Depression and the approval ratings for Reagan were historically low, he told Firstpost. Advertisement From predicting earthquakes to presidencies: The origin of the 13 keys When asked how he came up with the model, Lichtman said that the 13 keys came through serendipity. Yes. Id love to tell you I came up with the keys with deep contemplation and ruined my eyes in the library. But if I were to tell you that to quote the late, not-so-great Richard Nixon, that would be wrong, Lichtman exclaimed. He went on to give a nod to his collaboration with Russian geophysicist Vladimir Keillis Borok. In 1981, I was a distinguished visiting scholar at the California Institute of Technology near Los Angeles in Southern California. There I met the worlds leading authority on earthquake prediction, Vladimir Kellis Borok from Moscow, Prof. Lichtman recalled. What he wanted to do, and what I agreed, was to collaborate on the prediction of the most important elections, the American presidential elections, using his methodology of pattern recognition. And so we reconceptualised, as the odd couple of political research. Advertisement Vladimir Keilis-Borok, a UCLA seismologist and mathematical geophysicist who, along with his research team, developed a method intended to predict earthquakes months in advance. UCLA Lichtman told Firstpost, that in 1981, the two researchers looked at the presidential race in geophysical terms, i.e. predicting stability if the White House party remains in power or an earthquake if it is thrown out. With that in mind, we looked at every American presidential election from the horse and buggy days of politics, the election of Abraham Lincoln in 1860, through the election of Ronald Reagan in 1980, using Keillis Boroks methods of pattern recognition to find the patterns associated with stability and earthquake, he added. The research of the two great minds eventually led to the development of the 13 keys to forecast presidential elections Advertisement The Bush vs Al Gore debacle Lichtman is touted as the Nostradamus because he has correctly predicted all presidential elections since 1982, barring just one. It was the highly controversial presidential race between former President George W. Bush and ex-Vice President Al Gore in 2000. Lichtman predicted Gores victory, however, the US Supreme Court handed the win to Bush, 36 days after the elections. Multiple studies later suggested that Gore would have won the race if the court allowed Floridas statewide recount of all undervotes and overvotes. Yes, I predicted Gore. It turned out that when the Supreme Court stopped the recount, George Bush won. But I was right in predicting Gore because based on the intent of voters, Gore should have won going away, as I proved in my report to the US Commission on Civil Rights, Lichtman asserted. In this Oct. 17, 2000 file photo, Republican presidential candidate, Texas Gov. George W. Bush, left, speaks as Democratic presidential candidate Vice President Al Gore watches during their third and final debate at Washington University in St. Louis. AP The problem was that there were many thousands of votes cast by African Americans that were disproportionately discarded by Florida officials. And most of those were so-called overvotes, where the intent was clear, where African Americans punched in Gore and then wrote in Gore, and all those votes were thrown out by Florida, he exclaimed. This was independently verified by a study by Professor Walter Mebane of Cornell University. In the study entitled The Wrong Man is President! Overvotes in the 2000 Presidential Election in Florida, Mebane proved that based on the intent of voters, Al Gore should have won by more than 30,000 votes in Florida and should have been elected president, he added. Lichtman contended that he was right in the 2000 presidential race and therefore his records stand at 10/10 over 40 years into election forecasting. Lichtman vs Silver: What makes his model better than numerous polls used for election forecasting What makes Lichtmans model of 13 keys stand out is the fact that it does not consider any opinion polls or poll aggregates to come to a conclusion. When asked if he gets nervous about his predictions, Lichtman insisted that he always has butterflies in his stomach. While he was explaining his process, the American University professor also called out statistician and the founder of FiveThirtyEight, Nate Silver. I am always nervous about my predictions. Look, Im not like that clerk Nate Silver who compiles polls and then gives you these phoney probabilities like Hillary Clinton has a 70 per cent odd chance of winning, then she loses. And he says, see, I told you she had a 20-some-odd per cent chance of losing, he said. Lictman made it clear that polls are snapshots and not predictors. Totally useless, taking polls, which are snapshots, not predictors and abusing them as predictors. So unlike Silver, I can be proven right or wrong because I tell you whos going to win and whos going to lose. Its definitive, he added. When asked what makes his model better at election forecasting than conducting polls or polls of polls, Lichtman mentioned that his 40-year track record speaks for itself. He noted that polls can be misleading for two reasons, one of them being that they are just snapshots and the second being the fact that the error of margin is far greater. Youve got to add on human error. People dont respond to pollsters. They may lie. The pollsters have no idea whos actually voting, so they have to guess. That introduces much greater error, which isnt random, like statistical error, but unidirectional, he explained. During the conversation with Firstpost, Lichtman also recalled a heated exchange between him and Silver. What made Silver one of the most prominent election forecasters was the fact that back in 2008, Silver correctly predicted the winner of Obama vs John McCain in 49 out of 50 states, as well as the winner of all 35 Senate races. American statistician and writer Nate Silver. AP In 2012, the data cruncher accurately predicted the outcome in all 50 states. However, the glory for Silver did not last long after he wrongly predicted that the former Secretary of State Hillary Clinton would win against Trump in the 2016 race. Lichtman recalled that when he predicted the outcome of the 2012 polls, he was called out by Silver for releasing his prediction early. Out of the blue, I get a 30-page attack on my prediction by Nate Silver. He loves to attack me, saying, you cant predict this early. And of course, being a professor, I wrote a 30-page response, the essence of which was, you cant because you rely on polls, which are useless, he said. I can, because my model reflects the structure of how elections really work, and sometimes the structure falls into place early. Well, eventually, very late, Nate Silver comes around and says I was right. So I wrote him a very nice email saying, lets do a joint article explaining how two different authorities, using ultimately different methods, finally came around to the same conclusion. Never heard a word from him, not even a gracious decline, Professor Lichtman averred. Clinton vs Trump: Going against the tide When a plethora of pollsters kept saying Hillary Clinton would win the 2016 race, Lichtman predicted that Trump would take the cake. When asked what were the factors that led him to predict Trumps win, Lichtman insisted that a number of keys worked out for the former reality TV star. I paid no attention to the polls and looked at the keys. There were a number of keys out against the Democrats in 2016, unlike in 2012, such as an open seat, no incumbent, a party contest, no big policy accomplishments to follow the Affordable Care Act or Obamacare of the first term, no big foreign policy achievements to follow up the dispatch of Osama bin Laden in the first term. So things were much more negative for the Democrats in 2016 than in 2012, he told Firstpost. Democratic presidential nominee Hillary Clinton, right, speaks as Republican presidential nominee Donald Trump listens during the second presidential debate in St. Louis, Oct. 9, 2016. AP He highlighted how the tides have been against him on numerous occasions including the 2016 race. The pollsters were terribly wrong in 2016, not just Nate Silver, but a much more eminent group of Princeton University professors headed by the great Professor Sam Wang, gave Clinton the 99% chance of winning based on the polls. Wang said Id eat a bug on national television if Im wrong, Dr Lichtman noted. Of course, he was wrong. And to his credit, he did eat the bug. Whereas I predicted Trump virtually alone, which did not make me very popular in Washington DC. Even in 2012, after Barack Obamas disastrous first debate in October, close to the election, the polls swung three points against Obama, and he won handily, he added. Wanted Biden to stay for a reason Lichtman has previously warned Democrats about the side effects of US President Joe Bidens exit from the 2024 presidential race. Despite growing criticisms over Bidens age and mental acuity, Linchtman wanted Biden to continue in the race. I was very critical of the Democrats for a couple of reasons. One, they were openly trashing their sitting president and the nominee of their party. Absolutely foolish, no reason to do that, he exclaimed. US President Joe Biden, Democratic presidential candidate and US Vice President Kamala Harris and Second Gentleman Doug Emhoff stand on stage during Day one of the Democratic National Convention (DNC) in Chicago, Illinois, US, August 19, 2024. Reuters Secondly, it looked like they would not only push Biden out, which would cost them the incumbency key, but that they were heading to a big party brawl, which would cost them the contest key. No White House party since 1900 has ever won re-election where they lost both the incumbency key and the contest key, he added. However, the renowned election forecaster noted that things changed when the Democrats soon rallied behind Harris to take over as the party nominee. They finally grew a spine and a brain. Instead of a party brawl, as it looked like they were heading for, they united behind Harris, preserving the contest key, he remarked. Governance over campaign: The right key to success When asked about his take on the fluctuating polls, the intense debate and the overall race between Harris and Trump, Lichtman reiterated that he is not considering any of these factors while delivering his forecast and insisted that he prioritises looking at governance over how the candidates are performing in the campaign. The polls have been wrong so many times that theres no reason to pay attention to them. Their error margins are way larger than just 3 per cent. So theyre useless in any close election. Thats why I look at the fundamental factors as gauged by the keys, he said. I quite deliberately made my prediction before the debate to get across my message that its governance, not campaigning that counts. No one has a predictive track record based on looking at events of the campaign, the American historian told Firstpost. He supported his argument by noting that Clinton had a stellar campaign but she still lost in 2016. Democrat Kamala Harris and Republican Donald Trump met on September 10 for their first and perhaps only debate, ahead of the November 5 US Presidential election. Source: AFP. By events of the campaign, Hillary Clinton should have won in a landslide. She won all the debates. She raised more money. She had more ads. She was more experienced. She had a better campaign, and yet she lost. John Kerry won the debates in 2004 against Bush but he still lost. Both in those years, I correctly predicted Trump and correctly predicted Bush. My predictions are totally nonpartisan, he emphasised. Ive predicted about as many Republican as Democratic wins, including the two most conservative Republicans of our time, Reagan and Trump. What are the challenges ahead for Trump and Harris? When asked what lies ahead for both Trump and Harris, Lichtman emphasised that both the candidates have their share of challenges. While speaking about Harris, he said: Shes got to introduce herself and explain why shes ready to be president and what shes going to do for the American people. The big challenge for Donald Trump is that hes done this many times, but hes up against a prosecutor. Is he going to lose his cool when challenged by Harris? And will he rant and rave like hes done on Truth Search Social recently and in his campaign rallies? he concluded with pertinent questions. With many claiming Harris won her first debate against Trump, it will be interesting to see how the race will pan out and if Lichtmans prediction comes true once again. During the interview, the 59-year-old vice president insisted that she offers a new generation of leadership that is focusing on investing in areas that need a lot of work read more After a strong performance in the first presidential debate, US Vice President Kamala Harris sat down for her first solo interview. Interestingly, Harris gave the exclusive to ABCs local station in Philadelphia, Pennsylvania on Friday. The network conducted the showdown between the Democratic presidential nominee and former US President Donald Trump. Harris participated in her first interview with her running mate Tim Walz, after assuming the presidential nomination. During the interview, the 59-year-old vice president insisted that she offers a new generation of leadership that is focusing on investing in areas that need a lot of work. Advertisement When I talk about building an opportunity economy it is very much in mind with investing in the ambitions and aspirations of the incredible American people and creating opportunity for people, for example, starting a small business, Harris said. Here are some of the key takeaways from Harris one-on-one conversation with ABC. Economy Elaborating on her plans for the opportunity economy, Harris spoke about providing a $50,000 tax deduction to new startups and small businesses. Nobody can start a small business with $5,000, she said. The Democratic presidential nominee also pledged to work on the housing supply shortage by providing first-time homebuyers with a $25,000 downpayment assistance to ensure the American dream is no longer elusive for young citizens. Harris also plans to expand the child tax credit to $6,000 for young families for the first year of their childs life. I was raised to believe and to know that all people deserve dignity, Harris said. We as Americans have a beautiful character. We have ambitions and aspirations and dreams but not everyone necessarily has the resources that allow them to fuel those dreams and ambitions, the vice president furthered. Country before party Harris mentioned how 200 Republicans who worked for the Trump administration are supporting her. She urged the GOP to put the country before the party to make the United States stronger and healthier. I, based on experience and a lived experience, know in my heart I know, in my soul I know that the vast majority of us Americans have so much more in common than separates us, Harris said. Advertisement I also believe I am accurate in knowing that most Americans want a leader who brings us together as Americans and not someone who professes to be a leader whos trying to have us point our fingers at each other. I think people are exhausted with that approach, to be honest with you, she added. Gun control One of the main topics of discussion in Harris one-on-one interview was the issue of gun control. The vice president emphasised that this is the issue everyone agrees on. I feel very strongly that it is consistent with the Second Amendment and your right to own a gun to also say we need an assault weapons ban, the Democratic presidential hopeful averred. Advertisement Theyre literally tools of war. They were literally designed to kill a lot of human beings quickly, she added. While responding to Trumps jabs at the debate, Harris mentioned that both she and Walz are gun owners. Tim Walz and I are both gun owners, she said. Were not taking anybodys guns away. So stop with the continuous lying about this stuff. Harriss short interview came at a time when Trumps campaign had been keeping count of the number of days since Harris spoke to the media. Stranded aboard the International Space Station until February 2025, Nasa astronauts Sunita Williams and Butch Wilmore will still cast their votes in the upcoming US presidential election. Using a secure electronic process pioneered in 1997, theyll receive encrypted PDF ballots from Texas officials, allowing them to participate in democracy from 250 miles above Earth read more NASA Astronauts Butch Wilmore and Sunita Williams are scheduled to return to Earth in February 2025. X/Commercial_Crew Nasa astronauts Sunita Williams and Butch Wilmore are stranded aboard the International Space Station (ISS) and wont return to Earth before the 2024 US presidential election. Despite their extended stay in space, both astronauts are determined to cast their ballots, leveraging a process designed for astronauts voting remotely. As Wilmore put it on Friday, Its a very important role that we play as citizens including those elections, and Nasa makes it very easy for us to do that. Advertisement Heres how theyll manage to vote from beyond Earths atmosphere. How will Sunita Williams & Butch Wilmore vote from space? Although far from traditional polling stations, Nasa astronauts like Williams and Wilmore are still able to participate in US elections. They follow a system established back in 1997 when Texas lawmakers passed a bill allowing astronauts to vote from space. This was first used by astronaut David Wolf, who voted from the now defunct Mir Space Station operated by the erstwhile Soviet Union. Today, astronauts vote using a secure electronic process specifically tailored for their unique situation. Election officials from Harris County, Texas, where both astronauts reside, work closely with Nasa to facilitate the process. The astronauts receive their ballots electronically in the form of a PDF file with clickable boxes. As Rosio Torres-Segura, a spokesperson for the Harris County clerks office, told NBC News, Before sending the astronauts their ballot, it is transferred to a fillable document so that they can make their selections, save it, and send it back. A test ballot with a unique password is always sent first. Once they vote on their live ballot, it is returned, printed, and processed with other ballots. Who will the Nasa astronauts vote for? Since both astronauts live in Texas, theyll be voting in the states high-profile elections, including the US presidential race and the Texas Senate election. While they havent shared which candidates theyll choose, they will have the option to select between former US President Donald Trump and US Vice President Kamala Harris for the presidential race. Advertisement .@NASA+ is live now as @NASA_Astronauts Butch Wilmore and Suni Williams discuss their space station mission with journalists on Earth. https://t.co/nn0Ip0sPVe International Space Station (@Space_Station) September 13, 2024 In the US Senate election, they can decide between incumbent Republican Senator Ted Cruz and his Democratic challenger, Congressman Colin Allred. The Senate race, in particular, is expected to be tightly contested. Advertisement I sent down my request for a ballot today, Wilmore revealed to reporters on Friday. What is the technology behind space voting? The tradition of voting from space began in 1997, the same year Texas passed its landmark law allowing astronauts to cast their ballots remotely. Since then, several astronauts have used this method to fulfill their democratic duty while in orbit. David Wolf was the first American to vote from space, and more recently, Nasa astronaut Kate Rubins cast her vote in the 2020 election from the ISS. The process is facilitated through Nasas Space Communications and Navigation (SCaN) infrastructure, which ensures the secure transmission of sensitive data like ballots. Advertisement Once the astronaut completes their electronic absentee ballot, its encrypted and transmitted via Nasas Near Space Network. The ballot passes through Nasas Tracking and Data Relay Satellites to a ground antenna in New Mexico before being sent to Mission Control in Houston and eventually to the relevant county clerk. How are Williams & Wilmore faring in space? Sunita Williams and Butch Wilmore have been stranded on the ISS since early June 2024 after a technical issue with Boeings Starliner spacecraft. Initially, their mission was supposed to last just eight days. However, due to problems with the spacecraft, the pair will remain in space until February 2025, when they are expected to return aboard a SpaceX capsule. Advertisement After extensive review by experts across the agency, NASA's @BoeingSpace Crew Flight Test will return with an uncrewed #Starliner. Astronauts Butch Wilmore and Suni Williams are scheduled to return to Earth next spring aboard #Crew9: https://t.co/bfjenUU1Jf pic.twitter.com/c4NzZVJcvw NASA (@NASA) August 24, 2024 Wilmore reflected on their unexpected extended stay, stating, Its been quite a journey over the last three months. Weve been involved in every step of assessing our spacecraft. He added, And it was trying at times. There were some tough times all the way through. Despite the challenges, both astronauts have maintained a positive outlook. Williams, a veteran of the ISS, remarked, This is my happy place. I love being up here in space. She noted that the transition back to station life was not difficult for her due to her prior experience. We wanted to take Starliner to the completion and land back on land at home, but you know, you have to turn the page and look at the next opportunity, she added. Wilmore, though disappointed that they couldnt return aboard Starliner, praised the ongoing efforts to improve the spacecraft. When you push the edge of the envelope again and you do things with spacecraft that have never been done before, just like Starliner, youre going to find some things, he explained. Despite the setbacks, he expressed confidence in Boeings commitment to resolving the issues, noting, Boeings on board with that. Were all on board with that. What next? As the astronauts continue their extended mission aboard the ISS, they are gearing up for their eventual return to Earth in February 2025. Elon Musks SpaceX will play a critical role in their homecoming, with plans to bring the astronauts back aboard the Crew-9 flight. While being away from family and friends for such a long period has its emotional toll, both astronauts remain focused on their mission. Williams acknowledged that she had been slightly nervous about the extended stay but felt reassured by the support of her family. In the back of my mind, there are folks on the ground who have some plans, like my family spending time with my mother, she shared. But everybody was on board and that prepared us. As for Wilmore, he expressed no regrets about staying longer in space. We could have gotten to the point, I believe, where we could have returned on Starliner, but we just simply ran out of time, he said. Despite the technical challenges that have delayed their return, the two Nasa astronauts will exercise their right to vote from space, upholding the democratic process in an environment few can imagine. With inputs from agencies The proclamation from the Vatican sovereign came when he was asked about the US Presidential Elections during his flight back to Rome from Singapore read more Pope Francis on Monday slammed both former US President Donald Trump and Vice President Kamala Harris and said that picking one is like choosing lesser evil. The 87-year-old pontiff condemned Trump over his plans to deport millions of immigrants and Harris over her stance of supporting abortion rights. The proclamation from the Vatican sovereign came when he was asked about the US Presidential Elections during his flight back to Rome from Singapore. Pope insisted that not welcoming migrants is a grave sin and went on to equate abortion to assassination. He said that the Catholics in the United States would have to choose between lesser evil. Advertisement Not voting is ugly, the 87-year-old pontiff said. It is not good. You must vote. You must choose the lesser evil, he continued. Who is the lesser evil? That lady, or that gentleman? I dont know. Everyone, in conscience, [has to] think and do this. The sway of American Catholics in US elections It is pertinent to note that the Catholics in the US number roughly around 52 million nationwide and are touted as one of the crucial swing electorates. In some battleground states, including Pennsylvania and Wisconsin, more than 20 per cent of adults are Catholic. Francis who leads 1.4 billion Catholics worldwide is usually careful when it comes to weighing in on national elections since he believes that he has a strong sway among voters. While he has always been an ardent critic of abortion, the Pope is not a fan of Trump and his anti-immigration rhetoric as well. During the 2016 contest, the head of the Catholic Church noted that Trump was not Christian in his view. On Friday, the Pope insisted that both the presidential hopefuls were against life. Advertisement Whether it is the one who is chasing away migrants or the one that kills children, said the pope. Both are against life. The pope went on to call immigration a right and cited Bible passages that call orphans, widows and foreigners three kinds of people that society must care for. Not giving welcome to migrants is a sin, said the pope. It is grave. With inputs from Reuters. US President Joe Biden will use his remaining months in office to strengthen Ukraine, a senior aide said Saturday, as Kyiv fights off Russias invasion for a third year. read more US National Security Advisor Jake Sullivan said Saturday that logistics rather than unwillingness caused delays in aid to Ukraine, but acknowledged Washington needed to do more to help Kyiv fight Moscows invasion. Its not a matter of political will. Its a matter of busting through these difficult and complicated logistics, Sullivan told a forum in Kyiv, referring to military aid. But given what Ukraine is up against, weve got to do more, and weve got to do better. Advertisement Biden is determined to use the four months to put Ukraine in the best possible position to prevail, Sullivan said. President Zelensky has said that ultimately this war has to end through negotiations, and we need them to be strong in those negotiations, Sullivan said, adding Ukraine would decide when to enter negotiations with Russia. Sullivan was speaking via video-link at a conference organised by the Victor Pinchuk Foundation. The US President will meet with his Ukrainian counterpart Volodymyr Zelensky at the next UN General Assembly in late September, he said. A senior NATO military official said on Saturday that Ukraine would have a good military reason to strike deeper into Russia using Western weapons. President Vladimir Putin has said the West would be directly fighting Russia if it allowed Ukraine to strike with Western-made long-range missiles. At a meeting in Prague of the North Atlantic Treaty Organizations Military Committee - the alliances highest military authority - its chairman Admiral Rob Bauer said the law on armed conflict gave a nation the right to defend itself and that did not stop at its border. At the same time, he said, nations providing weapons also had a right to place limitations on their use. Biden met with British Prime Minister Keir Starmer on Friday, after this weeks visit to Kyiv by their top diplomats, who came under fresh pressure to loosen weapons restrictions. U.S. officials familiar with discussions said they believed Starmer was seeking Bidens approval to allow Ukraine to use British Storm Shadow missiles for expanded strikes in Russia. Advertisement Bidens approval may be needed because Storm Shadow components are made in the U.S. The officials, who spoke on condition of anonymity to share the status of private conversations, said they believed Biden would be amenable, but there has been no decision announced yet. Providing additional support and training for Ukraine was a key topic at the NATO chiefs meeting, but it wasnt clear Saturday if the debate over the U.S. restrictions was discussed. Many of the European nations have been vigorously supportive of Ukraine in part because they worry about being the next victim of an empowered Russia. At the opening of the meeting, Czech Republic President Petr Pavel broadly urged the military chiefs gathered in the room to be bold and open in articulating your assessments and recommendations. The rounder and the softer they are, the less they will be understood by the political level. Advertisement The allies, he said, must take the right steps and the right decisions to protect our countries and our way of life. The military leaders routinely develop plans and recommendations that are then sent to the civilian NATO defense secretaries for discussion and then on to the nations leaders in the alliance. The U.S. allows Ukraine to use American-provided weapons in cross-border strikes to counter attacks by Russian forces. But it doesnt allow Kyiv to fire long-range missiles, such as the ATACMS, deep into Russia. The U.S. has argued that Ukraine has drones that can strike far and should use ATACMS judiciously because they only have a limited number. Advertisement Ukraine has increased its pleas with Washington to lift the restrictions, particularly as winter looms and Kyiv worries about Russian gains during the colder months. You want to weaken the enemy that attacks you in order to not only fight the arrows that come your way, but also attack the archer that is, as we see, very often operating from Russia proper into Ukraine, said Bauer. So militarily, theres a good reason to do that, to weaken the enemy, to weaken its logistic lines, fuel, ammunition that comes to the front. That is what you want to stop, if at all possible. Advertisement Brown, for his part, told reporters traveling with him to the meeting that the U.S. policy on long-range weapons remains in place. But, he added, by the same token, what we want to do is regardless of that policy we want to continue to make Ukraine successful with the capabilities that have been provided by the U.S. and other nations in the coalition, as well as the weapons Kyiv has been able to build itself. Theyve proven themselves fairly effective in building out uncrewed aerial vehicles, in building out drones, Brown told reporters traveling with him to meetings in Europe. Defense Secretary Lloyd Austin has made similar points, arguing that one weapons system wont determine success in the war. There are a number of things that go into the overall equation as to whether or not you know you want to provide one capability or another," Austin said Friday. There is no silver bullet when it comes to things like this. He also noted that Ukraine has already been able to strike inside Russia with its own internally produced systems, including drones. With inputs from agencies. Interior Minister Diosdado Cabello said Saturday that two Spanish nationals were recently detained in Puerto Ayacucho. read more A US and two Spanish nationals have been detained in Venezuela on suspicion of being linked to an alleged plan to destabilize the country, Interior Minister Diosdado Cabello said Saturday, after hundreds of weapons were seized. The two Spanish nationals were recently detained in Puerto Ayacucho, Cabello told a press conference, adding that an American was also in custody. Ukrainian President Volodymyr Zelenskyy has been pleading with allies for months to let Ukraine fire Western missiles including long-range U.S. ATACMS and British Storm Shadows deep into Russia to limit Moscows ability to launch attacks read more British Prime Minister Keir Starmer will meet U.S. President Joe Biden for talks on Friday on the next steps in supporting Ukraine, centring on whether to allow Western missiles to be used to hit targets in Russia. Ukrainian President Volodymyr Zelenskyy has been pleading with allies for months to let Ukraine fire Western missiles including long-range U.S. ATACMS and British Storm Shadows deep into Russia to limit Moscows ability to launch attacks. Advertisement The New York Times reported, citing European officials, that the United States looks set to approve the use by Ukraine of long range missiles against targets in Russia on the condition that the weapons were not those provided by the United States. President Vladimir Putin said on Thursday that the West would be directly fighting with Russia if it allowed Ukraine to strike Russian territory with Western-made long-range missiles, a move he said would alter the nature and scope of the conflict. Focus now turns to talks in Washington after a joint fact-finding trip to Kyiv by U.S. Secretary of State Antony Blinken and British Foreign Secretary David Lammy earlier this week during which both allies offered new support for Ukraine, but no commitment on the missile use. On Wednesday Lammy suggested discussions could continue beyond Friday, tempering expectations of a solid announcement from Starmers Washington visit. In remarks made on his way to Washington, reported by British media travelling with him, Starmer said: We will, of course, be talking about many things in the round, but this is not a sort of a series of individual decisions that we want to arrive at. It is making sure that all the decisions we made are within the strategic context. By SA Commercial Prop News Polokwane Mayor Freddy Greaverr, Sam Mabotja and Jannie Moolman at the turning of the first sod on the 15000 square metre shopping centre in Seshego outside Limpopo's capital Polokwane. An official sod turning ceremony for the 15000 square metre shopping centre in Seshego outside Limpopo's capital Polokwane, was held on Wednesday 14th November 2012. The construction of a R200 million mall in Seshego outside Limpopo's capital is set to boost job opportunities and lessen transport costs for shoppers, says Polokwane mayor Freddy Greaver. The centre, which will house 35 shops, 70% of which had already been "pre-let," would also boast a medical centre and banks. Greaver was speaking during the sod turning ceremony to mark the construction of the mall, which is expected to be completed by October next year. We are fully behind the development as the shopping mall will serve as an economic growth facility. It will cut down transport expenses for the local members of the community who currently spend large amounts of their income for travelling to work and to do shopping in town, said Greaver. He said the development would also assist the unemployed members of the community of Seshego and its outskirts to get jobs. Following construction of the Mall of the North, City Centre and Makro Polokwane in recent years, the Moolman Group had partnered with local businessmen Jaco Nel and Sam Mabotja to develop the new mall. The new shopping centre is situated at the confluence of Nelson Mandela and Polokwane Drive, which are the two arterial roads connecting Seshego with Polokwane hence the name Seshego Circle Shopping Centre. Moolman Group director Pieter Beyers said the shopping centre would have about 35 shops, including banks and restaurants. It would be a community-based facility that would primarily cater for the needs of the Seshego community. It will also serve some outlying areas like Makgofe, Mabokelele and Mmotong. A 15 000-square metre shopping centre would be anchored by the 3 500-square metre Shoprite Supermarket and 2500-square metre Cambridge Foods Supermarket, he said. Industrialist developer Janie Moolman said the shopping centre would create 400 employment opportunities during the construction phase and is expected to create around 300 permanent jobs for the community. "A large amount of these jobs will be for the people of Seshego and surrounding areas," he said. Community member, Isaac Matlala, 37, said he was happy that the contractors did not look any further when employing workers but focused on his township. "I am very happy that we will have our mall just around the corner and many people will get jobs. We travel a long distance to Polokwane for shopping but now we will spend less on transport costs, Matlala said. Nato Secretary General Jens Stoltenberg said the military alliance could have done more to arm Ukraine to try to prevent Russias invasion in 2022 read more Nato Secretary General Jens Stoltenberg said the military alliance could have done more to prevent the war. Reuters Outgoing head of the North Atlantic Treaty Organisation (Nato), Jens Stoltenberg, has said that the western military alliance had known that Russia would invade Ukraine. I wasnt surprised because we knew from the intelligence services what was going to happen. But seeing it actually happen still shocked me. I realized that this was a turning point in our history: there is a Europe before that day and another Europe after that day, Natos Secretary General told German weekly newspaper FAZ in an interview released on Saturday (September 14). Advertisement Stoltenberg said in his ten years of leading Nato, the day Russia invaded Ukraine February 24, 2022, was the worst one for him. Nato could have done more He also said Nato could have done more to arm Ukraine to try to prevent Russias invasion in 2022. Stoltenberg, who has also been a former prime minister of Norway, pointed to Natos reluctance to provide weapons that Kyiv had asked for before the Russia-Ukraine war began. We struggled over whether to supply sniper rifles. For a long time, the US did not want to supply anti-tank missiles to Ukraine so as not to provoke Russia. In the end, they did supply some, but we could all have done more. Overall, Stoltenberg noted that Natos training and equipment were quite limited. He said that if Ukraine had been militarily stronger, the threshold for Russia to attack could have been higher. After the war began, Kyiv, which is not a member of Nato, received multiple weapons from its allies. Now we are arming Ukraine for war, but back then we could have armed Ukraine to prevent war, Stoltenberg said. Negotiation only way to end war In the interview, he said that an end to the war in Ukraine would only be achieved through negotiations. Advertisement To end this war there will have to be again dialogue with Russia at a certain stage. But it has to be based on Ukrainian strength, he said. Stoltenberg will step down from his role at Nato in October, which he has held since 2014. In June, Dutch former prime minister Mark Rutte was announced as the organisations next leader. A double-tap is when an initial air strike is followed by a second strike at the same place again. The timing of the second attack is intended to target emergency responders and medics who arrive to assist the victims of the initial strike read more Medical specialists transport an injured woman to an ambulance following shelling in the city of Donetsk, Ukraine March 3, 2022. File image/Reuters In any war, a surefire way to get the other side to give up is to raise the cost of war militarily, economically, and in terms of lives lost beyond a tolerable limit. The Ukraine conflict is no different. Moscow understands this. In recent months, Russia has been trying to bring Ukraine to its knees by quickly chipping away peoples morale while also hammering Kyiv economically. Kremlins weapon of choice are systematic attacks on the healthcare and energy frameworks. Advertisement Heres a look at what Russia is doing and the strategic benefits it is eyeing. Double-tap attacks target emergency responders According to a report published in The Lancet on Friday (September 13), Russia is using deliberately using double-tap attacks to target emergency responders. A double-tap attack is a tactic where an initial air strike is followed by a second strike in the same location shortly afterwards. The timing of the second attack is intended to target first responders, medical personnel, or civilians who arrive to assist the victims of the initial strike. According to the report, since February, 2022, World Health Organisation has confirmed 1,973 attacks on health-care facilities, health transport and supply, staff, and patients in Ukraine. This is the highest number the agency has ever recorded in any humanitarian emergency. Since December last year, these attacks have been occurring on a near-daily basis. Data from the Attacks on Health Care in Ukraine project suggests there have been 1,521 attacks on the countrys healthcare system between February 2022 to July 2024. Image courtesy: Attacks on Health Care in Ukraine project They have included more and more double-tap strikes, too. Calculating the minimum time 40 seconds between an air raid alert and a possible strike, and the area of impact, suggests a team on a call would be unlikely to escape, Viktor Zabashka, who heads Kharkivs emergency medical services, was quoted as saying. Why Russia attacks emergency responders The most obvious benefit of such attacks is an increase in the death toll: not only by the second strike, but also by sowing fear in the minds of people so they do not come to the rescue of the victims. Less obvious, and much more long-term is how this gradually cripples the healthcare network. Advertisement With emergency responders and healthcare professionals being killed or getting injured, an already fragile support ecosystem gets even more burdened. Repeated attacks strain the resources, too. Money needs to be directed towards replacing blown-up ambulances, training more healthcare professionals, etc. In a struggling war economy, where funding is scarce, this becomes difficult. The result? More deaths. In terms of human lives, the resistance to the invasion becomes costlier for Ukraine with each such attack. As the public realises this, the morale is likely to go down. Voices demanding that the war be stopped, even at the cost of considerable territory, are likely to grow louder. Advertisement The same is also the case with attacks on energy infrastructure. The impact of attacks on energy grids The Associated Press on Tuesday (September 10) reported that Ukraines prime minister has warned the country could be facing its toughest winter since the beginning of the war. Ukrainians rely on indoor heating to get through the harsh winters. However, the countrys beleaguered energy infrastructure, which has been taking consistent hits from Russia, is unlikely to adequately meet the heating requirements. After all, estimates from the United Nations and the World Bank suggest that Ukraine lost more than half of its power-generating capacity in the first 14 months of the war. Advertisement Military operations rely heavily on energy for transportation, communication, and equipment. Disruptions can hinder coordination and effectiveness as well. Once again, rebuilding a decentralised, solar-powered energy grid that would be harder to destroy would require billions of euros. It will require time, too. In the meanwhile, loss of life due to the cold, among other factors, is expected to continue. It is bound to affect the resilience of not only the civilians, but the troops as well. Russias tactics to make the war costlier for Ukraine, to make it concede, have unleashed massive suffering on the people. The strategy is bearing fruits gradually. Commentary: China, Europe need to work together for greener future 09:56, September 14, 2024 By Zeng Yan ( Xinhua GENEVA, Sept. 13 (Xinhua) -- The recent visits by Spanish Prime Minister Pedro Sanchez and Norwegian Prime Minister Jonas Gahr Store to China highlight the growing potential for cooperation between Europe and China, especially in the area of clean energy and green transition. Their visits reflect the shared interest in stabilizing relations and deepening collaboration on sustainable development. By engaging in constructive dialogue, Spain and Norway demonstrated their will to facilitate green transition, a crucial pathway to addressing the global climate crisis. Trade disputes, not least those related to tariffs on Chinese EVs, need to be resolved without derailing broader efforts toward a green economy. Spain, one of Europe's largest EV producers, plays a crucial role in this dynamic. During his visit, Sanchez voiced Spain's readiness to expand collaboration with China in areas like cultural exchanges, trade and particularly EVs. Spain's position as a major player in the automotive industry, coupled with China's strength in EV production, presents significant opportunities for their win-win cooperation. Likewise, Norway, following talks between Chinese and Norwegian leaders, issued a joint statement with China on the establishment of dialogue on green transition. A leader in renewable energy and EV adoption, Norway has long been committed to reducing its carbon footprint. "We welcome cooperation and trade with China in a broad range of areas ... We want to cooperate on areas where we have overlapping interests with China, such as addressing climate change and the green industrial transition," the Norwegian prime minister told Xinhua ahead of his visit to China. Norway's strategic insight in the maritime and shipbuilding sectors, which also touch upon greener, more efficient transportation, aligns with China's expertise in green technologies, hence a mutually beneficial partnership. This collaboration on green economy, as widely deemed a win-win scenario, enables Europe and China to strengthen their positions in the global market thereby contributing to international climate goals. Rising up to challenges and braving headwinds, China and Europe are capable of forging meaningful partnerships that transcend political differences, especially when it comes to the shared goal of sustainability. (Web editor: Zhang Kaiwei, Liang Jun) By SA Commercial Prop News National Treasury's review of 3,000 government leases, as announced in the national Budget Speech, is an opportunity to confront the corruption that has become endemic in the negotiation of these contracts, says DA MP Dion George. National Treasury's review of 3,000 government leases is an opportunity to confront the corruption, says the DA MP Dion George. National Treasury's review of 3,000 government leases, as announced in the national Budget Speech, is an opportunity to confront the corruption that has become endemic in the negotiation of these contracts, says the Democratic Alliance (DA) MP Dion George. George who is his party's member on Parliament's influential Standing Committee on Public Accounts (SCOPA) said on Wednesday that the opportunity could be lost if National Treasury was not willing to act decisively on the case load of irregularities it is likely to find. The number of questionable leases signed on behalf of various government departments with politically connected business people has caused George to dub the ongoing saga as "leasegate." Specific leases that have become public and George said needed to be dealt with decisively were the lease for the building of the Department of Health which is costing the taxpayer R62 million per year, but makes no provision for maintenance of the building; the R265.5 million Home Affairs lease deal that will benefit Parliament's Finance Committee chairperson Thaba Mufamadi and which is 57% more expensive per square metre than the contract for the Department's previous building; the infamous R1.7 billion police leasing scandals; and the R84 million paid for an empty building earmarked for occupation by the Department of Justice. "We will monitor the leasegate review process closely and will use all available parliamentary channels to advocate for the process to adhere to certain requirements," he said. George said participants in the review process must be chosen in a transparent and even-handed manner. "We will be asking the minister of finance questions to determine who will partake in the process," George said. He said there had to be regular feedback on the progress and findings of the review to Parliament and SCOPA. "The review must have a clear timeline. We will thus be asking the minister to clarify the envisioned deadline for completion," George said. He said that specific attention must be paid to adherence to proper tender procedures in the allocation of lease contracts. Where these were not followed, the responsible parties must be held to account. George said the shareholding of public representatives in companies benefitting from lease deals must be scrutinised. "Where conflicts of interest were not sufficiently declared, the parliamentary ethics committee has to intervene. Where the independence of parliamentary oversight committees is compromised by the involvement of individuals benefitting from lucrative state contracts, steps must be taken to restore the credibility of these committees," George said. By SA Commercial Prop News Rebosis Property Fund to acquire Forest Hill City mall in Centurion along with Baywest City shopping centre in the Eastern Cape and a 50.1% undivided share in BT Ngebs City mall in Mthatha Black-owned property group, Rebosis Property Fund pushed up total assets under management to R18.6 billion after a R6 billion acquisition that will substantially increase the groups exposure to retail assets. Rebosis on Monday announced that it had concluded an agreement with Billion Group, a sister company, to acquire 100% of Baywest City shopping centre, the largest mall in the Eastern Cape; Forest Hill City, a mall in Monavoni, Centurion; and a 50.1% undivided share in BT Ngebs City mall in Mthatha, bringing to seven its prime retail assets. In the deal, Rebosis will also acquire Billion Asset Managers as well as Billion Property Services Limited, effectively internalising the asset management and property management companies of the Group. Transactions of this nature are very rare. These are very large dominant regional shopping centres that will significantly increase our scale and liquidity as well as the quality of the overall portfolio," Rebosis Chief Financial Officer, Kameel Keshav said. The acquisition represents a watershed moment in Rebosis history, that will see the companys total assets under management grow to R18.6 billion and at our current share price, raise our market capitalisation to around R8.3 billion, Keshav added. The proposed transaction will result in CEO and founder Sisa Ngebulanas stake in Rebosis growing to approximately 20% including deferred payments. Post the transaction, Rebosis will hold seven prime retail assets with an approximate value of R9 billion, representing 66% of its total asset value. The internalisation of the asset management and property management companies will better align the interest of management and shareholders, he added. Meago Asset Managements Thabo Ramushu said the deal made Rebosis a sizeable fund. Jordan Parker is a breaking news reporter for The San Francisco Chronicle. He graduated from Sacramento State University in May 2022 with a degree in journalism. During his time there, he spent three years as a reporter and editor for the university's award-winning student newspaper, The State Hornet. He spent his senior year of college serving as The Hornet's first Black editor in chief, leading the organization to two Pacemaker awards and several other national honors from the Associated Collegiate Press. When he's not chasing down a story, he likes watching movies, traveling and trying new restaurants. Join us on an unscripted adventure as we explore the history of the Galveston jetties, crucial for the region's economic growth from the 1800s to today. San Francisco Police named four suspects allegedly involved in retail thefts worth over $100,000 in both San Francisco and San Mateo counties. Yalonda M. James/The Chronicle/The Chronicle The San Francisco Police Department on Friday announced the arrests of four suspects accused of stealing more than $100,000 in goods from stores in San Francisco and San Mateo counties. The police worked with investigators from the Daly City Police Department to apprehend the suspected retail burglary crew on Thursday. The suspects allegedly participated in numerous burglaries in both San Francisco and San Mateo counties, the police said in a statement, without naming which stores had been robbed. The police named the accused as Julian Gacutan, 18, of South San Francisco, and Marreanna Variste, 25, Jeremiah Sledge, 22, and Rahjon Brown, 18, all of San Francisco. Advertisement Article continues below this ad The police are under pressure to address retail theft in San Francisco, where store owners say they have to keep goods locked behind glass and otherwise have increased security in recent years to prevent shoplifting. While violent crime is down in the city, San Franciscos property crime rate is the highest in the state among populous cities. To apprehend the suspects, officers followed their vehicle from 20th avenue in San Francisco to the Serramonte Center in Daly City, where two suspects got out of the car and were arrested, the police said. Two others fled the scene in the vehicle and then were apprehended in San Francisco near 16th and Bryant streets, the police said. Police said they found stolen goods and a firearm in the car. Anyone with information about the incidents is asked to contact the San Francisco Police Department at 415-575-4444 or text a tip to TIP411 and begin the message with SFPD. Tue Tuesday 85 /53 Times of sun and clouds. Highs in the mid 80s and lows in the low 50s. An honour guard carried the coffin of activist Aysenur Ezgi Eygi, who was killed in the West Bank Copyright 2024 The Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Russo-Ukraine War - 13 September 2024 - Day 933 Su M Tu W Th F Sa 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 A number of claims and counterclaims are being made on the Ukraine-Russia conflict on the ground and online. While GlobalSecurity.org takes utmost care to accurately report this news story, we cannot independently verify the authenticity of all statements, photos and videos. On 24 February 2022, Ukraine was suddenly and deliberately attacked by land, naval and air forces of Russia, igniting the largest European war since the Great Patriotic War. Russian President Vladimir Putin announced a "special military operation" (SVO - spetsialnaya voennaya operatsiya) in Ukraine in response to the appeal of the leaders of the "Donbass republics" for help. That attack is a blatant violation of the territorial integrity, sovereignty and independence of Ukraine. Putin stressed that Moscow's goal is the demilitarization and denazification of the country. The military buildup in preceeding months makes it obvious that the unprovoked and dastardly Russian attack was deliberately planned long in advance. During the intervening time, the Russian government had deliberately sought to deceive the world by false statements and expressions of hope for continued peace. "To initiate a war of aggression... is not only an international crime; it is the supreme international crime differing only from other war crimes in that it contains within itself the accumulated evil of the whole." [Judgment of the International Military Tribunal] The UK Ministry of Defence reported that Iran has recently delivered Fath-360 close range ballistic missiles to Russia. First publicly displayed in 2020, the Iranian Fath-360 (also known as the BM-120) can deliver a 150kg warhead to a range of 120 km with a claimed accuracy of less than 30 metres. It can be deployed on a variety of road-mobile launchers including one capable of launching up to six missiles. To date, Iranian military aid to Russia's campaign in Ukraine has included the supply of hundreds of one-way attack (OWA) uncrewed aerial vehicles (UAVs), artillery munitions and extensive support to Russian OWA UAV domestic production. The supply of ballistic missiles represents a further deepening of Iran's and Russia's military relationship. The supply of Iranian ballistic missiles will supplement and enhance Russia's ability to conduct precision strikes against Ukrainian military or civilian infrastructure targets close to front lines, whilst also enabling Russia to preserve more of its longer-range capabilities for use against targets deeper inside Ukraine. The General Staff of the Armed Forces of Ukraine reported that so far, there have been 115 combat clashes. The hottest today remained the situation in the Kurakhiv direction, also the Russian enemy is active in the Lyman and Pokrovsky directions. For today, Russia has launched on the territory of Ukraine one missile strike with the use of one missile, 63 aviation strikes (hit, including 73 KAB), used 567 kamikadze drones to impress. Conducted more than 2,900 shells of the positions of Ukrainian troops and settlements. In the Kharkiv direction Russians f Ukrainian times attacked the vicinity of vovchans ka, gatishya, globokoye and lipciv. Two combat clashes are underway. According to preliminary calculations, so far the Russian loss for today is 72 soldiers killed and wounded, also Ukrainian soldiers destroyed a combat armored vehicle, 25 BPLAs and a car, two artsystems, two vehicles and a combat armored machine damaged. In the kupans komu direction Russian forces during the day 11 times tried to advance to Ukrainian positions near synkivka, glushkivka, lozovoi, petropavlivka, novoosinovogo and stelmahivka. Russian attacks were repelled by the Defense Forces. In the Lyman direction, the zagarbnic ka army attacked 22 times in the areas of kopanok, grekivka, tverdohlibovogo, makiivka, nevsky, yampolivka, torsky, serebryanka and bilogorivka. Six battles are still being sharpened, the rest - Ukrainian warriors have stopped. In the Siversky direction, Russian forces tried to break through Ukrainian defense in the areas of the settlements of Verkhnyokamianske, Spirne and Viymka three times throughout the day. Three combat collisions were recorded in the kramators komu direction. All attacks near the Chasovoye Yar and Andriyivka were zahlinulis .. The Russian forces have suffered a loss. In the Torec komu direction, six Russian attacks near Dachnyo, Torec ko and Nelipivka. Five attacks withdrawn, the battle is ongoing. The situation is under control. Russian forces tried to infiltrate Ukrainian defense 19 times in the Pokrovsky direction. Since the beginning of the day, Russian forces in the settlements of Zelene Pole, Vozdvizhenko, Novooleksandrivka, Mirolyubivka, Novotroitske, and Hrodivka 16 times received a hard cut off from Ukrainian defenders. Three attacks continue in the areas of the settlements of Selidove, Mikhailvka and Novogrodivka. The Russian enemy suffers significant losses - according to preliminary information, today Ukrainian warriors damaged more than 200 invaders in this direction, 80 of them - irrevocably. Two mortars and seven occupant vehicles were destroyed, as well as the artsystem, two armored vehicles and f Ukrainian vehicles were damaged. A difficult situation in the Kurakhiv direction, where Russian forces attacked 35 times Ukrainian positions near Ukrainian, the Desired First, Georgievka, Konstantinivka and Katerynivka. The greatest activity was shown in the area of konstantinivki and Ukrainian. So far, Ukrainian soldiers have repelled 30 attacks, five militants are still ongoing. In the Vremivs komu direction, Ukrainian troops repelled seven attacks of the Russian enemy, near Katerinivka, Vugledara and Golden Niva - five attacks repelled, two more is ongoing. In the Pridniprovsk direction, Russian forces spent five unsuccessful attempts to storm the positions of Ukrainian soldiers. In the rest of the directions, there were no significant changes. Defense Forces of Ukraine continue operations in the Kursk region. The Ministry of Defense of the Russian Federation reported that from 7 to 13 September 2024, the Armed Forces of the Russian Federation have carried out 39 group strikes with precision-guided weapons and strike drones, as a result of which the following have been hit: oil and energy installations used for Ukrainian defence Industry, airbases' infrastructure, workshops for manufacturing powder and operational missile components. In addition, strikes were delivered at UAV assembly areas, arsenals, ammunition and logistic depots, repair bases of armament and materiel as well as temporary deployment points of AFU nationalists and foreign mercenaries. Over the past week, units of the Sever Group of Forces continued the operation to eliminate the AFU formations in Kursk region. Russian troops liberated ten settlements during offensive operations. They are as follows: Apanasovka, Byakhovo, Vichnyovka, Viktorovka, Vnezapnoye, Gordeyevka, Krasnooktyabrskoye, Obukhovka, Snagost, and Desyaty Oktyabr. Aviation, unmanned aerial vehicles and artillery strikes at manpower and materiel assembly areas disrupted attacks and reserves deployment of six mechanised brigades, one tank brigade, one assault brigade, three air assault brigades of the AFU, nine territorial defence brigades, and one National Guard Brigade. In Volchansk and Liptsy direction, losses were inflicted on one motorised infantry brigade, two marine brigades, three territorial defence brigades, and one national guard brigade. Over the past week, the AFU losses in the area of responsibility of the Sever Group of Forces amounted to up to 3,520 troops, 25 tanks, 106 armoured fighting vehicles, 87 motor vehicles, two MLRS combat vehicles, and 26 field artillery guns. Six electronic warfare stations were eliminated. Over the past week, the Zapad Group of Forces continued to advance into the enemy's defence, inflicted damage on formations of five mechanised brigades, one assault brigade, one airmobile brigade of the AFU, three territorial defence brigades, and one Azov Special Operations Brigade. Russian units repelled 17 counter-attacks launched by assault units of the AFU and Ukrainian national guard. The AFU losses amounted to more than 3,620 troops, two tanks, 13 armoured fighting vehicles, including one Bradley infantry fighting vehicle, four U.S.-made M113 armoured personnel carriers, and 44 motor vehicles. In addition, 47 field artillery guns and MLRS launchers were eliminated, with 32 of them were provided to the AFU by Western countries, 13 electronic and counter-battery warfare stations and 31 field ammunition depots. As a result of active efforts, units of the Yug Group of Forces liberated Krasnogorovka and Grigorovka (Donetsk People's Republic). Russian troops launched strikes on manpower and hardware of five mechanised brigades, one motorised infantry brigade, two infantry brigades, one mountain assault brigade, one air assault brigade, and two airmobile brigades of the AFU. Russian units repelled nine counter-attacks of the hostile assault detachments. The AFU losses amounted to more than 4,840 troops, nine armoured fighting vehicles, including a U.S.-made M113 armoured personnel carrier, 81 motor vehicles, and 59 field artillery guns, with 26 of them manufactured by NATO countries. Four electronic warfare and counter-battery radars as well as 15 field artillery guns were destroyed. The Tsentr Group of Forces liberated Novogrodovka, Kalinovo, Memrik, Galitsynovka, and Dolinovka (Donetsk People's Republic). Russian units inflicted damage on formations of seven mechanised brigades, one motorised infantry brigade, two infantry brigades, two jaeger brigades, one airborne brigade of the AFU, one territorial defence brigade, four national guard brigades, and the Lyut Brigade of the National Police of Ukraine. The Russian Armed Forces repelled 50 counter-attacks launched by hostile assault detachments. Over the past week, Ukrainian units have suffered losses of up to 3,670 troops, two tanks, 23 armoured fighting vehicles, including a Bradley infantry fighting vehicle, two U.S.-made MaxxPro armoured vehicles, 22 motor vehicles, and 42 field artillery guns. As a result of cohesive actions, units of the Vostok Group of Forces liberated Vodyanoye (Donetsk People's Republic). Russian units inflicted damage on manpower and materiel of one mechanised brigade, one motorised infantry brigade of the AFU, three territorial defence brigades, and one national guard brigade. The Russian Armed forces repelled 12 counter-attacks of the AFU. The AFU losses amounted to up to 820 troops, 24 motor vehicles, and ten 155-mm field artillery guns. Five electronic and counter-battery warfare stations were eliminated. The Dnepr Group of Forces inflicted damage on formations of two mechanised brigades, one infantry brigade, one mountain assault brigade of the Armed Forces of Ukraine, one marine brigade, and three territorial defence brigades. The AFU losses amounted to up to 490 troops, two armoured fighting vehicles, 50 motor vehicles, two U.S.-made MLRS launchers, and nine field artillery guns. Four electronic warfare stations and six field ammunition depots were eliminated. Air defence units shot down nine U.S.-made ATACMS operational-tactical missiles, 30 French-made Hammer guided aerial bombs, 25 U.S.-made HIMARS MLRS projectiles, and 433 fixed-wing unmanned aerial vehicles, with 237 of them outside the special military operation zone. Over the past week, 49 Ukrainian servicemen have surrendered on the line of contact. In total, 642 airplanes and 283 helicopters, 31,501 unmanned aerial vehicles, 579 air defence missile systems, 18,130 tanks and other armoured fighting vehicles, 1,454 combat vehicles equipped with MLRS, 14,563 field artillery guns and mortars as well as 26,047 units of support and motor vehicles have been destroyed during the special military operation. The Ministry of Defense of the Russian Federation also reported that the Sever Group of Forces carrying on offensive actions inflicted damage on the 22nd and 44th mechanised brigades, 82nd and 95th air assault brigades of the Armed Forces of Ukraine near Lyubimovka, Daryino, Nikolayevo-Daryino, Pokrovsky, and Borki. Over the past 24 hours, the Russian units have repelled a counter-attack launched by the enemy in the direction of Obukhovka, and thwarted two enemy's attempts to launch attacks in the direction of Kamyshevka and Borki. As a result, the AFU losses amounted to up to 20 troops killed and wounded, one AFU serviceman were taken prisoner; two armoured fighting vehicles and one motor vehicle were destroyed. With the support of army aviation and artillery fire, the Russian troops repelled three attempts of the enemy to break through the border of the Russian Federation in the direction of the Novy Put, Medvezhye, and Vesyoloye. The AFU losses amounted to up to 50 troops killed and wounded; five tanks, two infantry fighting vehicles, one armoured personnel carrier, nine armoured fighting vehicles, two motor vehicles, and two counterobstacle vehicles. Air strikes, artillery fire, and actions of the troops inflicted fire damage on clusters of manpower and hardware of the 22nd, 61st, 115th mechanised brigades, 17th Tank Brigade, 80th and 95th air assault brigades, 1st National Guard Brigade, and 129th Territorial Defence Brigade near Borki, Guyevo, Daryino, Zeleny Shlyakh, Kositsa, Lyubimovka, Malaya Loknya, Martynovka, Novaya Sorochina, Novoivanovka, Nikolayevo-Daryino, Pokrovsky, and Uspenovka. Operational-Tactical Aviation, and Missile Troops launched strikes in Sumy region to hit enemy's assembly areas and reserves of the 21st, 22nd, and 41st mechanised brigades, 17th Tank Brigade, 82nd Air Assault Brigade of the Armed Forces of Ukraine, 1st National Guard Brigade, 1004th Security Brigade, 101st, 103rd, and 129th territorial defence brigades close to Belopolye, Glukhov, Zhuravka, Obody, Kondratovka, Katerinovka, Rechki, Stepanovka, Sumy, Pavlovka, Pustogorod, and Khoten. Over the past 24 hours, the Armed Forces of Ukraine have suffered the following losses: up to 300 troops, 34 armoured vehicles including seven tanks, two infantry fighting vehicles, three armoured personnel carriers, and 22 armoured fighting vehicles as well as one artillery gun, one electronic warfare station, two counterobstacle vehicles, and eight motor vehicles. Twelve Ukrainian servicemen surrendered into captivity. Since the beginning of hostilities in Kursk region, the Armed Forces of Ukraine have lost more than 12,795 troops, 108 tanks, 44 infantry fighting vehicles, 86 armoured personnel carriers, 691 armoured fighting vehicles, 418 motor vehicles, 93 artillery guns, 26 MLRS launchers, including seven of HIMARS and five of MLRS made by the USA, eight SAM launchers, two transport-loading vehicles, 25 electronic warfare stations and seven counter-battery radars, two air defence radars, 12 engineering vehicles, including six counterobstacle vehicles and one UR-77 mine clearing vehicle. The operation to neutralise the AFU units is in progress. NEWS LETTER Join the GlobalSecurity.org mailing list Enter Your Email Address MORRISTOWN, N.J., Sept. 13, 2024 (GLOBE NEWSWIRE) -- The Gastrointestinal Drugs Advisory Committee (GIDAC) of the U.S. Food and Drug Administration (FDA) met today to discuss Intercepts supplemental New Drug Application (sNDA) for OCALIVA (obeticholic acid, OCA) for the treatment of primary biliary cholangitis (PBC) a rare, progressive disease that disproportionally affects women. The sNDA was submitted to support full approval of OCALIVA and to satisfy post-marketing requirements confirming a clinical benefit in patients with PBC. We are disappointed in the outcome of todays Advisory Committee meeting and believe the vote does not accurately recognize the clinical benefit of OCALIVA as an important second-line therapy for patients living with PBC, said Paul Nitschmann, M.D., Senior Vice President of Regulatory Affairs at Intercept. With more than 42,000 years of collective real-world patient experience over eight years of being on the market, OCALIVA is the first approved second-line therapy with multiple peer-reviewed study results. Intercept is grateful for the continuous support of the PBC community, including those patients and healthcare providers who contributed to todays meeting, and will continue to work collaboratively with the FDA on behalf of patients. While the FDA will take into consideration the committees vote, the vote is not binding upon the agency. The FDA will make the final decision and has assigned a Prescription Drug User Fee Act (PDUFA) target action date of October 15, 2024. About Primary Biliary Cholangitis Primary biliary cholangitis (PBC) is a rare, progressive, and chronic autoimmune disease that affects the bile ducts in the liver and is most prevalent (approximately one in 10,000) in women over the age of 40. PBC causes bile acid to build up in the liver, resulting in inflammation and scarring (fibrosis), which, if left untreated, can lead to cirrhosis, a liver transplant, or death. About OCALIVA (obeticholic acid) OCALIVA, a farnesoid X receptor (FXR) agonist, is indicated for the treatment of adult patients with primary biliary cholangitis (PBC) without cirrhosis or with compensated cirrhosis who do not have evidence of portal hypertension, either in combination with ursodeoxycholic acid (UDCA) with an inadequate response to UDCA or as monotherapy in patients unable to tolerate UDCA. This indication is approved under accelerated approval based on a reduction in alkaline phosphatase (ALP). An improvement in survival or disease-related symptoms has not been established. Continued approval for this indication may be contingent upon verification and description of clinical benefit in confirmatory trials. IMPORTANT SAFETY INFORMATION WARNING: HEPATIC DECOMPENSATION AND FAILURE IN PRIMARY BILIARY CHOLANGITIS PATIENTS WITH CIRRHOSIS Hepatic decompensation and failure, sometimes fatal or resulting in liver transplant, have been reported with OCALIVA treatment in primary biliary cholangitis (PBC) patients with either compensated or decompensated cirrhosis. OCALIVA is contraindicated in PBC patients with decompensated cirrhosis, a prior decompensation event, or with compensated cirrhosis who have evidence of portal hypertension. Permanently discontinue OCALIVA in patients who develop laboratory or clinical evidence of hepatic decompensation; have compensated cirrhosis and develop evidence of portal hypertension, or experience clinically significant hepatic adverse reactions while on treatment. Contraindications OCALIVA is contraindicated in patients with: decompensated cirrhosis (e.g., Child-Pugh Class B or C) or a prior decompensation event compensated cirrhosis who have evidence of portal hypertension (e.g., ascites, gastroesophageal varices, persistent thrombocytopenia) complete biliary obstruction Warnings and Precautions Hepatic Decompensation and Failure in PBC Patients with Cirrhosis Hepatic decompensation and failure, sometimes fatal or resulting in liver transplant, have been reported with OCALIVA treatment in PBC patients with cirrhosis, either compensated or decompensated. Among post-marketing cases reporting it, median time to hepatic decompensation (e.g., new onset ascites) was 4 months for patients with compensated cirrhosis; median time to a new decompensation event (e.g., hepatic encephalopathy) was 2.5 months for patients with decompensated cirrhosis. Some of these cases occurred in patients with decompensated cirrhosis when they were treated with higher than the recommended dosage for that patient population; however, cases of hepatic decompensation and failure have continued to be reported in patients with decompensated cirrhosis even when they received the recommended dosage. Hepatotoxicity was observed in the OCALIVA clinical trials. A dose-response relationship was observed for the occurrence of hepatic adverse reactions including jaundice, worsening ascites, and primary biliary cholangitis flare with dosages of OCALIVA of 10 mg once daily to 50 mg once daily (up to 5-times the highest recommended dosage), as early as one month after starting treatment with OCALIVA in two 3-month, placebo-controlled clinical trials in patients with primarily early stage PBC. Routinely monitor patients for progression of PBC, including hepatic adverse reactions, with laboratory and clinical assessments to determine whether drug discontinuation is needed. Closely monitor patients with compensated cirrhosis, concomitant hepatic disease (e.g., autoimmune hepatitis, alcoholic liver disease), and/or with severe intercurrent illness for new evidence of portal hypertension (e.g., ascites, gastroesophageal varices, persistent thrombocytopenia), or increases above the upper limit of normal in total bilirubin, direct bilirubin, or prothrombin time to determine whether drug discontinuation is needed. Permanently discontinue OCALIVA in patients who develop laboratory or clinical evidence of hepatic decompensation (e.g., ascites, jaundice, variceal bleeding, hepatic encephalopathy), have compensated cirrhosis and develop evidence of portal hypertension (e.g., ascites, gastroesophageal varices, persistent thrombocytopenia), experience clinically significant hepatic adverse reactions, or develop complete biliary obstruction. If severe intercurrent illness occurs, interrupt treatment with OCALIVA and monitor the patients liver function. After resolution of the intercurrent illness, consider the potential risks and benefits of restarting OCALIVA treatment. Severe Pruritus Severe pruritus was reported in 23% of patients in the OCALIVA 10 mg arm, 19% of patients in the OCALIVA titration arm, and 7% of patients in the placebo arm in a 12-month double-blind randomized controlled clinical trial of 216 patients. Severe pruritus was defined as intense or widespread itching, interfering with activities of daily living, or causing severe sleep disturbance, or intolerable discomfort, and typically requiring medical interventions. Consider clinical evaluation of patients with new onset or worsening severe pruritus. Management strategies include the addition of bile acid binding resins or antihistamines, OCALIVA dosage reduction, and/or temporary interruption of OCALIVA dosing. Reduction in HDL-C Patients with PBC generally exhibit hyperlipidemia characterized by a significant elevation in total cholesterol primarily due to increased levels of high-density lipoprotein-cholesterol (HDL-C). Dose-dependent reductions from baseline in mean HDL-C levels were observed at 2 weeks in OCALIVA-treated patients, 20% and 9% in the 10 mg and titration arms, respectively, compared to 2% in the placebo arm. Monitor patients for changes in serum lipid levels during treatment. For patients who do not respond to OCALIVA after 1 year at the highest recommended dosage that can be tolerated (maximum of 10 mg once daily), and who experience a reduction in HDL-C, weigh the potential risks against the benefits of continuing treatment. Adverse Reactions The most common adverse reactions (5%) are: pruritus, fatigue, abdominal pain and discomfort, rash, oropharyngeal pain, dizziness, constipation, arthralgia, thyroid function abnormality, and eczema. Drug Interactions Bile Acid Binding Resins Bile acid binding resins such as cholestyramine, colestipol, or colesevelam adsorb and reduce bile acid absorption and may reduce the absorption, systemic exposure, and efficacy of OCALIVA. If taking a bile acid binding resin, take OCALIVA at least 4 hours before or 4 hours after taking the bile acid binding resin, or at as great an interval as possible. Bile acid binding resins such as cholestyramine, colestipol, or colesevelam adsorb and reduce bile acid absorption and may reduce the absorption, systemic exposure, and efficacy of OCALIVA. If taking a bile acid binding resin, take OCALIVA at least 4 hours before or 4 hours after taking the bile acid binding resin, or at as great an interval as possible. Warfarin The International Normalized Ratio (INR) decreased following coadministration of warfarin and OCALIVA. Monitor INR and adjust the dose of warfarin, as needed, to maintain the target INR range when co-administering OCALIVA and warfarin. The International Normalized Ratio (INR) decreased following coadministration of warfarin and OCALIVA. Monitor INR and adjust the dose of warfarin, as needed, to maintain the target INR range when co-administering OCALIVA and warfarin. CYP1A2 Substrates with Narrow Therapeutic Index Obeticholic acid may increase the exposure to concomitant drugs that are CYP1A2 substrates. Therapeutic monitoring of CYP1A2 substrates with a narrow therapeutic index (e.g., theophylline and tizanidine) is recommended when co-administered with OCALIVA. Obeticholic acid may increase the exposure to concomitant drugs that are CYP1A2 substrates. Therapeutic monitoring of CYP1A2 substrates with a narrow therapeutic index (e.g., theophylline and tizanidine) is recommended when co-administered with OCALIVA. Inhibitors of Bile Salt Efflux Pump Avoid concomitant use of inhibitors of the bile salt efflux pump (BSEP) such as cyclosporine. Concomitant medications that inhibit canalicular membrane bile acid transporters such as the BSEP may exacerbate accumulation of conjugated bile salts including taurine conjugate of obeticholic acid in the liver and result in clinical symptoms. If concomitant use is deemed necessary, monitor serum transaminases and bilirubin. Please click here for Full Prescribing Information, including Boxed WARNING. To report SUSPECTED ADVERSE REACTIONS, contact Intercept Pharmaceuticals, Inc. at 1-844-782-ICPT or FDA at 1-800-FDA-1088 or www.fda.gov/medwatch. About Intercept Intercept is a biopharmaceutical company and a wholly owned subsidiary of Alfasigma S.p.A. focused on the development and commercialization of novel therapeutics to treat rare and serious liver diseases, including primary biliary cholangitis (PBC) and severe alcohol-associated hepatitis (sAH). Intercept owns the commercial rights to Ocaliva in the U.S. market. For more information, please visit www.interceptpharma.com or connect with the Company on LinkedIn, Threads, and X (formerly Twitter). Contact For more information about Intercept, please contact: For media: media@interceptpharma.com Maggie Angst covers homelessness, addiction and mental health for the San Francisco Chronicle's city hall team. Before joining the Chronicle in late 2023, she reported on California state politics for the Sacramento Bee. Maggie previously wrote for the Mercury News and East Bay Times, where she covered San Jose City Hall, reported from the front lines of California wildfires and exposed systemic deficiencies within an East Bay child welfare agency. She was awarded first place in local government reporting from the California News Publishers Association in 2021. Maggie was born and raised outside of Chicago and earned a bachelors degree in journalism from the University of Missouri. NEW YORK, Sept. 13, 2024 (GLOBE NEWSWIRE) -- Why: Rosen Law Firm, a global investor rights law firm, continues to investigate potential securities claims on behalf of shareholders of Customers Bancorp, Inc. (NYSE: CUBI) resulting from allegations that Customers Bancorp may have issued materially misleading business information to the investing public. So what: If you purchased Customers Bancorp securities you may be entitled to compensation without payment of any out of pocket fees or costs through a contingency fee arrangement. The Rosen Law Firm is preparing a class action seeking recovery of investor losses. What to do next: To join the prospective class action, go to https://rosenlegal.com/submit-form/?case_id=28067 or call Phillip Kim, Esq. toll-free at 866-767-3653 or email case@rosenlegal.com for information on the class action. What is this about: On August 8, 2024, during market hours, the Federal Reserve Board of Governors issued an announcement entitled Federal Reserve Board issues enforcement action with Customers Bancorp, Inc. and Customers Bank. Attached to the announcement was a written agreement between the Federal Reserve Bank of Philadelphia, Customers Bancorp, Inc., and Customers Bank. The agreement stated the most recent examinations and inspection of [Customers Bancorp and Customers Bank] conducted by the Federal Reserve Bank of Philadelphia [. . .] identified significant deficiencies related to the Banks risk management practices and compliance with the applicable laws, rules, and regulations relating to anti-money laundering (AML), including the Bank Secrecy Act [. . .], including the rules and regulations issued thereunder by the U.S. Department of the Treasury [. . .], and the AML requirements of Regulation H of the Board of Governors [of the Federal Reserve System] [. . .]; and the regulations issued by the Office of Foreign Assets Control of the United States Department of the Treasury[.] On this news, Customers Bancorps stock fell $7.22 per share, or 13.3%, to close at $47.01 per share on August 8, 2024. Why Rosen Law: We encourage investors to select qualified counsel with a track record of success in leadership roles. Often, firms issuing notices do not have comparable experience, resources, or any meaningful peer recognition. Many of these firms do not actually litigate securities class actions. Be wise in selecting counsel. The Rosen Law Firm represents investors throughout the globe, concentrating its practice in securities class actions and shareholder derivative litigation. Rosen Law Firm has achieved the largest ever securities class action settlement against a Chinese Company. Rosen Law Firm was Ranked No. 1 by ISS Securities Class Action Services for number of securities class action settlements in 2017. The firm has been ranked in the top 4 each year since 2013 and has recovered hundreds of millions of dollars for investors. In 2019 alone the firm secured over $438 million for investors. In 2020, founding partner Laurence Rosen was named by law360 as a Titan of Plaintiffs Bar. Many of the firms attorneys have been recognized by Lawdragon and Super Lawyers. Follow us for updates on LinkedIn: https://www.linkedin.com/company/the-rosen-law-firm, on Twitter: https://twitter.com/rosen_firm or on Facebook: https://www.facebook.com/rosenlawfirm/. Attorney Advertising. Prior results do not guarantee a similar outcome. __________________ Contact Information: Laurence Rosen, Esq. Phillip Kim, Esq. The Rosen Law Firm, P.A. 275 Madison Avenue, 40th Floor New York, NY 10016 Tel: (212) 686-1060 Toll Free: (866) 767-3653 Fax: (212) 202-3827 case@rosenlegal.com www.rosenlegal.com SAN DIEGO, Sept. 13, 2024 (GLOBE NEWSWIRE) -- A class action lawsuit has been filed on behalf of purchasers or acquirers of Methode Electronics, Inc. (NYSE: MEI) (Methode or the Company) securities between June 23, 2022 and March 6, 2024, inclusive (the Class Period), charging the Company and certain of its former senior executives with violations of the federal securities laws (collectively, Defendants). Methode investors have until October 25, 2024 to seek appointment as lead plaintiff of the Methode class action lawsuit. If you purchased or otherwise acquired Methode securities between June 23, 2022 and March 6, 2024, and suffered substantial losses, and you wish to obtain additional information or serve as lead plaintiff in this lawsuit, you may submit your information and contact us here: https://dicellolevitt.com/securities/methode-electronics/ . You can also contact DiCello Levitt attorneys Brian OMara or Hani Farah by calling (888) 287-9005 or at investors@dicellolevitt.com . Those who inquire by e-mail are encouraged to include their mailing address, telephone number, and the number of shares purchased or acquired. No Class Has Been Certified. Until a class is certified, you are not represented by counsel unless you retain one. You may select counsel of your choice. Case Allegations Methode designs, engineers, and manufactures custom-engineered solutions for Original Equipment Manufacturers (OEMs). The Companys products are found in the end markets of transportation, cloud computing infrastructure, construction equipment, consumer appliances, and medical devices. Historically, a contract to produce center consoles in vehicles for General Motors (GM) provided the Company with substantial revenues. For example, in 2016, sales to GM represented approximately 50% of the Companys net sales. However, by 2020, Methode began transitioning away from its reliance on traditional center stack units due to changes in automotive trends. While the change would initially result in lower unit sales, Defendants asserted that lost sales would be offset by higher margins on the more specialized components sold by the Company, as well as other contract awards in the electric vehicle (EV) space. Defendants also told investors the new strategy would benefit the Company because it allowed Methode to diversify away from its dependence on GM. For example, in June 2022 Defendants told investors our business model is not just healthy, but [i]s prospering from the strategic step that we have taken to grow the business. However, unbeknownst to investors, Defendants statements were false and misleading. In truth: (1) the Company had lost highly skilled and experienced employees during the COVID-19 pandemic that were necessary to successfully complete the Companys business transition; (2) Methodes attempts to replace its GM center console production had been plagued by production planning deficiencies, inventory shortages, vendor and supplier problems, and poor execution; (3) the Companys manufacturing systems at a critical production facility suffered from a variety of logistical defects; and (4) the Company had fallen substantially behind on the launch of new EV programs, preventing Methode from timely receiving revenue from new EV program awards. The truth began to be revealed in March 2023, when a series of poor earnings announcements revealed the poor financial state of the Company. In March 2024 investors learned the extent of Methodes business turmoil when the Company reported an $11 million loss from operations, withdrew all prior guidance, and disclosed it was taking drastic measures to reduce expenses, such as selling non-critical assets. These disclosures caused the Companys stock price to decline dramatically. The Companys stock price currently hovers around $10 per share, more than 80% below its Class Period high. Notably, several of the Companys executives departed close to the end or after the Class Period, including Methodes Chief Operating Officer, Chief Financial Officer, and two different Chief Executive Officers, with the second one lasting just three months. About DiCello Levitt At DiCello Levitt, we are dedicated to achieving justice for our clients through class action, business-to-business, public client, whistleblower, personal injury, civil and human rights, and mass tort litigation. Our lawyers are highly respected for their ability to litigate and win cases whether by trial, settlement, or otherwise for people who have suffered harm, global corporations that have sustained significant economic losses, and public clients seeking to protect their citizens rights and interests. Every day, we put our reputations and our capital on the line for our clients. DiCello Levitt has achieved top recognition as Plaintiffs Firm of the Year and Trial Innovation Firm of the Year by the National Law Journal, in addition to its top-tier Chambers and Benchmark ratings. The New York Law Journal also recently recognized DiCello Levitt as a Distinguished Leader in trial innovation. For more information about the Firm, including recent trial victories and case resolutions, please visit www.dicellolevitt.com. Attorney Advertising. Prior results do not guarantee a similar outcome. Media Contact VANCOUVER, British Columbia, Sept. 13, 2024 (GLOBE NEWSWIRE) -- Eco Oro Minerals Corp. (CSE:EOM) (the Company) announced today that Paul Robertson has resigned as Chief Executive Officer of the Company. Eric Tsung, the current Chief Financial Officer of the Company, will assume the role of Chief Executive Officer with immediate effect. Eric will continue in the role of Chief Financial Officer, a position he had held since August 3, 2017. The Company also announced today that Pierre Amariglio has tendered his resignation as member of the Companys board of directors. Company Profile Eco Oro Minerals Corp. is a publicly-traded company and its arbitration against the Republic of Colombia is its core focus. SOURCE Eco Oro Minerals Corp. For further information: Eco Oro Minerals Corp. Tel: +1 604 682 8212, TF: +1 855 682 8212. Post-progression outcomes showed significant and sustained improvement for amivantamab plus chemotherapy versus chemotherapy alone1 BEERSE, BELGIUM , Sept. 14, 2024 (GLOBE NEWSWIRE) -- Janssen-Cilag International NV, a Johnson & Johnson company, today announced updated results from the Phase 3 MARIPOSA-2 study which showed RYBREVANT (amivantamab) combined with chemotherapy led to consistent benefit across post-progression outcomes in adult patients with previously treated non-small cell lung cancer (NSCLC) with epidermal growth factor receptor (EGFR) exon 19 deletions (ex19del) or L858R substitution mutations.1 The data also reveal a favourable trend toward improved overall survival (OS) compared to chemotherapy alone.1 Results were presented at the at the European Society of Medical Oncology (ESMO) 2024 Congress, taking place in Barcelona, Spain from 13-17 September.1 The positive overall survival trend seen in MARIPOSA-2 suggests that amivantamab combined with chemotherapy could potentially change the treatment landscape for a population that has historically faced limited options, said Prof. Sanjay Popat, FRCP, Ph.D., Medical Oncologist at the Royal Marsden Hospital and the Institute of Cancer Research in the United Kingdom, and presenting author.* Building on the strong progression-free survival data previously reported from this study and by helping more patients stay on treatment for longer, we are improving their chances for better outcomes. At the second interim analysis, with a median follow-up of 18.1 months, 50 percent of patients treated with amivantamab plus chemotherapy were still alive at the 18-month landmark, compared to 40 percent of those receiving chemotherapy alone (median OS, 17.7 vs 15.3 months, respectively; hazard ratio [HR], 0.73; [95 percent confidence interval [CI], 0.540.99]; nominal P=0.039**).1 Amivantamab plus chemotherapy showed a significant improvement in treatment discontinuation rates, with nearly five times as many patients remaining on therapy at 18 months (22 percent) compared to chemotherapy (4 percent) (median time-to-treatment discontinuation [TTD], 10.4 vs 4.5 months, respectively) [95 percent CI, 0.330.53]; nominal P<0.0001**.1 Additionally, patients treated with amivantamab plus chemotherapy experienced a 27 percent reduction in the risk of symptomatic progression (median time to symptomatic progression [TTSP], 16.0 vs 11.8 months; HR, 0.73; [95 percent CI, 0.550.96]; nominal P=0.026**).1 The time to subsequent therapy was significantly prolonged with the amivantamab combination compared to chemotherapy (median time to subsequent therapy [TTST], 12.2 vs 6.6 months, respectively; HR, 0.51; [95 percent CI, 0.390.65]; nominal P<0.0001**), which also reduced the risk of second disease progression or death by 36 percent (median progression-free survival 2 [PFS2], 16.0 vs 11.6 months, respectively; HR, 0.64; [95 percent CI, 0.480.85]; nominal P=0.002**).1 Despite the challenge of diverse resistance mechanisms in EGFR-mutant non-small cell lung cancer, the MARIPOSA-2 data demonstrate that combining amivantamab with chemotherapy significantly prolongs progression-free survival after subsequent therapy and shows the potential for improved overall survival compared to chemotherapy alone, said Henar Hevia, Ph.D., Senior Director, EMEA Therapeutic Area Lead, Oncology, Johnson & Johnson Innovative Medicine. These results reinforce our commitment to advancing precision medicine, delivering novel mechanisms of action, and ultimately more durable treatment options to improve outcomes for patients in need of new hope. In the primary analysis of the MARIPOSA-2 study, presented at the European Society for Medical Oncology (ESMO) 2023 Congress, adverse events (AEs) of Grade 3 or higher, mainly due to haematologic toxicities, were reported by 72 percent of patients treated with amivantamab plus chemotherapy, and 48 percent with chemotherapy alone.2 The most common Grade 3 or higher AEs included neutropenia, thrombocytopenia, anaemia, and leukopenia.2 Grade 3 or 4 bleeding events were seen in one percent of patients treated with amivantamab plus chemotherapy, and in no patients with chemotherapy.2 Serious treatment-emergent AEs (TEAEs) were observed in 32 percent of patients treated with amivantamab plus chemotherapy and 20 percent with chemotherapy.2 The most common serious TEAEs were thrombocytopenia, neutropenia, and febrile neutropenia. 2 Infusion-related reactions occured in 58 percent (all grades) of patients on aminvantamab plus chemotherapy.2 Treatment-related AEs leading to death were infrequent in all arms (2 percent vs. 0.4 percent) in the amivantamab plus chemotherapy and chemotherapy alone arms respectively.2 Permanent discontinuation of all study agents in amivantamab plus chemotherapy arm due to adverse reactions occurred in 11 (8 percent) patients.2 We are pleased to see that amivantamab plus chemotherapy continues to show improved survival outcomes after a year and a half of follow-up, providing real benefits to patients with few other options, said Joshua Bauml, M.D., Vice President, Lung Cancer Disease Area Stronghold Leader, Johnson & Johnson Innovative Medicine. These results underscore the potential of this combination regimen to make a meaningful difference for patients, and we anticipate continued improvement as we move toward the final analysis. Amivantamab plus chemotherapy received approval from the European Commision in August 2024 for the treatment of adults with advanced NSCLC with EGFR ex19del or L858R mutations, after failure of prior therapy including an EGFR tyrosine kinase inhibitor (TKI), based upon the MARIPOSA-2 study.3 About MARIPOSA-2 MARIPOSA-2 ( NCT04988295 ), which enrolled 657 patients, is a randomised, open-label Phase 3 study evaluating the efficacy and safety of two combination regimens of amivantamab (with and without lazertinib) and chemotherapy.2 Patients with locally-advanced or metastatic EGFR ex19del or exon 21 L858R substitution NSCLC who had disease progression on or after treatment with osimertinib were randomised to treatment with amivantamab plus chemotherapy, amivantamab plus chemotherapy with lazertinib, or chemotherapy alone.2 The dual primary endpoint was used to compare the progression-free survival (PFS) (using RECIST v1.1 guidelines) as assessed by blinded independent central review (BICR) for each experimental arm to chemotherapy alone.2 Secondary endpoints included objective response as assessed by BICR, overall survival (OS), duration of response (DOR), time to subsequent therapy, PFS2 and intracranial PFS.2 All study participants underwent serial brain imaging to allow for the robust assessment of intracranial endpoints and to assess the CNS activity of amivantamab and platinum doublet chemotherapy with and without lazertinib.2 Because brain metastases can lead to significant burden and poor outcomes for patients, this aspect of the study design provides critical information in an area of high unmet need.4 About Amivantamab Amivantamab is a fully-human EGFR-MET bispecific antibody that acts by targeting tumours with activating and resistance EGFR mutations and MET mutations and amplifications, and by harnessing the immune system.5,6,7,8 The European Commission (EC) has granted marketing authorisation of amivantamab in the following indications:9 In combination with carboplatin and pemetrexed, for the first-line treatment of adult patients with advanced NSCLC with activating EGFR exon 20 insertion mutations As monotherapy, for treatment of adult patients with advanced NSCLC with activating EGFR exon 20 insertion mutations, after failure of platinum-based therapy In combination with carboplatin and pemetrexed, for the treatment of adult patients with advanced NSCLC with EGFR exon 19 deletions or L858R substitution mutations, after failure of prior therapy including an EGFR TKI In February 2024, a Type II extension of indication application was submitted to the European Medicines Agency (EMA) based on the MARIPOSA study, for amivantamab in combination with lazertinib for the first-line treatment of adult patients with advanced NSCLC with common EGFR ex19del or L858R substitution mutations.10 In May 2024, an application for the extension of the amivantamab marketing authorisation was submitted seeking approval for the use of a subcutaneous (SC) formulation of amivantamab in combination with lazertinib for the first-line treatment of adult patients with advanced NSCLC with EGFR ex19del or L858R mutations, and for the use of SC amivantamab monotherapy in adult patients with advanced NSCLC with activating EGFR exon 20 insertion mutations after failure of platinum-based therapy.11 For a full list of adverse events and information on dosage and administration, contraindications and other precautions when using amivantamab please refer to the Summary of Product Characteristics .9 In line with EMA regulations for new medicines, amivantamab is subject to additional monitoring. About Lazertinib In 2018, Janssen Biotech, Inc., entered into a license and collaboration agreement with Yuhan Corporation for the development of lazertinib. Lazertinib is an oral, third-generation, brain-penetrant EGFR TKI that targets both the T790M mutation and activating EGFR mutations while sparing wild-type EGFR.12 An analysis of the efficacy and safety of lazertinib from the Phase 3 study LASER301 was published in The Journal of Clinical Oncology in 2023.12 In December 2023, a marketing authorisation application (MAA) was submitted to the EMA seeking approval of lazertinib, in combination with amivantamab for the first-line treatment of adult patients with advanced NSCLC with common EGFR mutations including exon 19 deletions or L858R substitution mutations.13 About Non-Small Cell Lung Cancer In Europe, it is estimated that 484,306 people were diagnosed with lung cancer in 2022.14 NSCLC accounts for 85 percent of all lung cancer cases.15 Lung cancer is Europes biggest cancer killer, with more deaths than breast cancer and prostate cancer combined.14 The main subtypes of NSCLC are adenocarcinoma, squamous cell carcinoma and large cell carcinoma.15 Among the most common driver mutations in NSCLC are alterations in EGFR, which is a receptor tyrosine kinase controlling cell growth and division.15,16 EGFR mutations are present in 10 to 15 percent of Western patients with NSCLC with adenocarcinoma histology and occur in 40 to 50 percent of Asian patients.17,18,19,20 EGFR ex19del or EGFR L858R mutations are the most common EGFR mutations.21 The five-year survival rate for patients with advanced NSCLC and EGFR mutations treated with EGFR tyrosine kinase inhibitors (TKIs) is less than 20 percent.22 About Johnson & Johnson At Johnson & Johnson, we believe health is everything. Our strength in healthcare innovation empowers us to build a world where complex diseases are prevented, treated, and cured, where treatments are smarter and less invasive, and solutions are personal. Through our expertise in Innovative Medicine and MedTech, we are uniquely positioned to innovate across the full spectrum of healthcare solutions today to deliver the breakthroughs of tomorrow, and profoundly impact health for humanity. Learn more at www.janssen.com/emea . Follow us at www.linkedin.com/company/jnj-innovative-medicine-emea Janssen Research & Development, LLC, Janssen-Cilag, S.A., Janssen Biotech, Inc. and Janssen-Cilag International NV are Johnson & Johnson companies. Cautions Concerning Forward-Looking Statements This press release contains forward-looking statements as defined in the Private Securities Litigation Reform Act of 1995 regarding product development and the potential benefits and treatment impact of amivantamab. The reader is cautioned not to rely on these forward-looking statements. These statements are based on current expectations of future events. If underlying assumptions prove inaccurate or known or unknown risks or uncertainties materialise, actual results could vary materially from the expectations and projections of Janssen Research & Development, LLC, Janssen Biotech, Inc., Janssen-Cilag, S.A. and Janssen-Cilag International NV, and/or Johnson & Johnson. Risks and uncertainties include, but are not limited to: challenges and uncertainties inherent in product research and development, including the uncertainty of clinical success and of obtaining regulatory approvals; uncertainty of commercial success; manufacturing difficulties and delays; competition, including technological advances, new products and patents attained by competitors; challenges to patents; product efficacy or safety concerns resulting in product recalls or regulatory action; changes in behaviour and spending patterns of purchasers of health care products and services; changes to applicable laws and regulations, including global health care reforms; and trends toward health care cost containment. A further list and descriptions of these risks, uncertainties and other factors can be found in Johnson & Johnsons Annual Report on Form 10-K for the fiscal year ended December 31, 2023, including in the sections captioned Cautionary Note Regarding Forward-Looking Statements and Item 1A. Risk Factors, and in Johnson & Johnsons subsequent Quarterly Reports on Form 10-Q and other filings with the Securities and Exchange Commission. Copies of these filings are available online at http://www.sec.gov/ , http://www.jnj.com/ or on request from Johnson & Johnson. None of Janssen Research & Development, LLC, Janssen Biotech, Inc., Janssen-Cilag, S.A. and Janssen-Cilag International NV, nor Johnson & Johnson undertakes to update any forward-looking statement as a result of new information or future events or developments. Janssen-Cilag International NV, Inc. 2024. All rights reserved. ### *Prof. Sanjay Popat has provided consulting, advisory, and speaking services to Johnson & Johnson; he has not been paid for any media work. **P-value is from a log-rank test stratified by osimertinib line of therapy (first-line vs second-line), history of brain metastases (yes or no), and Asian race (yes vs no). OS was evaluated at a 2-sided alpha of 0.0142. RECIST (version 1.1) refers to Response Evaluation Criteria in Solid Tumors, which is a standard way to measure how well solid tumours respond to treatment and is based on whether tumours shrink, stay the same or get bigger. 1 Popat, et al. Overall Survival Among Patients Receiving Amivantamab Plus Chemotherapy vs Chemotherapy in EGFR-mutated, Advanced Non-small Cell Lung Cancer After Disease Progression on Osimertinib (MARIPOSA-2). 2024 European Society for Medical Oncology. September 14, 2024. 2 Passaro A, et al. Amivantamab plus chemotherapy with and without lazertinib in EGFR-mutant advanced NSCLC after disease progression on osimertinib: primary results from the phase III MARIPOSA-2 study. Annals of Oncology 2024;35(1):77-90. 3 Janssen.com/EMEA. European Commission approves RYBREVANT (amivantamab) in combination with chemotherapy for the treatment of adult patients with advanced EGFR-mutated nonsmall cell lung cancer after failure of prior therapy. Available at: https://www.janssen.com/emea/sites/www_janssen_com_emea/files/jj_emea_mariposa-2_ec_press_release_2024.pdf . Accessed September 2024. 4 Fuchs J, et al. Resection of isolated brain metastases in non-small cell lung cancer (NSCLC) patients evaluation of outcome and prognostic factors: A retrospective multicenter study. PLoS ONE 16(6):e0253601. https://doi.org/10.1371/journal.pone.0253601 . 5 Grugan KD, et al. Fc-mediated activity of EGFR x c-Met bispecific antibody JNJ-61186372 enhanced killing of lung cancer cells. MAbs 2017;9(1):114-126. 6 Moores SL, et al. A Novel Bispecific Antibody Targeting EGFR and cMet Is Effective against EGFR Inhibitor-Resistant Lung Tumors. Cancer Res 2016;76(13)(suppl 27216193):3942-3953. 7 Yun J, et al. Antitumor Activity of Amivantamab (JNJ-61186372), an EGFRMET Bispecific Antibody, in Diverse Models of EGFR Exon 20 InsertionDriven NSCLC. Cancer Discov 2020;10(8):1194-1209. 8 Vijayaraghavan S, et al. Amivantamab (JNJ-61186372), an Fc Enhanced EGFR/cMet Bispecific Antibody, Induces Receptor Downmodulation and Antitumor Activity by Monocyte/Macrophage Trogocytosis. Mol Cancer Ther 2020;19(10):2044-2056. 9 European Medicines Agency. Amivantamab Summary of Product Characteristics. August 2024. Available at https://www.ema.europa.eu/en/documents/product-information/rybrevant-epar-product-information_en.pdf . Accessed September 2024. 10 Janssen.com/EMEA. Janssen Submits Type II Extension of Indication Application to the European Medicines Agency Seeking Approval of RYBREVANT (amivantamab), in combination with Lazertinib, for the First-Line Treatment of Patients with EGFR Mutated Non-Small Cell Lung Cancer. https://www.janssen.com/emea/sites/www_janssen_com_emea/files/amivantamab_mariposa_ema_filing_release_2024_2.pdf Accessed: September. 11 Janssen.com/EMEA. Johnson & Johnson submits application to the European Medicines Agency seeking approval of subcutaneous formulation of RYBREVANT (amivantamab) for the treatment of patients with EGFR-mutated non-small cell lung cancer. Available at: https://www.janssen.com/sites/www_janssen_com_emea/files/jj_asco_paloma-3_-_ema_filing_press_release.pdf . Accessed: September 2024. 12 Cho, BC, et al. Lazertinib versus gefitinib as first-line treatment in patients with EGFR-mutated advanced nonsmall-cell lung cancer: Results From LASER301. J Clin Oncol. 2023;41(26):4208-4217. 13 Janssen.com/EMEA. Janssen Submits Marketing Authorisation Application to the European Medicines Agency Seeking Approval of Lazertinib, in combination with RYBREVANT (amivantamab), for the First-Line Treatment of Patients with EGFR-Mutated NonSmall Cell Lung Cancer. Available at: https://www.janssen.com/emea/sites/www_janssen_com_emea/files/lazertinib_ema_filing_press_release_december_2023.pdf . Accessed: September 2024. 14 Global Cancer Observatory. Cancer Today. Available at: https://gco.iarc.who.int/media/globocan/factsheets/populations/908-europe-fact-sheet.pdf . Accessed: September 2024. 15 Zappa C, et al. Non-small cell lung cancer: current treatment and future advances. Transl Lung Cancer Res 2016;5(3):288300. 16 Wee P & Wang Z. Epidermal Growth Factor Receptor Cell Proliferation Signaling Pathways. Cancers 2017;9(12):52. 17 Pennell NA, et al. A phase II trial of adjuvant erlotinib in patients with resected epidermal growth factor receptor-mutant non-small cell lung cancer. J Clin Oncol 2019;37(2):97-104. 18 Burnett H, et al. Epidemiological and clinical burden of EGFR exon 20 insertion in advanced non-small cell lung cancer: a systematic literature review. Abstract presented at: World Conference on Lung Cancer Annual Meeting (Singapore); January 29, 2021. 19 Zhang YL, et al. The prevalence of EGFR mutation in patients with non-small cell lung cancer: a systematic review and meta-analysis. Oncotarget. 2016;7(48):78985-78993. 20 Midha A, et al. EGFR mutation incidence in non-small-cell lung cancer of adenocarcinoma histology: a systematic review and global map by ethnicity. Am J Cancer Res 2015;5(9):2892-2911. 21 American Lung Association. EGFR and Lung Cancer. Available at: https://www.lung.org/lung-health-diseases/lung-disease-lookup/lung-cancer/symptoms-diagnosis/biomarker-testing/egfr . Accessed: September 2024. 22 Lin JJ, et al. Five-Year Survival in EGFR-Mutant Metastatic Lung Adenocarcinoma Treated with EGFR-TKIs. J Thorac Oncol 2016;11(4):556-65. CP-474253 September 2024 FOR EUROPEAN MEDICAL AND PHARMACEUTICAL TRADE MEDIA ONLY New York, United States , Sept. 14, 2024 (GLOBE NEWSWIRE) -- Spherical Coder can provide you with the latest IT services for your company. Our portfolio of services covers the entire technology life cycle, enabling customers to capitalize on the advanced technologies and methods to meet your companys goals. Traditional development to advance Software Development Our company provides resilient and scalable end-to-end development services, purposed to meet your specific business needs. We stay up-to-date on trends in development to ensure the solutions drive efficiency yet still enable growth. The approach involves each phase of the software development lifecycle-from an initial concept through to deployment and ongoing support. Our services ensure a seamless process with heightened collaboration and communication. Whether developing a new application, improving your existing software, or creating complex enterprise solutions, our team develops advanced technology in tandem with the best in the industry to forge quality software. To get more information, please visit on the link: https://sphericalcoder.com/ Web Development We focus on understanding customer profiles to create ready-to-market products. Our development team specializes in building dynamic and interactive websites as well as complex web applications, ensuring that your site is functional, useful, responsive, and highly optimized. Innovative Software Testing and QA Advanced software testing and quality assurance (QA) involve the development of high-quality, high-performance software products. Spherical Coder assists in maintaining the quality of your product by providing thorough software testing and quality assurance services. Our approach comprises both automation and manual testing to identify potential issues before they reach your end users. Furthermore, we undergo functional tests, performance tests, and security tests in the elimination process in order to satisfy the consumer expectations of the software. Use of Cutting-Edge Technologies for Mobile App Development Spherical Coder offers specialized mobile app development services for iOS and Android operating systems. The development-cycle services include design, testing, and deployment while keeping the ultimate user experience intact. Digital Marketing The services Spherical Coder offers are digital marketing, SEO, pay-per-click, social media marketing, and content creation. Spherical Coder designs the strategy to amplify your online presence; attract customers and retain them for sure measurable outcomes. IT Consulting Our IT consulting services enable you to make the right decisions and come up with IT plans that will fit your business plan. This means whether you require help on when to adopt a particular technology, reorganize your IT infrastructure, or how to transform your business through technology, our consultants are always available, 24/7, to assist you. IT Support Spherical Coder has technical support services that involve helpdesk support, monitoring, and diagnosis and resolution of the issue encountered. We have a reliable technical support staff who are ready to address any issue that arises with a view of guaranteeing that the companys IT systems are properly functioning. DevOps Spherical Coder delivers DevOps services, which syndicate development and operations to improve collaboration, automate processes, and speed up delivery. The aim of our company is to permit you to permit continuous integration and continuous delivery, which results in faster and more dependable software releases. UI/UX Design Spherical Coder's UI/UX design amenities are focused on creating visually appealing and user-centered strategies that improve serviceability and drive user gratification. For More Information or Query, Visit @ https://sphericalcoder.com/contact.html Your business must be prepared for the future and our consulting services will assist with this. Using our services in enterprise process, technology, and change, you can start transforming your organization and taking the first steps to make the big ideas a realistic possibility. In engaging with our consultants you will be guided to the next level and provided with expert problem-solving sessions and practical advice. Our industry-specific knowledge, solution-centric approach, and real-world experience will assist you in filling the gap between business unpredictability and technology innovation. How we can help your business: Our solutions allow you to smoothen processes, reduce costs, and increase productivity. We can help you create delightful digital experiences for your customers. Using the latest available technologies gives a business its lead in the industry. Our team of experts will help identify and implement innovative solutions that will enable growth, allowing you to maintain a competitive advantage. About the Company Spherical Coder, powered by Spherical Insights LLP, Spherical Coder offers expert consulting services to guide organizations through this journey. We have expertise in Software Development, Web Development, Mobile Application Development, Testing and Quality Assurance (QA), Application Services, Digital Marketing, IT Consulting, IT Support, DevOps, and User Interface/User Experience Design (UI/UX). Spherical Coder fosters the formation and execution of strategies for effective transformation by collaborating with clients to understand their unique goals and challenges. For More Technical Requirements or queries, Please Contact Us: Phone: +1 303 800 4326 (the U.S.) Phone: +91 90289 24100 (APAC) Email: inquiry@sphericalinsights.com , sales@sphericalinsights.com NEW YORK, Sept. 14, 2024 (GLOBE NEWSWIRE) -- Taiwan Tourism Administration launches its newest brand 3.0 TAIWAN - Waves of Wonder on the most iconic digital billboards at the heart of Times Square. From now to October 10th, the revolutionary brand videos can be seen at One Times Square and the new digital billboards at the intersection of 7th Avenue and 42nd Street. Furthermore, the tourism office is collaborating with one of the city's largest tour bus companies to wrap two double-decker sightseeing buses with the hottest destination imagery from Taiwan. The buses will roam through New Yorks iconic landmarks from now until November 24th. This initiative allows local New Yorkers and international tourists to witness Taiwan's diverse natural beauty, rich history and culture, while experiencing the fresh appeal of Taiwan tourism. Taiwan officially launched its new tourism brand 3.0 in May with the dynamic slogan Taiwan - Waves of Wonder signifying a new era for Taiwan tourism. Its redesigned logo is inspired by fluid wave-lines and in an orange hue symbolizing the sunrise. It signifies Taiwan's incredible mountains, oceans, winding roads and railways. The design concept aims to highlight Taiwan's year-round travel appeal and convey that Every Season is Tourism Season in Taiwan. The unique charm of Taiwan promises to deliver continuous waves of surprises for international travelers. New Yorks marketing campaign integrates the 3.0 brand initiatives and Taiwans rich essence with a clear and concise execution. Given that New York City is the most densely populated city in the U.S. with nearly 8 million residents and over 50 million tourists visiting annually, this promotion is poised to bring Taiwan's trailblazing tourism image to the forefront, capturing the attention of both New Yorkers and international visitors at key popular hotspots. To officially unveil the campaign, Taiwan's Tourism Administration New York Office held an exclusive event on September 12th at Times Square and offered a pre-release glimpse of the campaign to nearly a hundred local media and travel professionals. Ambassador Tom Chih-Chiang Lee of the Taipei Economic and Cultural Office in New York, alongside Jin Juang, Director of the Taiwan Tourism Administration's New York Office, and representatives from China Airlines and EVA Air joined together to kick off the event. The next-gen promotional video took over Times Squares prime digital billboards and played non-stop for 15 minutes, leaving attendees thrilled and impressed by the immersive Taiwan tourism experience. Additionally, Taiwan Tourism Administration is hosting a Taiwan Tourism Brand 3.0 Social Media Giveaway from now to September 30th. For a chance to a round-trip ticket from New York to Taipei - courtesy of China Airlines and EVA Air - and experience Taiwan firsthand, participants can enter by tagging three friends on Taiwan Tourisms North American social media channels (Instagram @taiwantourism.na or Facebook @Tour Taiwan - America .) Additional contest entries are encouraged through snapping and sharing a photo or video of the Taiwan-branded digital video at Times Square or sightseeing buses, and tagging the official accounts. Jin Juang, Director of the Taiwan Tourism Administration's New York Office, emphasized Taiwans abundant natural ecology, diverse cultural heritage, year-round festivals, safe environments, friendly locals and unique culinary offerings, from night market snacks to Michelin-starred restaurants. She also highlighted Taiwan's convenient transportation options which all offer special promotions, including direct flights from New York via EVA Air and China Airlines, the Taiwan High-Speed Rail, Taiwan Railways, and various local sightseeing buses. Free half-day tours are offered to travelers in transit, making it incredibly easy to explore Taiwan. International visitors are warmly invited to discover Taiwan's breathtaking landscapes, delicious cuisine, and rich culture. Ambassador Tom Chih-Chiang Lee of the Taipei Economic and Cultural Office in New York noted the similarities between Taiwan and New York, both known for their vibrant democracies, prosperous economies, and thriving cultural and artistic scenes. He expressed his hope that this Times Square promotion will further boost tourism in Taiwan, allowing New Yorkers and international visitors to discover Taiwans beauty. For more information on Taiwan tourism, visit the official website of the Taiwan Tourism Administration https://eng.taiwan.net.tw . Follow the social channels: Instagram @taiwantourism.na Facebook @Tour Taiwan - America Contact: Mini Kao Taiwan Tourism Administration New York Office ttany@tad.gov.tw 212-867-1632 Photos accompanying this announcement are available at: https://www.globenewswire.com/NewsRoom/AttachmentNg/e937a4c0-0bc1-4a41-ba65-963a7fd97c5b https://www.globenewswire.com/NewsRoom/AttachmentNg/42c3a009-5498-4639-bf2c-b0abeec2f4c3 https://www.globenewswire.com/NewsRoom/AttachmentNg/7971435a-31fc-46bf-a333-c03a703aebbe https://www.globenewswire.com/NewsRoom/AttachmentNg/274ca22a-d52a-4afd-9edd-dd91e1fb0f1d New York, NY, Sept. 14, 2024 (GLOBE NEWSWIRE) -- Momcozy , a global leader in maternal and baby care, is celebrating the Cozy Evolution of Motherhood with recent Brand Day Campaign. The event featured a memorable collaboration with DC Heroes and well-known actress Danielle Panabaker and Caity Lotz. Danielle Panabaker joined the event in person, while Caity Lotz participated virtually, both highlighting the strength and resilience of modern motherhood. The campaigns centerpiece was a vibrant pop-up event at New York Fashion Week (NYFW), which drew fashion icons, key opinion leaders (KOLs), and influencers to celebrate the theme, Cozy Evolution: Together We Grow. This dynamic event, which spanned from September 6th to 11th, reaffirmed Momcozys mission to empower mothers at every stage of their journey, bringing comfort, community, and a sense of belonging to the forefront. Momcozy's Brand Day campaign has captured the attention of U.S. television networks, solidifying its position as a leading brand in maternal care. A recent segment on PIX11 highlighted the company's mission to support and empower mothers throughout their motherhood journey. At the heart of the NYFW Pop-Up Event, the Momcozy Lounge stood as a sanctuary for busy moms in the fashion industry. Located in the heart of SOHO, the Momcozy Lounge was more than a place to relax; it was a multifunctional space designed to meet the needs of moms at NYFW. From dedicated breastfeeding areas to family-friendly lounges, the space showcased Momcozys innovative products and commitment to making motherhood easier. Notable figures, including fashion designer Rebecca Minkoff, offered inspiring reflections on how motherhood has shaped their careers, adding depth to the Cozy Evolution theme. On September 9, 2024, Momcozy also hosted a private event with MAMA Glow, NYFW Moms Night Out: Self-Care, Sips & Sneaks, in the Momcozy Lounge, offering a much-needed space of calm and nourishment amidst the fast-paced NYFW schedule. The event fostered relaxation and connection through interactive workshops, including a mindfulness session by Latham Thomas and an inspiring Q&A with celebrity guests on the art of balancing motherhood with career success. Beyond the Brand Day Campaign: Exciting New Initiatives for Momcozy In addition to the Brand Day Campaign, Momcozy continues to drive brand evolution with several exciting new initiatives. These include the Momcozy Care Program, its first-ever podcast, Momcozy Village Together We Grow, and the Momcozy Membership Program. The Momcozy Care Program: Empowering Mothers Through Ongoing Support Launched on March 12, the Momcozy Care Program (MCP) continues to provide essential resources and unwavering support to mothers worldwide. The program highlights Momcozy's commitment to partnering with influential organizations, institutions, and professionals to foster positive maternity experiences. The Momcozy Care Program is unwavering in its efforts to equip moms with what they need for a fulfilling and empowering motherhood experience. Through strategic alliances with trusted partners like The Baby Academy and Pumpspotting, Momcozy is expanding access to breastfeeding education and maternity care. In collaboration with The Baby Academy, Momcozy offers expectant mothers complimentary, evidence-based breastfeeding classes conducted by Internationally Board Certified Lactation Consultants. This partnership ensures that mothers and their partners receive the knowledge and tools needed to make informed decisions, empowering them in their motherhood journey. Successful Launch of FIRST Podcast Episode On September 9th, Momcozy launched its very first podcast, Momcozy Village Together We Grow, marking a new chapter in its mission to empower mothers. The podcast, hosted by devoted mom and Momcozy team member Lalaina Rabary, brought together maternity experts and inspirational mothers to explore the multifaceted journey of motherhood. This launch opens up a new platform for candid conversations, practical advice, and emotional support tailored specifically for mothers. In each episode, Momcozy Village delves deep into the highs and lows of parenting, offering a safe space for mothers to share their personal experiences, challenges, and victories. By discussing real-life stories, the podcast normalizes the struggles many women face while highlighting the opportunities for personal growth. This emphasis on self-care alongside motherhood underscores Momcozy's belief that nurturing oneself is essential to raising a child. The podcast also addresses the critical need for community among mothers. By fostering these conversations, Momcozy helps mothers feel less isolated and more connected, creating an empowering environment where they can thrive emotionally and mentally. With each episode, listeners gain valuable parenting insights, self-care tips, and the confidence to navigate the complexities of motherhood with greater ease. Empowering Mothers Through the Momcozy Membership Program (MEP) As a centerpiece of its efforts to elevate the motherhood experience, Momcozy unveiled the Momcozy Membership Program (MEP) on September 10th, a dynamic loyalty program designed to empower mothers with ongoing support, exclusive rewards, and a sense of belonging. The MEP reflects Momcozys deep appreciation for the strength and love of its community of mothers. Through the program, members earn CozyCoins with every purchase, which can be redeemed for personalized benefits that cater specifically to both mom and baby. But more than just a rewards system, MEP embodies the brands mission to provide mothers with tangible support and recognition during their motherhood journey. One of the programs key features is Cozy Gifts, a thoughtful way to celebrate mothers with surprises on holidays and birthdays. These gifts, tailored for both mom and child, are a heartfelt reminder of the love and care that form the foundation of motherhood. The Momcozy Membership Program empowers mothers by offering practical rewards, emotional support, and a strong sense of community. It is designed to recognize and celebrate the multifaceted role of motherhood, making sure every mother feels seen, valued, and equipped to flourish both personally and as a parent. Through these initiatives, Momcozy reinforces its commitment to elevating the motherhood experience, ensuring that moms feel supported, empowered, and connected at every stage of their journey. About Momcozy Momcozy is a global mother and baby brand, serving over 3 million mothers in more than 60 countries and regions. Since 2018, weve evolved to meet the unique needs of moms and their families, offering a range of products from pregnancy through early motherhood. As the Cozy Reformer, we always put moms first, delivering innovative solutions, comfort, and support to bring joy and ease to their journeys. TORONTO, Sept. 13, 2024 - Nevada Zinc Corp. ("Nevada Zinc" or the "Company") (TSX-V: NZN) is pleased to announce that Mr. Dan Gosselin has been appointed to Nevada Zinc's Board of Directors, and as a member of the Audit Committee, effective September 13, 2024. Mr. Gosselin has over 35 years of financial service experience and is a tested and proven capital markets senior executive with a broad range of experience domestically and internationally. He is the former President & CEO of the Bank of New York Trust Company of Canada, (a wholly-owned subsidiary of The Bank of New York Mellon Corporation) and a member of the global Corporate Trust team. Previously, he held senior global debt & equity capital markets leadership positions with Wood Gundy, CIBC World Markets, Midland Walwyn, Merrill Lynch and Blackmont Capital. Mr. Gosselin holds a Bachelor of Science degree from the State University of New York at Plattsburgh, Plattsburgh, NY and a Master of Business Administration (MBA) degree from McGill University, Montreal, Quebec. About Nevada Zinc The Company is exploring strategic alternatives for enhancing shareholder value. Additional information about the Company is available on the Company's SEDAR+ profile at www.sedarplus.ca. For further information please contact: Mike Wilson, President & CEO T: (416) 574-9075 Email: wilson.h.mike@gmail.com Don Christie, CFO T: (416) 409-8441 Email: don@nevadazinc.com Caution Regarding Forward-Looking Statements This news release may contain forward-looking statements including but not limited to comments regarding the timing and terms of agreements, regulatory approvals, shareholder approvals, obligations under existing and future agreements, expected share issuances and ownership positions, expected returns and profits from application of unproven chemical processes to the Company's mineral projects, partnerships and joint ventures, potential mineral recovery processes, etc. Forward-looking statements address future events and conditions and therefore, involve inherent risks and uncertainties. Actual results relating to, among other things, completion of proposed transactions, regulatory approvals, expected proceeds from transactions, results of exploration, project development, reclamation and capital costs of the Company's mineral properties, and the Company's financial condition and prospects, could differ materially from those currently anticipated in such statements. These and other factors should be considered carefully and readers should not place undue reliance on the Company's forward-looking statements. The Company does not undertake to update any forward-looking statement that may be made from time to time by the Company or on its behalf, except in accordance with applicable securities laws. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) has reviewed or accepts responsibility for the adequacy or accuracy of this news release. VANCOUVER, Sept. 13, 2024 - Asante Gold Corp. (CSE:ASE | GSE:ASG | FRANKFURT:1A9 | U.S.OTC:ASGOF) ("Asante" or the "Company") announces the filing of its financial statements and management's discussion and analysis ("MD&A") for the three month and six months ended July 31, 2024 ("Q2 2025"). Dave Anthony, President and CEO stated, "We are pleased to report a solid quarter with growth in consolidated adjusted EBITDA. This reflects positive developments at Bibiani and Chirano as we continue to implement business improvement initiatives at both mines, as well as a strong gold price environment. At Bibiani, relocation of the Bibiani-Goaso highway is a breakaway milestone and development of the Russel Starter Pit underlines the potential of the district scale opportunities we have in front of us, that go well beyond current operations. Execution of the Sulphide Project is advanced with planned completion in early 2025 at Bibiani and will lead to 92% gold recovery. At Chirano, the metallurgical and throughput projects are starting to pay off. We look forward to updating the market on our comprehensive non-dilutive finance initiatives to fund our growth initiatives, which are expected to bear fruit in the near term." All dollar figures are in United States dollars unless otherwise indicated. A summary of the financial and operating results for fiscal Q2 2025 are presented in this news release. For a detailed discussion of results for the second quarter please refer to the MD&A filed on SEDAR+ at www.sedarplus.ca and Asante's website at www.asantegold.com. Second Quarter 2025 Summary Financial Results ($000s USD) except as noted Q2 2025 Q2 2024 YTD 2025 YTD 2024 Financial Results Revenue 113,497 101,735 227,808 198,999 Total comprehensive loss1 (20,092) (52,037) (36,128) (98,666) Adjusted EBITDA2 19,844 (6,518) 32,870 (21,425) Operations Results Gold equivalent produced (oz) 46,979 57,625 100,359 108,997 Gold sold (oz) 48,542 52,661 102,226 104,422 Consolidated average gold price realized per ounce2 ($/oz) 2,338 1,934 2,228 1,906 AISC2 (USD) 1,921 2,321 1,897 2,262 Notes: (1) Total comprehensive loss attributable to shareholders of the Company. (2) Non-IFRS measure. For a description of how these measures are calculated and a reconciliation of these measures to the most directly comparable measures specified, defined or determined under IFRS and presented in the Company's financial statements, refer to "Non-IFRS Measures". Asante's revenue for the three months ended July 31, 2024 was $113 million, a 12% increase from $102 million in fiscal Q2 2024. This is attributable to an increase in average gold price realized per ounce of $2,338 compared to $1,934 in fiscal Q2 2024 partially offset by a decrease in ounces sold to 48,542 in fiscal Q2 2025 compared to 52,661 in fiscal Q2 2024. Asante's revenue for the six months ended July 31, 2024 was $228 million, an 14% increase from $199 million for fiscal YTD 2024. Adjusted EBITDA in the three and six months ended July 31, 2024 were $19,844 and $32,870, respectively, compared to negative $6,518 and $21,425, respectively, in the prior year comparable periods. The positive current year Adjusted EBITDA and increase in revenue primarily reflects the increase in gold prices to near all-time highs and a reduction in mining costs per ounce sold during the three and six months ended July 31, 2024. In fiscal Q2 2025, the Company achieved positive adjusted EBITDA for the third quarter in a row. The Company produced 46,979 gold equivalent ounces in fiscal Q2 2025, respectively, compared to 57,625 gold equivalent ounces in fiscal Q2 2024. The decrease in gold production was primarily the result of lower feed grades at both mining sites and lower material movement and recovery at Bibiani. Asante produced 100,359 gold equivalent ounces for fiscal YTD 2025, compared to 108,997 in fiscal YTD 2024. Consolidated AISC decreased by 17.3% in fiscal Q2 2025 compared to fiscal Q2 2024 and by 16.1% in fiscal YTD 2025 compared to fiscal YTD 2024 primarily due to lower mining costs per ounce sold at Bibiani resulting from the reduction in waste mining requirements, and cost efficiencies at Chirano. Bibiani Mine - Summary of Q2 2025 Results Bibiani Gold Mine Q2 2025 Q2 2024 YTD 2025 YTD 2024 Waste mined (kt) 3,215 6,649 5,687 13,411 Ore mined (kt) 327 447 913 1,022 Total material mined (kt) 3,541 7,097 6,600 14,433 Strip ratio (waste:ore) 9.84 14.86 6.23 13.12 Ore processed (kt) 624 501 1,221 1,120 Grade (grams/tonne) 1.24 1.54 1.44 1.50 Gold recovery (%) 63% 67% 64% 69% Gold equivalent produced1 (oz) 16,452 17,351 35,636 37,352 Gold equivalent sold (oz) 16,339 16,698 35,703 36,550 Revenue ($ in thousands) 41,358 31,240 82,667 67,374 Average gold price realized per ounce2 (USD) 2,531 1,871 2,315 1,843 AISC2 (USD) 2,276 3,129 1,992 2,907 Note: (1) Gold equivalent produced reflects gold poured during the period. Variance from gold recovery reflects gold in circuit as reconciled. (2) Non-IFRS measure. For a description of how these measures are calculated and a reconciliation of these measures to the most directly comparable measures specified, defined or determined under IFRS and presented in the Company's financial statements, refer to "Non-IFRS Measures". In fiscal Q2 2025, ore mined decreased 27% compared to fiscal Q2 2024. Fiscal YTD 2025 ore decreased by 11% compared to fiscal YTD 2024 primarily due to fleet availability issues caused by funding constraints. Gold equivalent ounces produced decreased to 16,452 in fiscal Q2 2025 from 17,351 in fiscal Q2 2024 and decreased to 35,636 in fiscal YTD 2025 from 37,352 in fiscal YTD 2024, driven by the lower feed grade of purchased ore and low-grade stockpile draw as well as a higher proportion of sulphide ore being processed without the benefit of a sulphide treatment plant to optimize gold recovery. The decrease in AISC from $3,129 per ounce in fiscal Q2 2024 to $2,276 per ounce in fiscal Q2 2025 and from $2,907 in fiscal YTD 2024 to $1,992 in fiscal YTD 2025 was primarily driven by a significantly lower strip ratio, reducing waste mining and lowering mining costs per ounce of gold. Total material mined decreased by 50% in fiscal Q2 2025 and by 54% in fiscal YTD 2025 compared to the same periods in the prior year, primarily due to lower mining equipment availability resulting from liquidity constraints. Bibiani Outlook Subject to availability of financing, the Company expects production of 85,000 to 95,000 gold equivalent ounces in fiscal 2025 based on successful execution of the following initiatives: Near-term ramp up in mining fleet availability concurrent with funding of the mining contractor Installation of an auxiliary primary crushing facility by fiscal Q3 2025 Development of a starter pit at the South Russell project to supplement ore feed from the main pit by Q3 2025 The Bibiani Goaso highway was relocated in Q2 2025. Asante is now developing working benches and access to oxide at the south end of the main pit The Company has plans to execute the following initiatives beyond fiscal 2025 that it expects will result in increased in production and decreased in costs in future years: Construction and commissioning of the sulphide treatment plant during fiscal 2026 which will increase gold recovery Community relocation and road construction activities Other plant upgrades including installation of a pebble crusher and secondary crusher during fiscal 2026 to achieve throughput increase from 3.0 Mt/y to 4.0 Mt/y Chirano Mine - Summary of Q1 2025 Results Chirano Gold Mine Q2 2025 Q2 2024 YTD 2025 YTD 2024 Open Pit Mining: Waste mined (kt) 2,498 2,290 5,232 5,066 Ore mined (kt) 561 409 1,173 1,230 Total material mined (kt) 3,059 2,699 6,406 6,296 Strip ratio (waste:ore) 4.46 5.60 4.46 4.12 Underground Mining: Waste mined (kt) 194 210 404 411 Ore mined (kt) 482 399 942 768 Total material mined (kt) 676 610 1,346 1,179 Ore processed (kt) 908,060 855,291 1,748,498 1,676,309 Grade (grams/tonne) 1.29 1.57 1.37 1.52 Gold recovery (%) 86% 85% 86% 87% Gold equivalent produced (oz)1 30,527 40,274 64,723 71,645 Gold equivalent sold (oz) 32,203 35,913 66,523 67,872 Revenue ($ in thousands) 72,139 70,495 145,141 131,625 Average gold price realized per ounce2 (USD) 2,240 1,963 2,182 1,939 AISC2 (USD) 1,740 1,946 1,846 1,915 Notes: (1) Gold equivalent produced reflects gold poured during the period. Variance from gold recovery reflects gold in circuit as reconciled. (2) Non-IFRS measure. For a description of how these measures are calculated and a reconciliation of these measures to the most directly comparable measures specified, defined or determined under IFRS and presented in the Company's financial statements, refer to "Non-IFRS Measures". Ore mined increased 29% in fiscal Q2 2025 over fiscal Q2 2024 and 6% in fiscal YTD 2025 over fiscal YTD 2024 due to increased mining activity at the Sariehu open pit which was in the stripping stage during fiscal Q2 2024, as well as increased activity at the Suraw and Obra underground mines. Ore grade decreased by of 18% in fiscal Q2 2025 over fiscal Q2 2024 and 9.6% in fiscal YTD 2025 over fiscal YTD 2024 resulting from lower than expected grade mined from Suraw and Obra. The lower grade resulted in a reduction of gold equivalent ounces produced to 30,527 in fiscal Q2 2025 from 40,274 in fiscal Q2 2024 and 64,723 in fiscal YTD 2025 compared to 71,645 in fiscal YTD 2024. The decrease in AISC to $1,740 per ounce in fiscal Q2 2025 and $1,846 in fiscal YTD 2025 from $1,946 per ounce in fiscal Q2 2024 and $1,915 in fiscal YTD 2024 was primarily driven by lower gold production costs, including reduced consumable and maintenance expenses as well as obsolete materials and supplies inventory write-offs, partially offset by higher sustaining capital expenditures in the current periods compared. Chirano Outlook Subject to the availability of financing, the Company expects production of 150,000 to 165,000 gold equivalent ounces in fiscal 2025. Near-term initiatives include: Execution of plant projects to improve performance and increase the annual mine production rate to 4Mt/annum, including CIL agitators upgrade, tertiary crusher upgrade, carbon safety screens, mill discharge pumps upgrade, gold room electrowinning cells and rectifiers upgrade Tertiary crusher upgrade, scheduled for completion in fiscal Q3 2025 Underground development of the Obra (wide orebody) and Suraw underground mines to ensure consistent ore delivery Underground development of the Akwaaba, Tano and Akoti mines to supplement flexibility in underground ore delivery Ongoing underground exploration projects at the Suraw, Obra and open pit mine life extension projects at the Sariehu/Mamnao area are progressing as planned 3D litho-structural modelling at the Obra mine is ongoing to support mine life extension Qualified Person Statement The scientific and technical information contained in this news release has been reviewed and approved by David Anthony, P.Eng., Mining and Mineral Processing, President and CEO of Asante, who is a "qualified person" under NI 43-101. Non-IFRS Measures This news release includes certain terms or performance measures commonly used in the mining industry that are not defined under International Financial Reporting Standards ("IFRS"), including "all-in sustaining costs" (or "AISC"), average gold price realized, adjusted EBITDA and working capital. Non-IFRS measures do not have any standardized meaning prescribed under IFRS, and therefore they may not be comparable to similar measures employed by other companies. The data presented is intended to provide additional information and should not be considered in isolation or as a substitute for measures of performance prepared in accordance with IFRS and should be read in conjunction with Asante's consolidated financial statements. Readers should refer to Asante's Management Discussion and Analysis under the heading "Non-IFRS Measures" for a more detailed discussion of how Asante calculates certain of such measures and a reconciliation of certain measures to IFRS terms. About Asante Gold Corporation Asante is a gold exploration, development and operating company with a high-quality portfolio of projects and mines in Ghana. Asante is currently operating the Bibiani and Chirano Gold Mines and continues with detailed technical studies at its Kubi Gold Project. All mines and exploration projects are located on the prolific Bibiani and Ashanti Gold Belts. Asante has an experienced and skilled team of mine finders, builders and operators, with extensive experience in Ghana. The Company is listed on the Canadian Securities Exchange, the Ghana Stock Exchange and the Frankfurt Stock Exchange. Asante is also exploring its Keyhole, Fahiakoba and Betenase projects for new discoveries, all adjoining or along strike of major gold mines near the centre of Ghana's Golden Triangle. Additional information is available on the Company's website at www.asantegold.com. About the Bibiani Gold Mine Bibiani is an operating open pit gold mine situated in the Western North Region of Ghana, with previous gold production of more than 4.5 million ounces. It is fully permitted with available mining and processing infrastructure on-site consisting of a refurbished 3 million tonne per annum process plant and existing mining infrastructure. Asante commenced mining at Bibiani in late February 2022 with the first gold pour announced on July 7, 2022. Commercial production was announced November 10, 2022. For additional information relating to the mineral resource and mineral reserve estimates for the Bibiani Gold Mine, please refer to the 2024 Bibiani Technical Report filed on the Company's SEDAR+ profile (www.sedarplus.ca). About the Chirano Gold Mine Chirano is an operating open pit and underground mine located in the Western Region of Ghana, immediately south of the Company's Bibiani Gold Mine. Chirano was first explored and developed in 1996 and began production in October 2005. The mine comprises the Akwaaba, Suraw, Akoti South, Akoti North, Akoti Extended, Paboase, Tano, Obra South, Obra, Sariehu and Mamnao open pits and the Akwaaba and Paboase underground mines. For additional information relating to the mineral resource and mineral reserve estimates for the Chirano Gold Mine, please refer to the 2024 Chirano Technical Report filed on the Company's SEDAR+ profile (www.sedarplus.ca). For further information please contact: Dave Anthony, President & CEO Frederick Attakumah, Executive Vice President and Country Director info@asantegold.com +1 604 661 9400 or +233 303 972 147 Cautionary Statement on Forward-Looking Statements Certain statements in this news release constitute forward-looking statements, including but not limited to, production and AISC forecasts for the Bibiani and Chirano Gold Mines, estimated mineral resources, reserves, exploration results and potential, development programs and increases in mine-life, starter pit development and potential synergies between Chirano and Bibiani. Forward-looking statements involve risks, uncertainties and other factors that could cause actual results, performance, prospects, and opportunities to differ materially from those expressed or implied by such forward-looking statements. Factors that could cause actual results to differ materially from these forward-looking statements include, but are not limited to, variations in the nature, quality and quantity of any mineral deposits that may be located, the Company's inability to obtain any necessary permits, consents or authorizations required for its planned activities, the Company's inability to raise the necessary capital or to be fully able to implement its business strategies, and the price of gold. The reader is referred to the Company's public disclosure record which is available on SEDAR+ (www.sedarplus.ca). Although the Company believes that the assumptions and factors used in preparing the forward-looking statements are reasonable, undue reliance should not be placed on these statements, which only apply as of the date of this news release, and no assurance can be given that such events will occur in the disclosed time frames or at all. Except as required by securities laws and the policies of the securities exchanges on which the Company is listed, the Company disclaims any intention or obligation to update or revise any forward-looking statement, whether as a result of new information, future events or otherwise. LEI Number: 529900F9PV1G9S5YD446. Neither the CSE nor its Regulation Services Provider (as that term is defined in the policies of the CSE) accepts responsibility for the adequacy or accuracy of this release. Vancouver, September 13, 2024 - Molten Metals Corp. (the "Company") (CSE: MOLT; FSE: Y44), announces that it has entered into a loan agreement with a director of the Company pursuant to which the Company may borrow up to $100,000. Amounts borrowed will bear interest at a rate of 15% per annum and will be due and payable on or before August 8, 2025. Pursuant to the loan agreement, the Company will issue to the Lender up to 800,000 bonus shares of the Company at a deemed price of $0.025 per share. The Lender is a related party to the Company and the Loan constitutes a 'related party transaction' pursuant to Multi-Lateral Instrument 61-101 - Protection of Minority Security Holders in Special Transactions ("MI 61-101"). The Company is relying on the exemptions from the formal valuation requirements contained in section 5.5(b) of MI 61-101 and the minority shareholder approval requirements contained in section 5.7(1)(b) of MI 61-101. About the Company Molten Metals is developing Antimony & Antimony-Gold mine projects. Antimony is a critical element with many industrial applications, including ammunition and fire retardants. The upcoming potential new usage is in the mass-storage devices i.e. molten-salt batteries. For further information, please refer to the Company's disclosure record on SEDAR (www.sedar.com) or contact the Company by email at brooklyn@moltenmetalscorp.com or by telephone at 778.918.2261. For Additional Information Please Contact Brooklyn Reed Corporate Secretary Molten Metals Corp. 778.918.2261 Email: brooklyn@moltenmetalscorp.com The CSE has not reviewed and does not accept responsibility for the adequacy or accuracy of this release. Copyright (c) 2024 TheNewswire - All rights reserved. Governingto determine whether a new drug works. In business they use focus groups to help with product development. In Hollywood they field test various endings for movies in order to pick the one audiences like best. In the world of public policy? Well, to hear members of the United Kingdoms Behavioural Insights Team (BIT) characterize it, those making laws and policies in the public sector tend to operate on some well-meaning mix of whim, hunch and dice roll, which all too often leads to expensive and ineffective (if not downright harmful) policy decisions.A lot of policy and spending is based on what people think is going to be successful rather than on evidence of what actually is successful, says Owain Service, a member of the founding BIT team, and now managing director. It is a best-guess approach to ginning up programs and policies, a method that, if practiced in other fields, would be considered bizarre or even reckless, noted a BIT white paper. That characterization is hardly a stretch considering the huge amounts of public money expended on programs and policies that very directly impact the lives and the well-being of citizens. Get it wrong, and its not just money down the drain. It could add up to actual human or societal harm.One of the prime BIT examples for why facts and not intuition ought to drive policy hails from the U.S. The much-vaunted Scared Straight program that swept the U.S. in the 1990s involved shepherding at-risk youth into maximum security prisons. There, they would be confronted by inmates who, presumably, would do the scaring while the visiting juveniles would do the straightening out. Scared Straight seemed like a good idea -- let at-risk youth see up close and personal what was in store for them if they continued their wayward ways. Initially the results reported seemed not just good, but great. Programs were reporting success rates as high as 94 percent, which inspired other countries, including the U.K., to adopt Scared Straight-like programs.The problem was that none of the program evaluations included a control group -- a group of kids in similar circumstances with similar backgrounds who didnt go through a Scared Straight program. There was no way to see how they would fare absent the experience. Eventually, a more scientific analysis of seven U.S. Scared Straight programs was conducted. Half of the at-risk youth in the study were left to their own devices and half were put through the program. This led to an alarming discovery: Kids who went through Scared Straight were more likely to offend than kids who skipped it -- or, more precisely, who were spared it. The BIT concluded that the costs associated with the programme (largely related to the increase in reoffending rates) were over 30 times higher than the benefits, meaning that Scared Straight programmes cost the taxpayer a significant amount of money and actively increased crime.It was witnessing such random acts of policymaking that in 2010 inspired a small group of political and social scientists to set up the Behavioural Insights Team. Originally a small skunk works tucked away in the U.K. Treasury Department, the team gained traction under Prime Minister David Cameron, who took office evincing a keen interest in both nonregulatory solutions to policy problems and in spending public money efficiently, Service says. By way of example, he points to a business support program in the U.K. that would give small and medium-sized businesses up to 3,000 to subsidize advice from professionals. But there was no proven link between receiving that money and improving business. We thought, Wouldnt it be better if you could first test the efficacy of some million-pound program or other, rather than just roll it out?The BIT was set up as something of a policy research lab that would scientifically test multiple approaches to a public policy problem on a limited, controlled basis through randomized controlled trials. That is, it would look at multiple ways to skin the cat before writing the final cat-skinning manual. By comparing the results of various approaches -- efforts to boost tax compliance, say, or to move people from welfare to work -- policymakers could use the results of the trials to actually hone in on the most effective practices before full-scale rollout.The various program and policy options that are field tested by the BIT arent pie-in-the-sky surmises, which is where the behavioural piece of the equation comes in. Before settling on what options to test, the BIT takes into account basic human behavior -- what motivates us and what turns us off -- and then develops several approaches to a policy problem based on actual social science and psychology.The approach seems to work. Take, for example, the issue of recruiting organ donors. It can be a touchy topic, suggesting ones own mortality while also conjuring up unsettling images of getting carved up and parceled out by surgeons. Its no wonder, then, that while nine out of 10 people in England profess to support organ donations, fewer than one in three are officially registered as donors. To increase the U.K.s ratio, the BIT decided to play around with the standard recruitment message posted on a high-traffic gov.uk website that encourages people to sign up with the national Organ Donor Register (see Please Help Others, page 18). Seven different messages that varied in approach and tone were tested, and at the end of the trial, one message emerged clearly as the most effective -- so effective, in fact, that the BIT concluded that if the best-performing message were to be used over the whole year, it would lead to approximately 96,000 extra registrations completed.there are nine key steps to a defensible controlled randomized trial, the first and second -- and the two most obvious -- being that there must be at least two policy interventions to compare and that the outcome that the policies theyre meant to influence must be clear. But the randomized factor in the equation is critical, and its not necessarily easy to achieve.In BIT-speak, randomization units can range from individuals (randomly chosen clients) entering the same welfare office but experiencing different interventions, to different groups of clientele or even different institutions like schools or congregate care facilities. The important point is to be sure that the groups or institutions chosen for comparison are operating in circumstances and with clientele similar enough so that researchers can confidently say that any differences in outcomes are due to different policy interventions and not other socioeconomic or cultural exigencies. There are also minimum sampling sizes that ensure legitimacy -- essentially, the more the merrier.As a matter of popular political culture, the BITs approach is known as nudge theory, a strand of behavioral economics based on the notion that the economic decisions that human beings make are just that -- human -- and that by tuning into what motivates and appeals to people we can much better understand why those economic decisions are made. In market economics, of course, nudge theory helps businesses tune into customer motivation. In public policy, nudge theory involves figuring out ways to motivate people to do whats best for themselves, their families, their neighborhoods and society.When the BIT started playing around with ways to improve tax compliance, for example, the group discovered a range of strategies to do that, from the very obvious approach -- make compliance easy -- to the more behaviorally complex. The idea was to key in on the sorts of messages to send to taxpayers that will resonate and improve voluntary compliance. The results can be impressive. If you just tell taxpayers that the majority of folks in their area pay their taxes on time [versus sending out dunning letters], says the BITs Service, that adds 3 percent more people who pay, bringing in millions of pounds. Another randomized controlled trial showed that in pestering citizens to pay various fines, personal text messages were more effective than letters.There has been pushback on using randomized controlled trials to develop policy. Some see it as a nefarious attempt at mind control on the part of government. Nudge to some seems to mean manipulate. Service bridles at the criticism. Were sometimes referred to as the Nudge Team, but were the Behavioural Insights Team because were interested in human behavior, not mind control.The essence of the philosophy, Service adds, is leading people to do the right thing. For those interested in launching BIT-like efforts without engendering immediate ideological resistance, he suggests focusing first on non-headline-grabbing policy areas such as tax collection or organ donation that can be launched through administrative fiat.moved out of Treasury to become a quasi-governmental operation. The move, says Service, was so that the BIT could expand both the countries and the sectors in which it operates, inasmuch as its not just governments that are trying to help people make better decisions for themselves and society. Randomized controlled trials, for instance, are now in widespread international use among NGOs doing antipoverty work.One interesting thing about the whole BIT phenomenon is that the inspiration for it came from the United States, including the work of key academics from heavyweight institutions like the University of Chicago, Yale and Harvard. Applying behavioral insights to policy has caught the interest of the Obama administration, too. The White House has established a behavioral sciences team within its Performance Improvement Council, an interagency group that serves the federal performance community. The team is reportedly working with key regulatory agencies testing out different types of letters to noncompliant parties. The effort has already caught the attention of the likes of Fox News, which quoted a Utah State University professor as saying, Ultimately, nudging ... assumes a small group of people in government know better about choices than the individuals making them.Its no surprise, really, that the White Houses initiative has caught that kind of attention from critics who decry nudge efforts as mind control. But most skeptics here arent so much worried about mind control as they are about a more down-to-earth issue: whether elected officials in the U.S. -- particularly legislators, who havent always been enthusiastic adopters of results-informed policymaking and budget decisions -- can learn to embrace facts and data alongside emotion and politics.Service has a sunny take on the topic, one that will face a tough test on this side of the pond. We find that elected representatives, ministers, senior officials get really interested when were able to show the impact of our work. Rather than saying, Well evaluate a program for you, we are more likely to get traction by saying, Were going to put this great new program in place, but were going to run it as a trial, so that we can see how effective it is. The trial is then your policy. A man arrested in San Francisco has been charged in the fatal fentanyl overdose of a 28-year-old Denver college student. Tom Fox/TNS 2023 A Bay Area man arrested in San Francisco on suspicion of selling fentanyl has been charged with the overdose death of a 28-year-old Denver resident. The resident, Collin Walker, died from a fatal fentanyl overdose on Nov. 19, according to an arrest warrant filing. Detectives determined that the man who had been selling Walker illicit drugs through the mail lived in the Bay Area, and they began setting up a sting operation to purchase fentanyl and Xanax from him, according to the filing. After detectives said they purchased more than 14 grams of fentanyl from the dealer, San Francisco police arrested him on Aug. 28, according to the Denver District Attorneys Office. Jamal Yassin Gamal, 30, was charged this week with distributing fentanyl that led to a death. Advertisement Article continues below this ad The charge stems from a 2022 bill passed in Colorado that issues harsher penalties for drug dealers whose sales are linked to a fentanyl overdose death. Those charges remain rare, in part due to the difficulty of investigating fatal overdoses, according to the Denver Post. Walker, originally a Texas resident, was attending college in Denver when he died, the Denver Post reported. More than 500 people in Denver died of drug overdoses from February 2023 to January 2024, according to the most recent reliable data from the U.S. Centers for Disease Control and Prevention. The vast majority of those deaths involved fentanyl, a hyper-potent opioid that can easily cause overdoses and has driven much of the current drug crisis. Some law enforcement officials in San Francisco and other California counties have expressed openness to charging fentanyl dealers with murder if their sales are connected with an overdose death, though some experts argue the approach fails to reduce drug abuse. Both Denver and San Francisco had some of the highest fatal overdose rates among large U.S. jurisdictions last year, though San Francisco is on track to reduce that rate this year. Mazepin removed from EU sanctions list The road to a potential return to Formula 1 for Nikita Mazepin continues to clear. Nikita Mazepin, Azerbaijan GP 2021 Haas The Russian driver, and his sponsor Uralkali, headed by his father Dmitry, was axed by the American team Haas at the outbreak of the Ukraine conflict. But Mazepin, now 25, has struggled even to travel beyond Russian borders ever since, given that he was placed on the European Union sanctions list due to his father's links to Vladimir Putin. But now, while sanctions against Russian individuals were renewed by the Council of the European Union this week, Mazepin's name was dropped from the list. In the context of the sanctions' review, the Council decided not to renew the listings of two individuals and remove five deceased persons from the list, the Council confirmed in a press release. As well as Mazepin, the other name dropped from the list was Violetta Prigozhina, the mother of former Wagner group leader Yevgeny Prigozhin, who died in August last year. The EU Council confirmed that those still on the sanctions list face travel restrictions, frozen assets, and a ban on making certain payments. (GMM) Lewis Hamilton, Azerbaijan GP 2024 Mercedes Oracle Red Bull Racing Sergio Perez (2nd, 1:43.490): "We are heading in the right direction with the car, we are finding we can put it together a little bit better. It was definitely a good solid day, I think there is a good base there for us to build from. We made a good step from FP1 to FP2 and now we just need to make sure we are able to progress from here, then we can definitely be in the mix for tomorrow. We are heading in the right direction with the car, we are finding we can put it together a little bit better, there is still a long way to go but it is promising what we have seen so far this weekend. I think anything can happen in qualifying, we just need to keep our head down and deliver a great couple of laps and one great lap in Q3!" Max Verstappen (6th, 1:44.029): "We learnt quite a bit and now it is just about tidying up the things that we tried. Overall, I think it has been a good day. We learnt quite a bit and now it is just about tidying up the things that we tried, but I think so far we have been more competitive this weekend so thats positive. There has been a lot of work in the background and it has been positive. For sure FP2 was a bit more difficult for me. I had some issues with my visor, when the sun comes down in between the buildings you have some glaring moments and I struggled with the visibility. The track is also quite slippery and has a lot of 90 degree corners so I just need to get the balance together a bit more, however Im quite confident that we can be more competitive." Mercedes-AMG PETRONAS F1 Team Lewis Hamilton (3rd, 1:43.550): "Today was a really good day. I enjoyed it from the start as the car felt strong from the first laps on track. We made some positive incremental steps on the set-up. There was nothing we had to come back on, and we continued to build throughout. Pace wise, we didn't complete a huge number of laps on our long run in FP2. We will have to do some comparisons tonight therefore to see where our relative speed is. Our usual competitors looked strong, but I think we are there or thereabouts. That is encouraging and was our hope coming into this weekend. We will stay cautious and vigilant though. We will work hard tonight to try and find more gains and see what we can do in qualifying tomorrow." George Russell (9th, 1:44.536): "Today wasn't the smoothest Friday we've ever had. Unfortunately, we lost a little bit of running time with a precautionary power unit change ahead of FP2. Once we were out on track, I wasn't completely happy with the balance of the car. I was struggling to get the tyres in the right window and was lacking a little confidence. I'll work hard overnight to improve on that, step my game up for tomorrow and close the gap. The good news is that Lewis was looking very quick out there. It encourages us that the car is strong, and we can be competitive this weekend. It looks very close between several teams, with Ferrari in particular looking strong. If we can make improvements overnight though we can aim to be in the mix for qualifying and the race on Sunday." Andrew Shovlin: "Lewis had two decent sessions and finished the day happy with the car. His pace also looked sensible although we know the areas we've got to work on. Overall, we're pleased with how his weekend has started. As has been the case in recent races, it looks very tight at the front in terms of single lap, so we'll be working hard overnight to find every bit of lap time. In comparison to Lewis, George had a more challenging day. He wasn't very happy with the balance of the car in FP1, so we spent that session trying to improve it. Shortly after FP1 finished, we spotted an issue with the power unit that led us to take the cautious approach of swapping it out for FP2. That unfortunately cost him 25 minutes of valuable track time and whilst we got to run both tyres, the programme was compromised. We'll be aiming to recover some of the lost ground with George tomorrow in FP3." Scuderia Ferrari Charles Leclerc (1st, 1:43.484): "The pace was there today, but between the incident in FP1 and a problem we had in FP2 with the steering, we didnt complete too many laps. It will be important for us to have a smooth FP3 and get ready to extract the most out of qualifying tomorrow." Carlos Sainz (4th, 1:43.950): "We had the usual challenging Friday here in Baku, with very little grip to begin with and then finding a different and evolving track as the day went by. We were almost four to five seconds slower compared to last year and it was complicated to get a proper read on the car balance. However, we were able to progress from the first to the second session and I'm confident we can keep it up and have a good qualifying tomorrow." McLaren Formula 1 Team Oscar Piastri (5th, 1:43.983): Thats Friday done in Baku. It was a pretty solid day, and the pace seemed good. Theres some polishing and tidying-up to do, but the potential is certainly there, which Im happy with. Hopefully, we can find a little bit more in the car tomorrow and get into a good position in Qualifying. The track is still evolving and getting a lot quicker, so its still a little bit difficult to know exactly what our pace is like, but it seems to be going in the right direction. Lando Norris (17th, 1:45.156): Today was a reasonable day. I found it a little difficult to get comfortable on track, and weve got some work to do on car balance overall, but well work hard tonight to put us in the best place for tomorrow. Theres lots of potential so lets see what we can do. Andrea Stella, Team Principal: Leaving aside the usual red flags and yellow flags, which are pretty typical here in Baku, its been a smooth and productive day of practice running. Weve had a good look at the tyres, made progress on our set-up and weve acquired valuable information. Once again, the top four teams seem to be grouped within a tenth or two, which is going to make for a very interesting weekend. Well work hard tonight to maximise our package and see where that leaves us for Qualifying tomorrow and Sundays race. Aston Martin Aramco F1 Team Lance Stroll (7th, 1:44.093): "Fernando and I ran some floor comparison tests today in FP1. We'll analyse the data from that tonight with the engineers and assess the best direction going forwards. We're pushing hard to extract performance from the AMR24 and we'll see what we can do overnight to set ourselves up for Qualifying tomorrow." Fernando Alonso (12th, 1:44.683): "We've picked up useful data across the two Free Practice sessions which we'll analyse with the engineers tonight. We tried a few different things today so we'll study that overnight and work out the best route to take with car setup ahead of Qualifying." BWT Alpine F1 Team Pierre Gasly (18th, 1:45.391): That was not the smoothest Friday Practice Day from our side. We did not have a clean day with both Free Practice 1 and Free Practice 2 compromised for various reasons. We have a lot of work to do to turn things around in order to be better placed for the rest of the weekend. We will all put the hard work in and aim to find some improvements. Esteban Ocon (19th, 1:45.810): We had some issues in Free Practice 1 and we had to catch up in Free Practice 2 this afternoon, as we missed some valuable running time. As a result, it was a very busy second session for us and we managed to do a lot of work during that hour on track. We have items to be working on this evening and tomorrow to bring more performance to the car. We know what to work on with the engineers now to get ready for, hopefully, a better day tomorrow. Williams Racing Alexander Albon (13th, 1:44.737): "Its been a tricky day. We havent been very happy with the way the car has been behaving so well make some changes and, if we make the right calls, I think well make a good step forward. The track is super sensitive with low grip and the tyres are overheating a lot. Pirelli have set the pressures really high so you cant afford to slide the car or it damages the tyre really quickly so you really need to look after those tyres. Its not fun but its the same for everyone. At the minute we dont quite have top ten pace but there is definitely a lot of things we can look at tonight and then I dont think well be too bad." Franco Colapinto (14th, 1:44.749): "The crash was a rookie mistake as I was still getting to grips with the track, and everything was new. Taking that away, we had a good second session. The heroes of the day are the mechanics. They did an amazing job to repair my car in time for FP2. Im proud of the team for all their efforts. I was really happy to be able to get another session under my belt to help build my confidence up. It was a small mistake but here it can cost you a lot. The car is in a good position and Im feeling more comfortable lap after lap. I need to keep working to understand how the overheating and degradation works. This is still a process as its only my second race and its a street track. Its a tough start but I think were doing a good job." Sven Smeets, Racing Director: "In FP1 both drivers werent very happy with the car. There was no grip and the tyres were never operating in the right window. Franco made a mistake in his second push lap on the Soft, hit the wall and had to retire from the rest of FP1. The team did a brilliant job to get his car ready for FP2 and he was able to run almost the whole session. Also, on Alexs side the team was very busy, changing many things on the car in order to find a better balance and performance. The hard work has paid off as the drivers were happier with the behaviour of the car in FP2 but there is still more work to do tonight, going through all the data and finding performance if we want to be fighting for points on Sunday." Visa Cash App RB Formula One Yuki Tsunoda (11th, 1:44.645): It started pretty tricky, but we made good progress between FP1 and FP2, just not quite enough to be in the top of the midfield. Its difficult on this kind of track to have nice balance everywhere, but we managed to improve session by session, we just need to work on some fine tuning and fix the bouncing I experienced in FP2. We have a couple of ideas already on how we can improve before FP3 tomorrow, to turn it around for a good qualifying. Daniel Ricciardo (16th, 1:45.056): Today was mixed. FP1 went well, it was nice to get back on this circuit, its been a couple of years since I last drove here. I felt like I got into the flow of it relatively well and felt good. We made some changes for FP2 to see if we could improve grip, as its very slippery here. However, we didnt feel the conclusion of this enhanced things. We know what worked this morning and was in a good position, so if we use our FP1 set up and try to get a little bit more out of it, well be fighting towards the front of the mid-field with a good lap in qualifying tomorrow. Like any street circuit, if you can put the lap together you can go very well. Well try our best to make it work. Alan Permane, Racing Director: A decent day for us although still plenty of pace to find, as the car balance was not quite perfect. It meant that neither driver was fully happy. We carried out our floor comparison with Daniel running the latest spec in FP1 and both cars running it in FP2 and we will continue analysing the data this evening. The initial impression is that its a decent step in the right direction. As usual at this street circuit, the track evolves throughout the day and that involves adjusting the balance to follow the improvement. Tonight, we will look carefully at our level of downforce and we might adjust that for FP3 and possibly into qualifying. Stake F1 Team Kick Sauber Valtteri Bottas (15th, 1:44.785): "It was quite difficult out there in FP1. We had quite limited running due to the red flags, and the grip conditions started from a very low level, which caused us some initial struggles. We had to make changes to the setup and downforce levels for the car to start feeling better in the second practice session. While we're heading in the right direction now, we're still lacking overall grip and a bit of balance, which well need to work on overnight. Our focus will be on finding that extra performance and improving the car's behaviour before qualifying tomorrow." Guanyu Zhou (20th, 1:45.947): "Today wasnt an easy day with quite a mix of feelings, but we managed to gather valuable data. The grip was significantly lower than last year, but by the end of FP2, we managed to improve the balance a bit. Theres still plenty of work ahead, but Im sure tomorrow is going to be another tight qualifying, especially as the track keeps evolving. As a team, we'll analyse the data, keep working on it and try to improve every single little detail along the way." MoneyGram Haas F1 Team Nico Hulkenberg (8th, 1:44.475): The day hasnt been bad, not perfect either, but it is a practice day. It was a day spent getting to grips with this very interesting, intense circuit again. It was super dusty; this morning grip conditions were very poor so there was huge track evolution throughout the day, and staying on top of the circuit evolution was key today. I didnt have the cleanest run on the low-fuel softs which is okay, we just need to learn from it, understand our package and understand the tires. Its been a positive day and a good base that we can compete from this weekend. Oliver Bearman (10th, 1:44.547): It was nice to do a full Friday, building step-by-step without having to rush anything. I was quite happy with how the day went and how the day finished-up, and I was confident in the car which is important on a track like this. Tomorrow, my goal is to be proud of my performance; thats going to be done by improving and finishing at a level I know Im capable of, and having a clean performance. Ayao Komatsu, Team Principal: Today has been a really good day. We started FP1 reasonably strong but we had a few tweaks to make, which we did. We accounted for big track evolution, which is normal for here, so the feedback on the engineering side was very good. In terms of both low- and high-fuel performance, we didnt maximize everything, but some good lessons learned and a clear direction of what we need to achieve so it was a decent Friday. Ollie has done a fantastic job again in both sessions, his learning rate is fast so Im very pleased. Executive producer Rachel Maddow answers a question from a moderator after the screening of her documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Attendees of the screening of the documentary "From Russia with Love" hang out outside the Roxie Theater in San Francisco on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Executive producer Rachel Maddow answers a question from a moderator after the screening of her documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Executive producer Rachel Maddow answers a question from a moderator after the screening of her documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Director Billy Corben speaks after the screening of his documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Director Billy Corben speaks after the screening of his documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Executive producer Rachel Maddow answers a question from a moderator after the screening of the documentary film From Russia with Lev at the Roxie Theater in San Francisco on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Executive producer Rachel Maddow answers a question from a moderator after the screening of the documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Attendees of the screening of the documentary "From Russia with Love" hang out outside the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Doug Peckler shows his pin after the screening of the documentary film From Russia with Love at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Spectators listen to director Billy Corben and executive producer Rachel Maddow answers questions from a moderator after the screening of their documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Spectators listen to director Billy Corben and executive producer Rachel Maddow answers questions from a moderator after the screening of their documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Spectators listen to director Billy Corben and executive producer Rachel Maddow answers questions from a moderator after the screening of their documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle A poster for the documentary film From Russia with Love outside the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle The line snaked down 16th Street from the Roxie Theater 45 minutes ahead of a sold-out screening of From Russia with Lev, Billy Corbens documentary about Trumpworld figure Lev Parnas. Inside the moviehouse on this Friday the 13th, the programs moderator, Independent Television Service President and CEO Carrie Lozano, described the state of documentaries in the marketplace as fragile during her opening remarks. But none of that was evident amid the excited hubbub for MSNBC journalist Rachel Maddow, who was in the house to debut the in-depth but breezy documentary about Lev Parnas role in trying to sway the 2020 presidential election. Maddow, a Castro Valley native and executive producer of the film, sat alongside Lozano and Corben for this theatrical showing of the doc, which premieres Friday, Sept. 20, on MSNBC. Advertisement Article continues below this ad A poster for the documentary film From Russia with Love outside the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Im a fan of Rachel Maddow and I really wanted to refresh my memory of what had happened (with Parnas), because I certainly remember watching as it was happening but I had forgotten a lot of things, said Hilary Hart, explaining what motivated her and partner Martine Habib to drive in from La Honda for the event. That collective zeal for Maddow and the political skullduggery about to unreel on the Roxies big screen created an atmosphere of anticipation akin to a rock concert. Corben only underlined that aura, stepping out to introduce the film with the aplomb of a stand-up comic opening for a band and with the one-liners to match: Im more nervous than a cat in Springfield right now, he said, noting that Parnas an exemplar of Florida Man lives in Miami, a sunny place for shady people. Spectators listen to director Billy Corben and executive producer Rachel Maddow answers questions from a moderator after the screening of their documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle The tone of that intro matched the tone of the film and Parnas congenial disposition. A native of Ukraine, he came to the United States as a child, and as an adult he engaged in a variety of scams in both countries. When Donald Trump descended that gold escalator at Trump Tower to announce his presidential candidacy, Parnas then a businessman became smitten and found his way into the inner circle. Advertisement Article continues below this ad More Information From Russia with Lev (not rated) airs on MSNBC at 6 p.m. Friday, Sept. 20. Parnas would eventually go to prison for his role in Ukraines investigation of President Joe Biden and son, Hunter Biden. He worked with his partner Igor Fruman, serving as the intermediaries for Trump and his close adviser Rudy Giuliani, who Parnas spouse Svetlana refers to as her husbands work wife. Svetlana, Pulitzer Prize-winning investigative journalist Michael Sallah and Tony Andre, a debt collection attorney who sued Parnas, provide context. But the films dominant voice belongs to its central subject, now repentant but as ebullient as ever. Director Billy Corben speaks after the screening of his documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Corben, an Edward R. Murrow Award winner for the 2021 docuseries Cocaine Cowboys: The Kings of Miami, was already aware of Parnas when he saw Maddow interview him on her show in January 2020 and realized what a character he was. For her part, Maddow revealed that she could scarcely believe it when she was approached to do the interview. Parnas was on trial; he wasnt supposed to be talking to the press. Advertisement Article continues below this ad It was a very unusual circumstance, Maddow told the crowd during a post-screening discussion. I was offered the interview and I said, I need to talk to his lawyer to make sure its OK. Its not usually the dynamic at work, but that interview revealed both his character and, I believe, his true repentance. Attendees applaud after the screening of the documentary From Russia with Lev by executive producer Rachel Maddow, director Billy Corben and producer Alfred Spellman at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle The big question lingering over the documentary is whether Parnas is a reliable narrator. After all, he has committed frauds of all kinds, from penny stocks to trying to finagle deals with Ukrainian officials, working that quid pro quo Trump has always denied he tried to make happen. (The former president has also denied knowing Parnas, despite the photographic evidence.) But Maddow and Corben said they believe Parnas. He has the receipts. The thing that became very key to the film is that Lev records everything, Maddow said. And I mean (he was) just taking out his phone and showing me texts with major political figures that werent even part of the scandal, and it was about scandalous stuff. Advertisement Article continues below this ad Executive producer Rachel Maddow answers a question from a moderator after the screening of his documentary film From Russia with Lev at the Roxie Theater on Friday, Sept. 13, 2024. Manuel Orbegozo/Special to the Chronicle Corben said that a friend of his calls what he does Trojan Horse storytelling, because he makes an entertaining story out of something serious: Tempt the audience with sugar and then feed em the vegetables. I think that thats important, especially in this day and age, if youre going to do serious topics, do politics, Corben went on. In our short attention world, you want to ensure that youve got a hook there. A hook there thats going to get the audience in and keep them there. Hart, for one, was engaged. I was surprised by the humor, she said. I laughed a lot, but I had seen the Rachel Maddow interview (in 2020) and thought Parnas was very endearing. Advertisement Article continues below this ad These are the best offers from our affiliate partners. We may get a commission from qualifying sales. Nurse Michael Russ II disinfects the arm of a patient on Wednesday before administering a shot at the Kaiser Permanente adult vaccine clinic in San Francisco. It was the first week the new COVID-19 vaccine was available there, and some eager patients came in right away. Stephen Lam/The Chronicle Fall marks the start of the annual respiratory virus season, and health care providers this month began offering this years newly updated COVID vaccines the now annual shots tailored to better match the circulating strain of the virus. Nationally, COVID vaccine uptake for 2023 was lower than what health officials had hoped for, especially in the demographic that benefits most from vaccination adults 65 and older, who account for the majority of COVID hospitalizations and deaths. Only about 35% of those Americans got last falls updated shots, according to the U.S. Centers for Disease Control and Prevention. The rate was even lower among younger people, about 23% in all adults. But the line at one major vaccine clinic in San Francisco, at Kaiser Permanentes French Campus in the Inner Richmond District, suggested the new shots were being welcomed with considerable enthusiasm. Patients came in at a steady trickle Wednesday morning, the first week the new vaccines became available at Kaiser. Advertisement Article continues below this ad Many were older adults. Most have had COVID at least once, and expressed a desire to stay up to date with their immunization so they could blunt a severe infection if they do end up contracting the virus again. Some were eager to get the shot ahead of an international trip or cruise. The Chronicle spoke with several patients at the clinic to talk about their motivation behind getting the COVID vaccine that day. Some were also choosing to get annual flu shots at the same time. Heres what they said. Albert Ferrer, 59, San Francisco I dont want to be knocked out for a week. Albert Ferrer, 59, displays a bandage on his arm after receiving a COVID-19 vaccine at the Kaiser Permanente adult vaccine clinic in San Francisco on Wednesday. Stephen Lam/The Chronicle Ferrer had COVID in 2021, after being vaccinated, and believes he was able to avoid very serious effects because hed gotten the shots. He received an email from Kaiser on Sept. 10 about the arrival of the updated vaccines, and he came the next morning to get one. Advertisement Article continues below this ad Just preventative, he said. Ive kept on track when shots are available. I want to keep on track. I dont want to be knocked out for a week. Gwendolyn Evans, 77, San Francisco I work with people, and I dont want to get infected. Gwendolyn Evans, 77, received a flu shot and updated COVID-19 booster Wednesday from nurse Jailynn De La Cruz. Stephen Lam/The Chronicle Evans, a clinical psychologist, sees clients in person every day and hopes that getting vaccinated will help her avoid being hit with COVID a third time. Her bouts with COVID in 2022 and 2023 were very bad, she said, and damaged her left lung and vocal cords. Advertisement Article continues below this ad I had it twice and it wasnt fun, even with the shots, she said. Im getting my shots because I work with people, and I dont want to get infected. She added that she has some clients whove declined vaccination. She sees them over Zoom. Dr. Brad Hare, 54, San Francisco The ideal time is between now and the end of October. Dr. Brad Hare, 54, chief of infectious diseases at Kaiser San Francisco, got both a COVID-19 vaccine and flu shot Wednesday. Now is the perfect time to get them, he said. We are expecting it could be a bad COVID and flu season. Stephen Lam/The Chronicle Hare, chief of infectious diseases at Kaiser San Francisco, encourages the public each year to get flu and COVID shots which he got Wednesday and this year is no different. Advertisement Article continues below this ad Now is the perfect time to get them, he said. We are expecting it could be a bad COVID and flu season. Hare is urging his parents, who are in their 80s and have medical conditions, to get their shots in the next couple of weeks. His partner has gotten an updated shot already, ahead of a trip to Paris. Kaiser currently has the two mRNA vaccines, by Pfizer and Moderna, and expects soon to have the Novavax vaccine, which uses different technology. The new shots target strains that were circulating last spring and summer, but the current variants are closely related enough that the vaccines should still offer good protection. If you recently had COVID, which many people did during the latest summer surge, youre probably protected for about three months and can get the shot after that, he said. Advertisement Article continues below this ad Robert Vanderlaan, 65, San Francisco It makes the severity less and the recovery quicker. Robert Vanderlaan, 65, smiles after getting a COVID-19 vaccine at the Kaiser Permanente adult vaccine clinic in San Francisco on Wednesday. Stephen Lam/The Chronicle Vanderlaan got both his flu and COVID shots Wednesday because, in short: I dont want to get sick. He contracted COVID in the summer of 2021 and had a low-grade fever for 10 days. It wasnt very good, he said. I had been vaccinated so it wasnt as bad as it couldve been. He acknowledges COVID will be endemic in the community, like the flu. Sen. Dwayne T.D. San Nicolas held a public hearing Friday on Bill 224-37, which seeks to recognize the challenges military families face and proposes waiving the drivers license fees for spouses of active-duty military service members. Military families make tremendous sacrifices in support of their loved ones who serve our country, said San Nicolas in a statement. By waiving this fee and easing some of the financial burdens associated with relocating, we can help make the transition smoother for them when they stay on our island. Not only will Bill 224-37 bring Guam into federal compliance with the Servicemembers Civil Relief Act, it will also allow us the chance to showcase the hospitality of our island and share the Hafa Adai spirit with our stateside military residents. The hearing provided an opportunity for various participants to share their views on the bill and the importance of supporting military families who contribute to the community economy, and defense of Guam, according to a release from San Nicolas office. Among those in favor of the bill was Lt. Cmdr. Joseph Horton from Joint Region Marianas. I want to thank you all for the opportunity to come here and participate in this great democracy and to give you the perspective of the active duty service members and their families of which youre probably well aware there are 22,000 of them that are currently stationed here in Guam. And as you probably know, there will be more of them in the future, said Horton in his testimony. So any efforts by the Guam Legislature to alleviate the burden of moving these families here and making Guam their temporary home is greatly appreciated. Horton also highlighted the fact that Guam is one of two U.S. jurisdictions that has not implemented the the Servicemembers Civil Relief Act federal exemption. The only U.S. jurisdictions that does not provide this exemption to service members is the U.S. Virgin Islands and Guam, he said. San Nicolas expressed gratitude for the testimonies heard, all of which were in support of his proposed legislation. I thank everyone who attended and Im encouraged by the feedback we received, Sen. San Nicolas said. It was great to engage the people on issues affecting military families and the broader community of Guam. I look forward to working with my colleagues and the public on making Guam a more accessible and thriving community for all island residents. Representatives from the Freely Associated States told members of Congress during a recent congressional hearing that health care for veterans in their islands is greatly needed. American Samoa Del. Aumua Amata held an oversight hearing on the implementation of the Compacts of Free Association, COFA, Amendments Act of 2024 and invited members of the Biden administration, as well as ambassadors from Palau, the Federated States of Micronesia and Republic of the Marshall Islands to provide testimony. Hersey Kyota, Palaus ambassador to the U.S., said many veterans in Palau are suffering and need medication and in order to get treatment from the Department of Veterans Affairs, they need to travel to Guam or Hawaii. Most veterans dont have the resources to pay for a ticket to leave Palau because its very expensive, he said. Kyota shared they have tried to reach out to the VA but hadnt received anything back until recently. They received a letter from the VA, addressed to Palau President Surangel Whipps Jr., to meet to discuss more about how to move forward. Veterans in Palau are suffering from PTSD, he said. Jackson Soram, FSMs ambassador to the U.S., said there was a lack of engagement with the VA. He shared that the FSM was concerned with the lack of collaboration thus far with the VA and urged quicker movement. He shared that the remoteness of the FSM also means their veterans face access to benefits. They, too, have to travel to Guam or Hawaii to be able to receive VA benefits. Soram said they look forward to working with the VA on the matter and getting benefits to veterans in the FSM. He also expressed his concerns over their outreach with the Social Security Administration over guidance on the eligibility of FSM citizens for Supplemental Security Income, which has yet to issue anything on the matter. We remain hopeful implementation efforts can move forward quickly, given the impact of these programs for our citizens, Soram said. Marshall Islands Ambassador Charles Paul said veterans in the Marshall Islands should get the benefits theyve earned and deserve. We have veterans in the islands that need the help and the sooner we get there, the better it is for our veterans, he said. The Marshall Islands has received a letter from the VA and they look forward to working with them to see how they can move things along, Paul said. Rep. Bruce Weatherman said he would like to see things being implemented a little bit faster. He said even in American Samoa, veterans are taking expensive flights to Hawaii for health care. It seems like if we could invest that money in better hospitals and health care services closer to where the veterans are, that could benefit everyone, he said. Weatherman said he thinks its taking too long. Rep. Teresa Leger Fernandez said its not just the travel that veterans need to worry about paying. There are other costs that are involved, which is why its so important to make sure that the services are available closer on as close as possible to where the veteran lives, she said. She said it was good to have oversight hearings because it helps get things moving. Amata said citizens from the three countries serve in the U.S. armed forces and enlist at higher rates per capita than any U.S. state. The U.S., she said, must remain committed to following through on obligations promised under the COFA Amendments Act. She said shes gravely concerned to see that there are several key provisions of the COFA Amendments Act that have not been implemented in a timely manner consistent with the clearly expressed intent of Congress and agreements signed by the president. Oversight and accountability will ensure that the COFA agreements continue to serve as the pillar of our relationship with the FAS for the decades to come, signaling to the region that the U.S. remains a reliable and committed partner, Amata said. Dr. Miguel LaPuz, with the U.S. Department of Veteran Affairs, shared that the VA has been working urgently across the government to develop a comprehensive strategy and approach to access health care options in the area. He said they will begin direct coordination with the three countries to conduct a comprehensive review of the current state of hospital and medical care in their islands. This coordination period precedes official negotiations and will provide the VA with critical information about the local veteran populations and needs for care in the region, he said. The VA has sent letters to each of the governments and expects the collaboration to last through the calendar year, LaPuz said. They will then work with the U.S. Department of State and Department of Interior to draft and ultimately finalize an action memorandum. This is required before the VA can officially begin negotiation on international agreements. He said these steps are likely to be completed between January and March 2025 and the VA will then begin formal negotiations on final agreements with each FAS government. All of this work will be done with the ultimate goal of ensuring that the veterans in those nations receive the care that they have earned and deserve, LaPuz said. He said they are exploring collaboration with the U.S. Postal Service to explore solutions for mailing prescriptions or controlled substances to FAS veterans. While we finalize next steps towards implementation, we want eligible veterans who live in the FAS to know they can enroll for VA health care and continue receiving VA health care at VA medical facilities in the U.S., including Guam, LaPuz said. Ginger Cruz, the Democrat candidate for Guam delegate to Congress, questioned why Del. James Moylan was absent from the hearing. Moylan asked for the jobthe least he could do is show up for work, she said in a statement. Moylans office was asked for comment, but no response was given as of Saturday press time. The annual Mangilao Donne Festival got off to an encouraging, albeit waterlogged start and people started to pour into the Night Market grounds, daring to leave umbrellas in the car. The sunshine came just in time as the popular event hit a stride as it went into the meat of its event lineup. A 5.8-inch long chili pepper was crowned champion in The Biggest Chili contest on Friday night, said Jenei Aguon, whose Pit Bull Empire volunteers to run the contests every year. Her team then called for contestants and judges for the Kadun Pika Mannok challenge, another popular food contest, Five people put their palates on the line as judges, and 10 contestants promised to bring the heat. Sample plates of steaming, aromatic stew wafter through the crowd as the food was delivered to the judges, bringing the heat on the way in and very likely on the way out too. Can I have some rice please, said Frank The Crank Camacho, whose knife-sharpening services have taken Guam by storm. Aguon said that despite the name, entries comprised pigs feet, fresh chicken and even tofu. Its a very inclusive, and were very excited about it this year, said Aguon. Sundays competitions are just as hot, with a dessert competition as well as the Best Donne Dinanche event. The activity was spread throughout the Night Market Grounds with food trucks, pika-themed vendors, merchandise and arts and crafts. Mama Lou Stein, who celebrated her 69th birthday on Saturday, says she hopes her nursery plant stall The Jungle Queen, sells out before the festival is over. She dropped prices of her pepper plants down to $25 compared to last years $45 price tag. More people have pepper plants now, so I have to make it affordable, she said. James Lee and Joleen Wolford from LocalStains671 said the crowd has been small because of the rain, but they hope to finish with a flourish on Sunday. Their Hafa nai just chillin shirts are doing well, said Lee, thanks to the custom design and artwork by Wolford. Lilly Gill, her mom Ulrica and Gabi Flores toured the grounds looking for snacks for Lillys grandma, Dolores Nelson Perez. Shes 92, a war survivor and she likes chalakiles, said Ulrica Gill. So we got her chalakiles and some ahu. Long anticipated plans to demolish and rebuild the Guam National Wildlife Refuge facilities at Ritidian could start as early as 2025, federal and military officials said at a media briefing Thursday. The federal government plans to relocate the nature center, brown tree snake facility, and other buildings at the refuge that sit inside the surface danger zone for a multi-purpose machine gun range at Marine Corps Base Camp Blaz. Early 2025 is the target to start the process of building a new facility, moving, and then demolishing the old buildings at the Ritidian refuge, said Al Borja, cultural resource director for Camp Blaz. Before that, the Navy will have to finalize an environmental analysis for the move. Comments are due by Sept. 23. There has already been some controversy about the planned move, with residents and especially previous Ritidian landowning families voicing opposition at a legislative hearing in April. The relocation will be taken in three phases, said Col. Ernest Govea, commanding officer for Camp Blaz. First, the new facility will be built up on the southwest end of the refuge. Then, the U.S. Fish and Wildlife Service will vacate the old buildings at the north side of the property, which lie inside the surface danger zone. Finally, the old buildings will be demolished, Govea said. According to Borja, the total time will take about three years, though some of that will involve demolition. A gravel road in the area, a historic Jeep trail, will be built up and repurposed as an entrance to the site, Borja said. Private landowners at nearby Urunao will not have access cutoff, though there will be some minor traffic delays during construction. Ritidian refuge manager Toni Mizerek said there is no planned down time during the transition to the new facility. The new site has been previously disturbed, and Borja said they do not anticipate to uncover any new archeological finds during construction of the new site. Ancient CHamorus would have inhabited the Ritidian area for thousands of years, and the site is one of the oldest settlements in Micronesia. There is only one area inside the construction zone where ancient remains may be discovered, Borja said, but that property may be avoided completely during construction. There was a very deliberate intention to choose an area that has, thats less pristine or lower quality habitat, Mizerek added, with the intent of minimizing impact on the habitat. Until construction and the move are finished, the use of the multi-purpose machine gun range will be limited to Monday and Tuesday, when the refuge is closed, she said. There are two potential options for the new building a one-story, 7.5-acre facility, or a two-story, 4.5-acre one, Mizerek said. Advantages She added that the new site will come with some advantages. The current facility is within a locked gate on the refuge, and so we often close when there are high surf advisories to maintain safety for the public, she said. But the new site will be higher up on the hill, and allow the nature center to accommodate visitors during high surf times. Even when the range is in use, residents will still be able to use much of the public access beaches that are now open, Mizerek said. There is a gate plan in place, according to Camp Blaz range officer Mario Heikell. Theres gates on the trails to prevent somebody from going into an unsafe area, and then there will also be arranged flags and lights as well to indicate that the Range is hot, Heikell noted. Though the entire live fire training range complex will be active for up to 39 weeks a year, the machine gun range may be active for less time, Heikell said. Environmental statements prepared by the Navy anticipate up to 6.7 million rounds being fired at the range complex each year. An informational meeting about the relocation plans was hosted on Thursday night at the Dededo Community Senior Center, open to the public. That same day, local lawmakers voted to place Resolution 325-37, opposing the reconstruction of the refuge facilities inside the preserve, onto the September session agenda. If passed by senators, the resolution would be sent to the Department of the Interior, Navy, U.S. Fish and Wildlife Services, the House Natural Resources Committee, and other federal entities. It states the refuge should be rebuilt elsewhere to prevent further unnecessary environmental and cultural destruction, and notes the history of the settlement going back 3,500 years, one of the most important archeological sites in the Marianas. We do consider the historic use of the land and ownership of the land in even how we talk to anybody who comes to visit the public, and we dont try to ignore that, Mizerek said, when asked about uneasiness with the relocation. We are here to take care of this property, and thats how we treat this land. We are not considering returning the land right now as part of this process, but much of that is not in my control. Haiti - Incredible : Fake policewoman for 27 years, Woostha Louis arrested Officers from the West-2 Departmental Law Enforcement Unit (UDMO) and the Departmental Judicial Police Service (SDPJ) arrested Woostha Louis (48 years old), alias "Tata", mother of three children, who was pretending to be a police officer, thus ending 27 years of imposture. When she was arrested, she was in uniform with the rank of Divisional Inspector. Equipped with a service weapon and a pair of handcuffs. During her interrogation, she confessed to having used this ploy since 1997 to find money and be able to provide for her family. She confessed to having deceived the vigilance of the highest dignitaries of the PNH. According to the PNH, Woostha Louis used to frequent police stations in Bon Repos, Croix-des-Bouquets, Delmas and Gonaives where she used spaces reserved for staff to process files and offer services for a fee to facilitate transactions related to vehicle insurance, passports, license plates, driving license documents among others for the benefit of citizens. This arrest raises many questions about the weakness of the surveillance and control system within the police institution which allowed a person to usurp the function of a police officer and to act and circulate within the PNH without ever having been worried. S/ HaitiLibre Haiti - FLASH : The deployment of Benin troops in uncertainty Beninese Foreign Minister hegun Adjadi Bakari recalls in an interview with the Miami Herald. "From the beginning... we have made it clear that what Haiti needs is not a police mission. We believe that a military mission is needed in Haiti to fight the gangs." Shegun Adjadi Bakari, who points out that Beton would be the only French-speaking contingent, says he is ready to send up to 2,000 troops immediately. But he clarifies that "[...] you cannot ask the military to be led by police officers... This has never happened anywhere in the world. You need military personnel to lead military personnel : Thats where we are today, we said : We cannot send our military to Haiti to be led by police [...]" Bakari said he is optimistic that Benin will be able to maintain its commitment to Haiti, stressing "There is a diplomatic meeting planned with US Secretary of State Antony Blinken later this month, and Benin plans to raise its concerns again." "Our position is simple [...] We are ready. Our people are trained, they have all the authorizations, but at the same time, the United States, Canada, France and other countries must commit in terms of funding." Beyond a question of command, the problem lies above all in the funding of the Mission, which is insufficient. S/ HaitiLibre Haiti - News : Zapping... The 15-year-old buried alive, exhumed On Friday, September 13, 2024, a delegation composed of Me Pierre Elioth Paul, Commissioner of the Government of Petit-Goave, judicial and police authorities, went to the 10th Communal Section of Les Palmes to investigate the tragic murder of young Stanley Germain (15 years old) wrongly accused of pig theft, attacked with stones by an angry crowd before being buried alivehttps://www.icihaiti.com/en/news-43194-icihaiti-petit-goave-a-15-year-old-boy-buried-alive.html Specialist ZeZe Couronne was tasked with exhuming the body of the victim which is currently in a private morgue in Petit-Goave. The Petit-Goave Public Prosecutor's Office proposes, with the help of the population of the city center, to organize with dignity the funeral of young Stanley Germain. Steps to recover the original copy of the act of independence of Haiti From London where she is on an official visit, Dominique Dupuy, the Minister of Foreign Affairs indicated that steps are underway to recover the original copy of the act of independence of Haiti which is currently in the National Archives of England, stating "This historical document is essential for our heritage and our national identity." New Ambassador of Taiwan On Friday, September 13, 2024, the new Ambassador of Taiwan (Republic of China) Cheng-Hao HU presented the illustrated copy of his credentials to Chancellor Dominique Dupuy and promised to work to strengthen relations between Taiwan and Haiti, two sister nations united by common convictions in favor of freedom, dignity and democracy. Towards the rehabilitation of the Champs de Mars On Friday, September 13, 2024, Prime Minister Garry Conille, accompanied by Raphael Hosty, the Minister of Public Works, visited the Champs de Mars area, with the aim of assessing the areas of intervention and rehabilitation to enable the Government to rebuild the infrastructure vandalized and destroyed by armed groups. Argentina : Caribbean Dramaturgy Week As part of the Caribbean Dramaturgy Week "Tintas Caribena", organized in Buenos Aires from September 10 to 14, 2024, the Embassy of Haiti in Argentina received the visit of Jean d'Amerique, Haitian poet and writer, author of the heartbreaking poetic monologue "La Cathedrale des cochons" accompanied by the Martinican writer Daniely Francisque, author of "Cyclones" and officials from the French Embassy. The Mission took the opportunity to allow them to discover and savor Haitian gastronomy. HL/ HaitiLibre Daymond Gascon/The Chronicle At first glance, Proposition 35 seems to be one of the most straightforward measures on Californias November ballot. The title is simple and noncontroversial: Provides permanent funding for Medi-Cal health care services. Medi-Cal is the states low-income health insurance program that covers 1 in 3 Californians. Furthermore, the states official voter guide includes only an argument in favor of Prop 35. No argument in opposition was submitted. Advertisement Article continues below this ad Yet Prop 35 is arguably the most complex and confusing measure facing Californians this election and is far more contentious than meets the eye. Indeed, Prop 35 has driven a wedge between Gov. Gavin Newsom and some of his most loyal allies: powerful players in the health care industry. Its also fragmented segments of the medical community, which shares the goals of increasing low-income Californians access to high-quality health care and ensuring providers are adequately compensated but disagrees on the best path to get there. The details are incredibly complicated. At the center of the Prop 35 controversy is an obscure policy most Californians have probably never heard of known as the MCO tax. This is a tax the state can choose to levy on managed care organizations health plans that integrate, manage and coordinate patient care, such as Kaiser Permanente. Even though its a tax, most health plans actually like it. First of all, they arent charged very much for patients with private insurance. And while theyre charged much more for patients covered by Medi-Cal, that money ends up flowing back twofold because the federal government provides matching funds for the tax. California has levied the MCO tax since 2009, and the most recent version is expected to generate between $7 billion and $8 billion annually for the state, according to the nonpartisan Legislative Analysts Office. Advertisement Article continues below this ad Prop 35 would make this tax permanent right now, the state has to reauthorize it every few years if approved by the feds. It would also establish new rules for how the state can spend this huge pot of money. First, it would require the state to spend MCO tax revenue on specified Medi-Cal services. This would undo a thoughtful health spending plan recently reached by Newsom and the state Legislature and establish in perpetuity funding winners and losers. Among the winners: primary and specialty care, emergency services, family planning, mental health and prescription drugs. Among the losers: community health workers, private duty nurses and continuous Medi-Cal coverage for eligible kids up to age 5. Second, it blocks the state from using MCO tax revenue to replace existing Medi-Cal funding. These restrictions would inhibit the ability of lawmakers to adjust spending plans based on the states financial outlook, which is especially dangerous given that deficits are projected for the next few years. This initiative hamstrings our ability to have the kind of flexibility thats required at the moment were living in, Newsom said at a recent press conference. Advertisement Article continues below this ad Changing Prop 35s prescriptive spending allocations would require either a three-fourths vote in both houses of the state Legislature a near-impossibility or voter approval. It would be highly inefficient to place an initiative before voters each time its necessary to tweak the funding breakdown and highly expensive to run a campaign to persuade them to approve the change. And the issues are so complicated that they should be decided by informed experts and policymakers, not voters unfamiliar with the seemingly infinite nuances of health care financing. The saga of how Prop 35 came to be on the ballot reveals just how complex the underlying issues are. Last year, after months of negotiations, health care players that normally fight each other tooth and nail over state funding reached a sweeping budget agreement on how to spend MCO tax revenue. They wanted to ensure the money was reinvested in Medi-Cal instead of being devoured by the states general fund. Advertisement Article continues below this ad Powerful industry players, including the California Medical Association, the California Hospital Association and Planned Parenthood, then rushed to qualify the ballot initiative that became Prop 35 to largely cement the MCO tax budget agreement. This infuriated his powerful health care allies. But the deals implosion also launched a new round of negotiations, permitting smaller players left out of prior conversations to secure funding increases. Some of the previously excluded groups, including the California Pan-Ethnic Health Network and the Childrens Partnership, recently came out in opposition to Prop 35, warning that locking up so much MCO tax revenue for a select group of providers could cause cuts to eligibility and services. Furthermore, because Prop 35 would prevent legislators from using MCO tax money to replace existing Medi-Cal funding, it could actually widen the states deficit. The federal government also recently warned California rightfully that it may be exploiting the system and likely wont get as much federal funding in future years. Advertisement Article continues below this ad We agree with Prop 35s supporters that MCO tax revenue should be reinvested whenever possible in the states health care system and that provider rates should be increased to ensure they can see more Medi-Cal patients. About Opinion The editorial positions of The Chronicle, including election recommendations, represent the consensus of the editorial board, consisting of the publisher, the editorial page editor and staff members of the opinion pages. Its judgments are made independent of the news operation, which covers the news without consideration of our editorial positions. But Prop 35 could put the state in an even more precarious financial position than its already in. Budgeting at this level of complexity and uncertainty shouldnt happen at the ballot box it should happen through a fair, transparent and public process before the Legislature. China Coast Guard vessel formation departs for joint drills in Russia Xinhua) 10:01, September 14, 2024 BEIJING, Sept. 13 (Xinhua) -- A formation of China Coast Guard (CCG) vessels departed on Friday morning for Russia to carry out joint drills and patrol tasks between the two countries' coast guards, the CCG said Friday. The Chinese and Russian coast guards will hold joint drills in maritime security threat crackdown and maritime rescue, following which vessel formations of the two sides will jointly patrol waters in the northern Pacific Ocean, according to the CCG. The CCG noted that the drills and patrol tasks are not aimed at any third party and are unrelated to the current international and regional situations. The purpose is to consolidate and deepen the friendly and practical cooperation between the maritime law enforcement forces of the two countries, improve joint patrol organizational and coordination mechanisms, and enhance their ability to jointly respond to various maritime security threats, it added. (Web editor: Zhang Kaiwei, Liang Jun) Donald Trump says hell pardon Silk Road cybercriminal Ross Ulbricht on the first day of his presidency if he is elected. Alex Brandon/Associated Press On Oct. 1, 2013, federal agents descended on the Glen Park branch of the San Francisco Public Library. Their mission: arrest the mastermind of Silk Road, a website that allowed users to anonymously trade Bitcoin for illegal drugs and guns. Ross Ulbricht, the sites then 29-year-old creator, was running his global digital crime empire on free public Wi-Fi to evade detection. He had adopted the alias Dread Pirate Roberts, taken from the movie The Princess Bride, to hide his identity. But investigators eventually found him. Inside the library, two FBI agents faked an argument, creating a distraction that allowed another agent to snatch Ulbrichts laptop before he could lock it. Its contents proved his guilt. In 2015, Ulbricht received a stiff sentence: two life terms plus 40 years, with no possibility of parole. The Supreme Court declined to review his appeal. Advertisement Article continues below this ad But his case has become a popular cause among libertarians and cryptocurrency fanatics. Despite Ulbrichts clear guilt, they celebrate him as a martyr. Both Donald Trump and Robert F. Kennedy Jr. have promised to pardon him if elected president. And if you vote for me, on Day One, I will commute the sentence of Ross Ulbricht, said Trump in May, during a speech at the Libertarian National Convention in May. Trump, who has repeatedly called for drug dealers to receive the death penalty, doesnt really care about the case. Hes just pandering for support from the crypto and libertarian crowds, who truly believe Ulbricht deserves freedom. Interestingly, they generally dont deny that he created and ran a $1.2 billion illegal narcotics operation. They just dont believe he should be punished for it. Ulbrichts supporters minimize his crimes by saying he only created a website. But thats like saying Charles Manson went to prison for founding a hippie commune. It leaves out a key part of the story the part where people died. Advertisement Article continues below this ad To grasp the twisted logic of Ulbrichts supporters, you must examine the extreme libertarian ideology underlying crypto. The basic idea: Since anonymity and encryption allow people to violate the law with greater secrecy online, crimes in cyberspace are none of the governments business. This makes no sense because online crimes have real-world impacts. Authorities estimate that at least six people died after taking drugs they bought on Silk Road. Yet many of Ulbrichts supporters share the weird belief, popular among Bitcoiners, that crimes committed via computer are expressions of liberty that deserve immunity from government authority. In his drug lord heyday, Ulbricht portrayed himself as an anti-government crusader. At its core, Silk Road is a way around regulation from the state, he told a journalist in 2013. Advertisement Article continues below this ad Their time is coming to an end, he wrote of the U.S. government in an online forum. The future is OUR time. Let us take this opportunity theyve given us to gain a foothold from which we can throw that yoke off completely WE are free spirits! Before sentencing Ulbricht, U.S. District Judge Katherine B. Forrest acknowledged that Ulbricht styled himself as a pioneer who created an unprecedented online criminal enterprise to undermine both government and law. It was an enterprise, the stated purpose of which was to flout the law, to be outside of the law, to be beyond the law, Forrest said. In the world that you created over time, democracy that we had set up with our Founding Fathers, that provides for the passage of laws and the enforcement of those laws through our democratic process, did not exist. Forrest also factored in a horrifying fact Ulbrichts supporters prefer to downplay: In court, prosecutors presented strong evidence that Ulbricht had ordered a purported Hells Angels hit squad to carry out five killings. Messages show that Ulbricht sent $650,000 in Bitcoin to pay for the killings and put considerable effort into planning them, though its not clear whether they actually happened. His supporters point out that federal authorities didnt prosecute him on murder-for-hire charges, but thats only because his life sentence made it unnecessary. Ulbricht got one thing right: The Drug War is a failure. But hes a villain, not a hero. His murder-plotting messages make it clear that, in addition to being an anti-government zealot and drug kingpin, he seemed eager to kill. Advertisement Article continues below this ad American prisons are full of people serving time for drugs. A disproportionate number of them are people of color. So its strange, but not surprising, to see right-wingers make a college-educated white man the poster child for the issue. About Opinion Guest opinions in Open Forum and Insight are produced by writers with expertise, personal experience or original insights on a subject of interest to our readers. Their views do not necessarily reflect the opinion of The Chronicle editorial board, which is committed to providing a diversity of ideas to our readership. Read more about our transparency and ethics policies But then Ulbrichts case is not really about drugs or social justice. Its about whether a new class of crypto supercriminals can use cyberspace to subvert government authority and get away with murder. Aries (March 21-April 20) Love: Page of Cups Read on to find out your Tarot reading for the coming week. (Unsplash) Mood: Ace of Swords Career: The High Priestess You may be called upon to do something you have not tried before. You will be instrumental in seeing something important to its logical conclusion. Special treatment is likely to be accorded to some at work due to your professional skills. Your talents are likely to be realised. A pending payment may be received sooner than you expected. Earning the goodwill of people in your circle for your helpful nature is possible. Romance will be most happening this week. Lucky Number: 7 Lucky Colour: Magenta Love: Three of Cups Mood: King of Coins Career: The Sun Overseas travel is on the cards for some. You will work to improve your image on the social front. This is the time to rejoice as wealth comes your way from various sources. Enjoying someones hospitality is very much on the cards. A secret affair seems most thrilling at this point in time. Professionally, you are bound to do well. Students can look forward to a promising beginning. You may accompany someone interesting on a long journey. Lucky Number: 9 Lucky Colour: Purple Love: Two of Swords Mood: The Hanged man Career: Page of Wands Adjustments and compromises in a new relationship may become important for life to sail smoothly. Following the tips of those experienced in professional matters will do you a whale of good. You are likely to remain ahead of the rest in your academic performance. Arrears due to you are likely to be received. You may refine your methods to complete a long pending project. Some of you may find other avenues for earning. Lucky Number: 4 Lucky Colour: Blue Love: Four of Cups Mood: Seven of Coins Career: The Moon You are likely to bounce back with full gusto at work. Tackling an important responsibility on the professional front will do your career good. Save money for the rainy day, as you may need it soon. Young couples are likely to come closer by planning exclusive time together. You will do well to take a stand rather than giving up on the academic front. Property owners are set to get handsome returns from property. Regularity in daily workouts will prove a blessing on the health front. Lucky Number: 3 Lucky Colour: Yellow Love: Seven of Coins Mood: The Tower Career: The Star Your optimism gets a boost as things turn favourable on both personal and professional fronts. You may get motivated to start your preparations in the right earnest on the academic front. Your physical fitness and stamina will help you get selected for a sporting event. You are likely to shift your focus to some important issues. There will be a good reason for you to undertake a long journey. The monetary front is likely to remain as solid as ever. Lucky Number: 2 Lucky Colour: White Love: The Chariot Mood: Two of Swords Career: Six of Cups Someones small gesture is likely to impress you. Your health consciousness may keep you in the best of health. Professional developments will be favourable and bring lots of opportunities. Overseas travel with family is indicated for some and will be lot of fun. You can get lucky in your search for a suitable mate. You will have the resources to get an ancestral house renovated. Money put in investments is likely to fetch great returns. Lucky Number: 1 Lucky Colour: Orange Love: Ace of Wands Mood: The Lovers Career: The World You will need to keep abreast of what is happening at work. A journey may prove tiring but exciting at the same time. A surprise awaits some on the love front. New drivers will get an opportunity to travel long distances. Someone may invite you over to spend a few hours with him or her. Planning something exciting with family cannot be ruled out. You are likely to boost your potential for earning and add considerably to your wealth. Lucky Number: 22 Lucky Colour: Dark Grey Love: Strength Mood: Four of Swords Career: Two of Coins Go with the flow, and you will not go wrong. Slow business may affect profits, so rework the strategy once again. Using your own judgement on the academic front may be your key to success in the future. Financial worries are likely to cease as you go in for safe investments. Offering a helping hand to someone on the social front will boost your image. The chances of undertaking a journey to another city cannot be ruled out. The health front seems satisfactory. Lucky Number: 6 Lucky Colour: Red Love: Eight of Cups Mood: Two of Wands Career: The Fool An outing may give some a whiff of romance. You may find a situation turning favourable. Family is likely to extend their support for whatever you are venturing into. Love is likely to act as a magnet and pull you towards the one you desire. You will need to speed up your pace at work. Your hard work and dedication are likely to bring good results on the academic front. Some of you may join a good firm or some prestigious service. Lucky Number: 7 Lucky Colour: Peach Love: Devil Mood: The Empress Career: The Moon Spending time with the one you like will be most fulfilling. Love and romance are likely to come into your life. You are likely to spend time at a place you enjoy the most. On the professional front, a long-term project may keep you busy, but you will be able to make good progress. Help will be at hand on the academic front whenever you need it. Attending a social function is possible. Your financial position gains strength as new opportunities materialise. Lucky Number: 8 Lucky Colour: Golden Love: Seven of Coins Mood: Two of Swords Career: Judgement A new environment can be expected, but you are likely to have a whale of a time. Total commitment and hard work will help you reach your professional goals. A project completed on the academic front is likely to come in for praise. Achievement of a family youngster will become a matter of pride for all. You will succeed in adding to your already healthy bank balance. Those on a break are likely to resume their exercise routine now. Lucky Number: 11 Lucky Colour: Pink Love: The Fool Mood: King of Wands Career: The Hierophant It is the best time to take stock of what the future holds for you in your current job. Someones support on the academic front may prove a godsend for you. Raising finances for a project will become possible now. Health concerns that had been troubling your mind are set to disappear. Romance will prove most fulfilling and keep you happy. Some of you may get a chance for a vacation to get away from the daily grind. You are likely to take up an exercise regimen. Lucky Number: 18 Lucky Colour: Brown How did you end up working on Lee Seong-boks book Indeterminate Inflorescence, a translation of his poetry lectures that draw inspiration from Seon Buddhism? Author and translator Anton Hur (Courtesy the subject) Lee Seong-bok is one of Koreas most popular and well-respected living poets. He is a practitioner of Seon Buddhism, a form of Mahayana Buddhism practised in Korea. Korean Buddhism is very intellectual, pared down, minimalist. In Korean Buddhism, you have a system called Hwadu. It is a form of enquiry where you contemplate upon a topic or a question, which becomes a kind of meditation. Lee Seong-boks poetry is informed by his Seon Buddhist practice. He looks at how paradox functions in poetry, the traps that language sets up for us, the misdirections it leads us to, and attempts to transcend those misdirections. Would you call this a distrust of language itself? No, see thats the odd thing! He trusts language a lot. In Indeterminate Inflorescence, he goes so far as to say that language is what writes poetry not you! His thought process is like this: your brain doesnt write poetry; your hand does, so try to keep your brain out of your hands business. He says that you can edit or think as much as you want about the poem, but do it only after language has written it. Getting out of the way is the wisest thing to do. Lee Seong-bok is one of Koreas most popular and well-respected living poets. Does this mean that Lee Seong-bok views the poet as a sort of conduit? Yes, exactly! He thinks of himself as a vessel for language. Are you too a practitioner of Seon Buddhism? How did you get drawn to this book? No, I dont have a religion. There is a very dramatic story behind how I got involved with this particular translation. The book is not a huge best seller in Korea. I discovered it at a bookstore. It is a book of aphorisms that have been taken from Lee Seong-boks lectures on poetics. When I explain what the book is about in just a sentence, most people dont get it because it is hard to classify this book or pin it down. I would say that it is a book of poems about writing poetry because the aphorisms are poems in themselves. They were put together by students who attended his creative writing lectures. I loved them so much. It is a very liberating book. I was writing my novel Toward Eternity at the time, and was feeling blocked. Reading Indeterminate Inflorescence freed up my writing. It made me trust language. I realized that, in the act of writing, I didnt need to lean on myself. I could let language take the writing, the narrative, where it needed to go. Thats how Toward Eternity got written. And I wanted to translate the aphorisms because it is my firm belief that the best Korean literature should be translated so that it can travel outside of Korea and benefit a lot more readers. How was your experience of finding a publisher for the aphorisms? The Literature Translation Institute (LTI) of Korea denied translation funding for this book twice. But I got a really encouraging response when I presented a sample before other translators as part of a workshop hosted by the British Centre for Literary Translation. There was an explosion of interest. They said, Wow, this is incredible but its only 20 pages. Do you have more? This whole experience was so affirming. I told myself, My translation is really good. Perhaps LTI Korea is ignorant about what a good translation is. So, I went ahead and submitted my translation on the Sublunary Editions portal. They accepted it overnight. I mean that literally. I submitted, went to bed, and woke up to an acceptance. I loved working with Joshua Rothes at Sublunary Editions. It is a really great independent press. Thanks to tweets from RM (South Korean rapper, songwriter and producer) of BTS (South Korean boy band), who loves Lee Seong-books aphorisms, and the BTS Army (an online community of BTS fans), there was tremendous interest in the book online. It sold a lot of copies before the release, and went into multiple reprints. It also got nominated for the National Book Critics Circle Award. Poor LTI Korea! They dont know how to judge a good translation! The book will be out in the United Kingdom later this year with Allen Lane. In Toward Eternity, your new sci-fi novel, we see artificial intelligence being trained to read poetry. You studied Victorian poetry at graduate school, and were reading Lee Seong-boks aphorisms while writing the novel. How did all these things come together? Toward Eternity is a Frankensteinian book. Whatever the language asked of me in the moment, I gave it. In the book, you get to meet Nomfundo. I do have a friend with that name. I love her, and I have always liked her name so I asked if I could use it for one of my characters. And she said yes! Nomfundo has a lovely daughter named Mali. I asked her, May I use your daughters name as well? She said, Yes, go ahead! These two people I know happen to be South African, so I told myself, I guess the book is now set in South Africa. That, by the way, is the only reason why Toward Eternity is set in South Africa. I just trusted the language to know what it wants. I would be in the moment and analyse only later. To be honest, a lot of the ideas and events in the book were selected by my subconscious. 244pp, 2395; Harpervia This is surprising because the novel has historical details about how the worlds first human-to-human heart transplant operation took place in South Africa, and that South Africa was the first country in the world to constitutionally outlaw discrimination based on sexual orientation. How do you look back at the serendipitous choice of the setting? It doesnt seem random. It seems to have been lurking in your consciousness for a while. (Laughs) These details did not require any research because I have a lot of really good friends who are from South Africa. I havent been there but I have learnt about South Africa from them. Because of the division between North Korea and South Korea, I have been interested in what South Africa has managed to do. I remember the 1990s when, during the apartheid, it seemed to everyone around the world that South Africa was going to descend into chaos and civil war. Thanks to the efforts of a lot of people, including Nelson Mandela, they managed to keep the country intact, give rights to everyone, and have a one-state solution. Thats the dream! We should have had that too but havent because of the powers that be. To see South Africa have this is extremely moving for me as a Korean person. Of course, South Africa has its own problems and not just this utopia vibe. I guess another reason for the setting is that Cape Town is so beautiful. I have seen a lot of pictures. It also has this beautiful collection of micro-climates, so I put in a lot of tree names to get that across. The idea was to portray it is a sort of Eden because the novel explores humanitys fall. Did the theme of immortality, which you explore in the novel, come from your engagement with Seon Buddhism since you were reading Lee Seong-boks aphorisms? The way that I wrote Toward Eternity is exactly how Lee Seong-bok describes the act of writing in Indeterminate Inflorescence. It may be a Seon idea that literature is not created by a person but by language itself; the person who thinks of himself as a tool-wielder becomes the tool for language to do its work. It has been a very generative, very useful idea for me though I am not a practitioner of Seon Buddhism. My father is a practitioner. You had tweeted about your father in 2020 when monks and representatives from the Jogye Order of Korean Buddhism conducted a ritual prostration protest supporting the Anti-discrimination Act that protects queer people, foreigners, and other minorities. You wrote, This is the sect my father belongs to. Their teachings are part of why I grew up in a loving home with a father a cishet male Korean born in 1947, living in Korea who immediately accepted me for who I am, encouraging me to live a life as true to my real self as possible.) How did Seon Buddhism enable your father to accept you? Well, it is difficult to say whether my father responded the way he did because of Seon Buddhism or whether he is a compassionate person and therefore Seon Buddhist practice happened to suit him the best. He was not raised Buddhist. He was raised in some form of evangelical Christianity. I was raised Catholic because my mothers family is all Catholic. I am technically a Catholic but not really! That said, the Jogye Order of Korean Buddhism which I think is the biggest faction of Buddhism in Korea is politically and officially aligned with queer rights. Catholicism in Korea is unique. They are very progressive, and also fairly tolerant with respect to homosexuality and queer rights. While Toward Eternity is being sold as a work of speculative fiction, it is also a love story involving two gay men one Korean, and the other Thai. What was it like for you to craft this narrative? As a young Korean boy, did you get to read stories like that? I think we all end up reading gay stories one way or another. Queer literature is everywhere, even in the classics or especially in the classics! It didnt really feel like I was crafting this story for the sake of representation. It was nothing very deliberate like that. I just had this very abstract idea that if we could replace all of our cancer cells with nanites then we could cure cancer. And if the nanites took over and the entire body transitioned into an android, what would happen? The person who receives this therapy would be functionally immortal. What would happen if you were to become immortal? Would that be a good thing or a bad thing? Would it be a side effect or a feature of this therapy? I determined that it would be a side effect. If I were to receive this therapy, it would mean that I would survive my partner by centuries. That doesnt sound like a great prospect to me. I used that idea. The character in the book who makes the choice to get the therapy does it because it will let him have at least a few extra years with his partner. For him, those extra years are worth it despite the hell he is living through after the partner is gone. Because I was putting myself in the characters shoes, a lot of my own story became part of the book. My husband is Thai. What kind of research went into the book, especially around cancer? Almost none, to be honest, as far as cancer goes. But I had to look up the names of some roads in Cape Town because there is a car chase in the book. I was surprised to find the name Victoria Road, and it became a great fit because Victorian poetry is part of the book. Anton Hur at the festival in Thimphu, Bhutan (Courtesy Drukyuls Literature and Arts Festival) When you ventured into writing fiction, did that decision come from sensing a lack of the kind of stories that you wanted to translate or from an urge to create something from scratch where you wouldnt have to keep referring to someone elses text? Well, the plan was always to write, not translate. I have been a professional translator since I was a little boy. To be more precise, since I was 12, I was translating from English to Korean and Korean to English. When we lived overseas, people paid me to translate and interpret for them, so it became my job. I was good at it, and I liked it. But the goal to write my own books had always been there, so I thought that it would help to know a bit about publishing. I have lived in (South) Korea for 30 years. I said to myself, Well, I dont live in London. I dont live in New York, so how do I get into publishing? I then figured out how to become a literary translator which, I must say, took a lot of effort. Surprisingly, my work became a runaway success. I had no idea that literary translation would bring me to Bhutan, for example. (laughs) Being at the Drukyuls Literature and Arts Festival here in Thimphu is a very random thing to have happened to me. Of course, its a wonderful thing too! So, yes, becoming a literary translator was sort of my way into becoming a writer. But one could also look at it the other way round. I always wanted to write, and that led me into becoming a translator. It is difficult to imagine myself giving up literary translation. Even if I do become a really famous writer, I would still be translating. What were you translating at the age of 12? Everyone needs translation or interpretation. It is an essential skill, and one of the oldest professions in the world. When we lived overseas, we had Korean friends who were not very fluent in English. I used to accompany them to doctors appointments and also look carefully at the bills they received and tell them what was written and explain how to pay them. They needed someone who could translate well into Korean, and I was paid for this. To this day, I do not translate or interpret for free because I am in the habit of getting paid. That sounds like a good habit. You said that you lived overseas. Where was this? I was born in Sweden, and lived there for a year. I have lived in Hong Kong when it was British, and then in Thailand and Ethiopia. But I lived mostly in (South) Korea as a child. We would go to these other countries for brief stints, usually about two or three years. You have travelled and seen a lot of the world. How does it feel to be in Bhutan? I think that they have wisely adopted a policy where they dont want the kind of tourism that is destructive; for example, they dont want residents to be removed in order to bring in more Airbnb units. They want to protect their culture and their ecology. This might sound a bit reductive but I think that Bhutan is the way it is because it is closer to heaven. All the temples are very high up! Actually, the entire country is like a temple in itself. It is a bit isolated. The whole tenor of the country encourages you to value attention and non-material pursuits. The global capitalist world has a lot to learn from Bhutan and Bhutanese culture. When do Indian readers get to meet and listen to you in person? I would really like to visit India but I have to be invited (laughs). I am keeping my calendar open for the Jaipur Literature Festival 2025, and I am willing to go on record. Chintan Girish Modi is a freelance writer, journalist and reviewer. India has raised the basic import tax on crude and refined edible oils by 20 percentage points, the government said on Friday, as the world's biggest edible oil importer tries to help protect farmers reeling from lower oilseed prices New Delhi on Friday imposed a 20% basic customs duty on crude palm oil, crude soyoil and crude sunflower oil from Sept. 14, the notification said. (Representational image/Unsplash) The move could lift edible oil prices and dampen demand and subsequently reduce overseas purchases of palm oil, soyoil and sunflower oil. Also Read: New PM E-DRIVE scheme offers 10,000 subsidy for EV 2-wheelers and 50,000 for EV 3-wheelers After the duty hike announcement, Chicago Board of Trade soyoil extended losses and fell more than 2%. New Delhi on Friday imposed a 20% basic customs duty on crude palm oil, crude soyoil and crude sunflower oil from Sept. 14, the notification said. It will effectively increase the total import duty on the three oils to 27.5% from 5.5% as they are also subject to India's Agriculture Infrastructure and Development Cess and Social Welfare Surcharge. Imports of refined palm oil, refined soyoil and refined sunflower oil will attract 35.75% import duty against the earlier duty of 13.75%. Reuters reported in late August that India was considering an increase in import taxes on vegetable oils to help soybean growers ahead of regional elections due in Maharashtra later this year. Also Read: Sonam Kapoors father-in-law buys this London property for 231.47 crore "After a long time, the government has been attempting to balance the interests of both consumers and farmers," said Sandeep Bajoria, CEO of Sunvin Group, a vegetable oil brokerage. The move has increased the likelihood of farmers receiving the minimum support price set by the government for their soybean and rapeseed harvests, he said. Domestic soybean prices are around 4,600 rupees ($54.84) per 100 kg, lower than the state-fixed support price of 4,892 rupees. India meets more than 70% of its vegetable oil demand through imports. It buys palm oil mainly from Indonesia, Malaysia and Thailand, while it imports soyoil and sunflower oil from Argentina, Brazil, Russia and Ukraine. "India's edible oil imports consist of more than 50% palm oil, so it's obvious that the Indian duty hike is going to have a negative impact on palm oil prices next week," said a New Delhi-based dealer with a global trade house. Also Read: What was the key to Bill Gates' and Microsoft's success? He says it was his focus on Belagavi MP Jagadish Shettar has pledged to extend the Vande Bharat Express service to Belagavi, enhancing connectivity between the region and Bengaluru. Speaking to reporters on Friday, Shettar emphasized his commitment to ensuring that Belagavi benefits from this high-speed rail service, which currently runs between Bengaluru and Hubballi, The Hindu reported. Belagavi MP Jagadish Shettar pushed for the timely completion of the Belagavi-Kittur-Dharwad railway line and an upgrade to Sambra Airport. ALSO READ | Chargesheet filed against former JDS MP Prajwal Revanna in second case relating to rape Shettar revealed that the Vande Bharat Express, which will commence service to Pune on Monday, is initially scheduled to operate three days a week. Prime Minister Narendra Modi is set to inaugurate the train via video link. Shettar expressed his determination to increase the service frequency to daily operations. The Vande Bharat train to Pune will start running from September 16. Prime Minister Narendra Modi will inaugurate it via video link. Initially, it will run three days a week. I will try to get it to run every day, Shettar said, as quoted by the publication. ALSO READ | Bengaluru woman, her lover arrested for killing mother to hide secret relationship: Report In addition to his rail ambitions, Shettar is focused on the development of the Belagavi-Kittur-Dharwad railway line, which he promised to complete on schedule. This project is expected to further boost connectivity and economic growth in the region. Shettar also highlighted ongoing efforts to upgrade Belagavis Sambra Airport. According to him, significant progress is being made with around 14 acres of land already acquired for the airports expansion. Officials have told me that the work is in progress with around 14 acres of land acquired already, the BJP MP said. This upgrade aims to enhance the airports capacity and services, supporting increased passenger traffic and regional development. With these initiatives, Shettar is positioning Belagavi for a substantial boost in infrastructure and connectivity. Police have arrested one person in Punjabs Hoshiarpur on Friday night in connection with the death of a first year M.Tech student of the National Institute of Technology (NIT) in Himachal Pradeshs Hamirpur, allegedly due to drug overdose last year. The accused was also connected with a de-addiction centre at Una in Himachal Pradesh, running a drug trade. The owner of this centre, who hails from Punjab, was arrested in November last year. (HT/Photo) Bhagat Singh Thakur, Hamirpur superintendent of police (SP), said that Kulwinder, the accused, had contacts with drug smugglers across the state. He is also wanted in several other cases of the NDPS Act. During investigation, financial transactions worth lakhs of rupees have been found and it was revealed that the deceased student purchased drugs from him, the SP said. The accused was also connected with a de-addiction centre at Una in Himachal Pradesh, running a drug trade. The owner of this centre, who hails from Punjab, was arrested in November last year. Kulwinders connections with drug smugglers from across the state have been revealed, the police informed. Kulwinder was produced in a local court and sent to police remand till September 17. The police said they would interrogate the accused to find out the details of his business and how he encountered Hamirpur people. Earlier, the police had arrested many people, including NIT students, in connection with the death and recovery of drugs. The NIT authorities had taken punitive action against 24 students after recovery of contrabands (drugs) from the institute. San Francisco resident Kay Magilavy says she has been unable to regain control of her Facebook account since it was hacked in August. Thomas Sawano/The Chronicle On Aug. 12, Kay Magilavy got a phone call. On Facebook, she had posted a bunch of things for sale on Marketplace, including vehicles, saying she was raising money to help get her sister-in-law into assisted living. Except Magilavy didnt have a sister-in-law. A friend wanted to know what was going on. After that, Magilavy said, she got dozens of calls and texts that day asking about the unusual activity. Advertisement Article continues below this ad Her Facebook account had been hacked. The people who did it were already busy attempting to scam her friends and family members out of deposits to hold big-ticket items. She tried to log in to her account, but the password had been changed, and a new email address and phone number added. Magilavy went to work trying to wrest back control of her account, following Facebooks provided steps to the letter. Every email she received from Facebook came from a no-reply email address, and messages sent to support over Facebook Messenger and WhatsApp went unread. Frustrated, she looked online for a customer service phone number. Facebook has no official phone number that you can call to speak to a human and get help. But if you search for a Facebook customer service number on Google, youll find lots of them posted by anonymous users on online forums like Ask.com, Reddit, PissedConsumer and GetHuman. Advertisement Article continues below this ad Almost all of them probably every single one is another scam. Magilavy, desperate, called one of them. She taught at an independent school in New Jersey for 20 years and has a teachers intensity and intuition for nonsense. (She recently used that hard-earned educators diligence to successfully recover homeowners insurance.) The person on the phone politely informed her that he was a Meta support tech, and he was able to quickly pull up her full name, phone number and address. He said he needed her bank account information so he could alert her bank that her information had been compromised. That teachers sixth sense went off. She asked him for his Meta employee identification number. He hung up. Magilavy, who lives in San Francisco, isnt the first person to have trouble getting Facebook to help with a hacked account. Two years ago, the Washington Post wrote about the long, lonely wait to recover a hacked Facebook account. Similar stories have been reported elsewhere, including as recently as last month, when the Santa Rosa Press Democrats managing editors Facebook account was taken over by crypto spammers. He referred to dealing with Facebook support as a Sisyphean circle of Meta madness. Advertisement Article continues below this ad But AI and other technological developments have created a new problem: Scammers stepping up to fill Facebooks customer service void. Theres a forum on Reddit for Facebook, r/Facebook, which has a lot of posts about needing help for hacked accounts. And theres another forum with 17,000 members specifically dedicated to the problem: r/facebookdisabledme. Some of the posts are from people who have recovered their account, either through diligent communication with Facebooks official channels or, in some cases, reaching out to their state attorney general for help. (Law enforcement has direct channels to Facebook that the general public does not.) But a concerning number of posts are screenshots of a website or direct message from someone offering to help recover a hacked account, saying theyre a Facebook engineer, or Meta programmer, or an expert hacker trying to do someone a favor out of the goodness of their heart. These are also all scams. Advertisement Article continues below this ad Messages between a scammer posing as Kay Magilavy and one of her friends. Facebook A longtime support problem Before I went into the specific details of Magilavys case, I asked Eva Velasquez, the president and CEO of the Identity Theft Resource Center, whether Facebook had changed or improved its support processes since stories started coming out about such customer service issues a few years ago. No, she said. Nothing has changed. In fact, what I can say is I think its actually gotten worse. Then she outlined exactly what had happened to Magilavy: People are so desperate to talk to someone, they Google the number, they search for the Facebook customer service number. There is not one. It does not exist. But what has filled that void are scam websites. So people go and look and try to find the Facebook customer service number. Advertisement Article continues below this ad They call this magic number, she said, and they get scammed again. Why doesnt Facebook have meaningful customer service? Part of it is a volume problem. Facebook says it has more than 2 billion daily active users. Making and using a Facebook account is free. An estimated 300,000 Facebook accounts are compromised every single day. But also, Velasquez said, Im actually asking the wrong question. They have great customer service, she said, because their customers are the people who buy their ads. We are not their customers. Were their end users. Were their product. So what they dont have is user service. And the reason is because we dont make them. We are not paying them. Were not that important. Facebooks motivation to have little to no support is pure profit, said Jonas Borchgrevink. Hes the director of Hacked.com, an actually legitimate website where you can pay a fee for someone to try to help you get your account back (though they offer no guarantees). Facebook could have better customer service, but that would be expensive, and as Velasquez said, Facebook doesnt make much money from users. New era of automated scamming Right now, Facebook says it uses a combination of live customer support and automated help in its customer support process. Its far from the only company doing so. Many businesses are replacing or enhancing live support with chatbots, which the White House recently said often give the run-around to customers seeking a real person. When people talk about jobs being lost to AI and industries becoming automated, they often bring up things like customer service, programming, law, health care, even journalism. But hardworking criminals can lose their jobs to bots, too. Were on the cusp of a new era of fully automated scamming. AI has made it easier for scammers to communicate, Borchgrevink said. He used to see lots of messages originating in Asia and Africa written in short phrases and broken English, which tipped off potential victims. Thats changed. Scammers can use large language models to write perfectly coherent web copy and bogus ads and direct messages offering to help hacking victims. He said the messages he sees from scammers now are indistinguishable from native speakers. A 2024 report from cybersecurity company Imperva found that almost half of all Internet activity now comes from nonhuman sources. Little legal recourse And when people get scammed on social media, theres little legal recourse. If you have a problem with your health insurance, you can reach out to the California Department of Insurance. If you have a problem with your bank account, you can reach out to several government organizations that oversee the banks. But theres no government entity that oversees or regulates social media sites. Magilavy filed a report with the San Francisco Police Department, which she said has not assigned the case or sent her a copy of her report. Filing the report triggered a call from someone with the San Francisco District Attorneys office, which was also no help. She reached out to the office of House Speaker Emerita Nancy Pelosi, D-San Francisco, and said the person she spoke to on the phone hemmed and hawed and finally said, Well, we cant really do anything. I said, you guys have to know someone at Facebook, Magilavy told me. They told her to file a report with the Federal Trade Commission. After spending 40 minutes on hers, she got back an automated message back saying the FTC accepts reports but does not investigate them. So no help there either. She called back and spoke to the same person. She said, Well, have you tried one of the Internet for seniors classes? Magilavy, who is 73 and took her first programming class long before Mark Zuckerberg was born, was insulted. Five minutes after hanging up the phone, she received an automated message thanking her for reaching out about her interest in health care. Magilavy hasnt had access to her account in weeks. She has done everything Facebook has asked of her, including sending selfies from multiple angles. She shared a Google Doc with me on which she has kept track of everything she has tried and the responses or lack thereof from Facebook. It spans nine pages. She says every time Facebook sends her a link to reset her password or get back into her account, it also sends it to the scammers email, and they move fast. Every time she changes her password, they go back in and change it again. Facebook could improve this process. It could have some human beings available to help people. Borchgrevink proposed another easy solution: Facebook could implement a seven-day grace period where you could undo any changes to your account and remove any new email addresses, phone numbers, or two-factor authenticators that had been added. Velasquez said she would like to see more regulatory oversight of social media platforms, though past attempts at regulation have been met by fierce opposition from both site operators and users. Multiple requests to Facebook for comment, for both general advice on what hacking victims can do and details on Magilavys specific case, were not answered. There are things you can do to try to protect your account, though no digital security system is 100% foolproof. And if you think youve been hacked, there are steps experts recommend you take. How to protect your Facebook account The biggest security flaw that you can correct is failure to use a unique password for Facebook and other important accounts, Borchgrevink said. In Magilavys case, she used a unique password for Facebook, but the email address associated with the account was an old one, and she used the same password for it that shed used for FlightAware. She received notification that she was a victim of the FlightAware hack in late July, so she assumes thats how this all started. Its best to use unique passwords everywhere, but if thats a little daunting, start with email accounts, banking log-ins and social media sites. Borchgrevink recommends using Google or Apples password managers, which are free. Adding two-factor authentication is another best practice. Magilavy said she hadnt used it for her Facebook account, because shes wary of potential security issues if the authenticator itself gets hacked. I understand where shes coming from. Unfortunately, because she hadnt added two-factor authentication to her account, the scammers were able to, making it even harder for her to untangle their work. What to do if you think youve been hacked If you think your Facebook account has been hijacked, take steps to secure your other social media accounts, as well as your email and bank accounts. Change passwords, add two-factor authentication where you can, and search for suspicious transactions. Borchgrevink recommends making posts on your other accounts announcing youve been hacked, so that your friends and family will be less likely to fall for whatever scam the hackers are trying. Notify family and friends directly as soon as possible. The Punjab and Haryana high court has directed the Punjab director general of police (DGP) to look into a case, wherein cops from Kapurthala have been accused of framing a person in a drugs case. The petitioner claims before the court that police concocted the drug seizure story and the same stands corroborated by the incoming calls which were never answered and the location of the mobile phone. Observing that this is a case where exemplary cost should be imposed upon the police officials, the bench of justice Kirti Singh asked the DGP to file an affidavit detailing the action he proposes to take against the delinquent police officers who registered the criminal case. The court has also summoned the Kapurthala senior superintendent of police (SSP) for September 20. In the case in hand, the petitioner Lovepreet Singh had claimed that on June 24 while he was returning from his fields he couldnt give way to a police vehicle due to a narrow road. As soon as the road widened, the police vehicle overtook him. Policemen in the vehicle took me to Mothawal police chowki and thereafter, to Sultanpur Lodhi police station and later a criminal case of drugs seizure was registered against me, Lovepreet Singh said in his plea. He was kept in illegal custody and was not allowed to contact anyone as his mobile phone was also seized by the cops. My parents constantly tried contacting me, however, with the mobile phone in possession of the police officials the same was not answered. The FIR was registered two days after I was picked up, the petitioner added in his plea. The petitioner claimed before the court that police concocted the drug seizure story and the same stands corroborated by the incoming calls which were never answered and the location of the mobile phone. The court was also informed that the lower court dismissed his bail plea as by then forensic report of the alleged drugs planted on him had not come. As per the states counsel, the FSL report had stated that the alleged recovery of 525 capsules was that of paracetamol and not of narcotic drugs. The court allowed the bail plea, observing that in recent times, there have been incidents of police highhandedness, where innocent citizens are being harassed and falsely implicated under the Narcotic Drugs and Psychotropic Substances (NDPS) Act. These actions often stem from abuse of power and lack of accountability, turning routine checks of minor encounters into traumatic experiences of law-abiding individuals. Innocent people find themselves entangled in legal battles, facing baseless charges that tarnish their reputation and disrupt their lives. The misuse of the NDPS Act in such a manner undermines public trust in law enforcement and diverts the attention from genuine efforts to combat drug-related crimes, highlighting the routine need for reforms and stricter oversight to protect the rights of citizens, the bench observed seeking a report from the DGP. The local police registered four cases as three minor girls and a 17-year-old boy were reported missing from their respective houses across the city, officials said. The local police registered four cases as three minor girls and a 17-year-old boy were reported missing from their respective houses across the city, officials said. (HT File) They added that there was no apparent link between the disappearances and four first-information reports (FIR) were registered. The missing girls kin suspect that their daughters were abducted on the pretext of marriage. In the first case, the Jamalpur police registered an FIR against unknown accused under sections 137 (2) (kidnapping) and 96 (procuration of child) of the Bharatiya Nyaya Sanhita (BNS), following a complaint by a resident of Jamalpur Awana. The complainant said his 15-year-old daughter left home on September 9 without informing anyone and did not return. He suspected that an unknown accused kidnapped his daughter on the pretext of marriage. In the second case, the Jamalpur police booked Himanshu, a resident of Jhabewal for allegedly abducting a 16-year-old girl from the same village. In his complaint to police, the minors father said she left home on September 10 and did not return. Later, he found that the accused kidnapped her on the pretext of marriage. A case was registered under sections 137 (2) and 96 of the BNS. In the third case, the Focal Point police booked Dhandari Khurd resident Vikram Yadav for allegedly kidnapping a 14-year-old girl from the same locality. The complainant, minors father, said the accused kidnapped his daughter on the pretext of marriage on August 28. An FIR under was registered under sections 137 (2) and 96 of the BNS. In the fourth case, the Daba police registered a case against an unidentified accused for allegedly confining a 17-year-old boy. The minors father, a resident of Mohalla Gobind Nagar, said his son went missing on July 29. A case was registered under section 127 (6) (wrongful confinement in secret) of BNS. While the state government has been fined 1,000 crore for failing to manage solid waste in several districts, Ludhiana being a key contributor, the Mandi Board in Ludhiana seems unaware of the seriousness of the issue. The department has failed to take any concrete action against the contractor responsible for cleaning the New Vegetable Market, despite multiple fines for not maintaining the market properly. No end in sight for garbage issues at veggie market (HT Photo) The garbage heaps in the market continue to pile up, even after penalties were imposed on the contractor. The situation highlights a lack of coordination between state-level initiatives and local departments. While the state government faces huge financial penalties, local authorities are struggling to enforce basic cleanliness standards in one of Ludhianas busiest vegetable markets. The situation has remained unchanged for several days, with thousands of visitors coming to the market daily and dealing with unhygienic conditions. The cleaning contract, worth 56.10 lakh, was awarded for the upkeep of both the vegetable and fish markets. However, traders and visitors alike report that the contractor only cleans certain sections of the market while ignoring the rest. After cleaning selected areas, videos of the cleaned spots are sent to Mandi Board officials to show compliance, but in reality, many parts of the market are still filled with waste. This careless approach has resulted in garbage piles being dumped inside the market, creating multiple unsightly spots. As per the contract, the collected waste should be transported and disposed of at designated locations outside the market, but these guidelines are regularly ignored. Traders have raised their concerns, especially with the onset of the rainy season, when the garbage produces a foul smell, making it hard for them and customers to remain in the area. Many have said that the poor hygiene is driving people away, hurting their business. Traders, who have been contributing revenue to the Market Committee for years, are now calling for stronger action. Despite this significant penalty, various departments, including the Mandi Board, have failed to address these local waste management issues effectively. Even though the contractor was fined in May and June for neglecting proper cleaning, little has changed. District Mandi Officer Gurmatpal Singh said, I will direct the secretary market committee to take strict action against the contractor so that the problem should be resolved on priority. Punjab Civil Medical Services (PCMS) doctors, who had suspended OPD services since September 9, on Saturday announced that they would be resuming duties from Monday. The announcement came after a meeting with Punjab health minister Dr Balbir Singh, who is learnt to have assured them that their demands would be implemented in a time-bound manner. A doctor examining patients at the Civil Hospital in Amritsar on Saturday after the Punjab Civil Medical Services Association (PCMSA) called off its indefinite strike. (Sameer Sehgal/HT) The Punjab Civil Medical Services Association (PCMSA) said that 2,500 doctors would compensate for the inconvenience of patients owing to the suspension of OPD services for five days by putting in two extra hours on Monday and Tuesday. The OPD timings of health centres in Punjab is from 8am to 2pm. PCMSA president Dr Akhil Sarin said the government had assured the doctors it would fulfil all demands in a time-bound manner. The demands include reinstatement of the assured career progression (ACP) scheme that ensures financial benefits and a higher pay scale to government employees, better security for healthcare workers, timely recruitment of medical officers (MOs) and release of pending central pay commission (CPC) arrears. The PCMSA president said that the government would come out with concrete steps to improve security measures at all health centres. Around 12 crore for installation of CCTVs has already been issued to the health department. Next week, the Department will come up with the framework to improve security measures, including fixing the number of security guards based on the number of hospital beds, Dr Sarin said. Asked about the demand of recruitment of more doctors to overcome staff shortage, he said, The government is in the process of hiring 400 medical officers, while more doctors will be recruited by the year-end. The health minister has assured that ACP, which had been discontinued, will be restored in the coming weeks, he added. Punjab Civil Medical Services (PCMS) doctors, who had suspended OPD services since September 9, on Saturday announced that they would be resuming duties from Monday. The announcement came after a meeting with Punjab health minister Dr Balbir Singh, who assured them that their demands would be implemented in a time-bound manner. A doctor examines patients in the OPD ward of the Amritsar civil hospital after the Punjab Civil Medical Services Association called off strike on Saturday. (Sameer Sehgal/HT) The Punjab Civil Medical Services Association (PCMSA) said that 2,500 doctors would compensate for the inconvenience of patients owing to the suspension of OPD services for five days by putting in two extra hours on Monday and Tuesday. The OPD timing of health centres in Punjab is from 8am to 2pm. Health minister Dr Balbir Singh, while assuring that doctors demands will be fulfilled in a time-bound manner, said the demands of PCMS doctors were genuine. The dynamic assured career progression scheme, which was halted in 2021, will be restored. The process has already been started, he said. Regarding recruitments, he said, We have already advertised 400 posts of medical officers. In the coming months, more posts will be advertised. PCMSA president Dr Akhil Sarin said the government had assured the doctors it would fulfil all demands in a time-bound manner. The demands include reinstatement of the assured career progression scheme that ensures financial benefits and a higher pay scale to government employees, better security for healthcare workers, timely recruitment of medical officers (MOs) and release of pending central pay commission (CPC) arrears. The PCMSA president said the government would come out with concrete steps to improve security measures at all health centres. Around 12 crore for installation of CCTVs has already been issued to the health department. Next week, the department will come up with the framework to improve security measures, including fixing the number of security guards based on the number of hospital beds, Dr Sarin said. Asked about the demand of recruitment of more doctors to overcome staff shortage, he said, The government is in the process of hiring 400 medical officers, while more doctors will be recruited by the year-end. The health minister has assured that the assured career progression, which had been discontinued, will be restored in the coming weeks, he added. An NRI was arrested after 15 cartridges were recovered from his handbag at the international airport here on Saturday. The NRI was arrested after CISF personnel found the cartridges from his handbag. (HT File) Police said Amardeep Singh visited his village in Gurdaspur district a few months back and was returning to New Jersey in the United States on Saturday. During the security check, CISF personnel detained him after the cartridges of 9mm each were found in his handbag. Later, the CISF personnel filed a complaint against Singh. An FIR under Sections 25/24/59 of the Arms Act has been registered against the NRI, police said. Police said a probe is on into the matter. Sources said that the NRI carried the ammunitions by mistake. A 45-year-old man riding a bike was killed when part of a balcony fell on him in Adhyapak Nagar in Nangloi in west Delhi on Friday night, fire department officials said on Saturday. The victim was identified as Anand Kashyap. A police officer said that the call regarding the incident was first reported to the Nangloi police station. (Representational image/Getty Images/iStockphoto) This was the second death in Delhi on Friday from a monsoon-related incident of drowning, electrocution and house or wall collapse, bringing the toll from 38 such incidents this monsoon to 49. Twenty-two of these deaths were due to drowning, according to data. Delhi Fire Services (DFS) chief Atul Garg said that the fire control room received a call at 9.20pm on Friday about the incident which took place in Adhyapak Nagars F block. Three fire tenders along with the rescue teams were rushed to the spot. Local enquiry revealed that portion of the balcony of a house had collapsed. Two men were riding a motorcycle in the lane outside the house. The collapsed concrete portion of the balcony fell on one of them. The victim suffered severe injuries and was rushed to a nearby hospital by locals before fire tenders and rescue teams arrived. The injured man, identified as Anand Kashyap, was declared brought dead by doctors at the hospital, said Garg. Deputy commissioner of police Jimmy Chiram said that Kashyaps 12-year-old son was riding pillion with him when the incident took place. The 12-year-old was not injured. A police officer said that the call regarding the incident was first reported to the Nangloi police station. Since the incident fell under the territorial jurisdiction of Nihal Vihar police station, the matter was transferred to them. Legal action into the matter was initiated, the officer said. Earlier on Friday, a 35-year-old e-rickshaw driver who lived in a tarpaulin tent along the boundary wall of an old religious structure in Nabi Karim in central Delhi died when a portion of the wall collapsed on him, fire department officials and police said. Two other men who were sitting near the wall were injured. The incident occurred at 7am when it was raining in the Delhi-National Capital Region (NCR). The deceased was identified as Rehmat, 35. The religious property is an 80 to 90-year-old cemetery and dargah on Qutab Road belonging to the Waqf Board, according to police and the municipal corporation of Delhi (MCD). The Aam Aadmi Party on Saturday announced that it has hammered out an elaborate campaign plan for the upcoming Haryana assembly elections after party convener Arvind Kejriwal met top AAP leaders at 6, Flagstaff Road, his official residence. AAP candidate from Meham assembly constituency Vikas Nehra in Meham on Saturday. (AAP Haryana-x) Senior party leaders Sandeep Pathak, Manish Sisodia, Sanjay Singh, Atishi, Saurabh Bharadwaj, Raghav Chadha, and Gopal Rai, among others, were present during the meeting, a party functionary said. The development came a day after the Supreme Court granted bail to Kejriwal, the Delhi chief minister who was incarcerated in Tihar jail after his arrest in connection with alleged irregularities in the Delhi excise policy 2021-22. Kejriwal walked out of jail on Friday evening to great fanfare and celebrations from AAP functionaries and supporters. Haryana is set to go to polls on October 5, with results to be declared on October 8. While Kejriwal was incarcerated, other AAP leaders took up the mantle of leading rallies, roadshows and public meetings in Haryana and selling its Delhi and Punjab models to a state that shares its borders with both. His wife Sunita Kejriwal in particular occupied the centre stage in AAPs political rallies and meetings, holding several back-to-back meetings, addressing party workers, and unveiling the AAPs poll guarantees of such as free electricity, and a focus on education and healthcare. However, the AAP had included Kejriwals name in its list of star campaigners for the elections. On Saturday, Pathak AAPs national general secretary (organisation) said the party has readied a campaign plan for each of the 90 seats in the Haryana assembly. Arvind Kejriwal called all senior leaders for a meeting today where detailed discussions took place on Haryana elections. A campaign plan has been made for each assembly seat of Haryana. Soon, an extensive campaign will be started. Since the Supreme Court gave bail to our leader Arvind Kejriwal, the resolve of the AAP workers has increased so much that they are ready to work with 100 times more strength. We believe that this time Haryana election will be extraordinary, Pathak said. A senior AAP functionary, on condition of anonymity, said Kejriwal is likely to visit Haryana next week, where he will hold multiple public meetings and roadshows. However, the functionary did not share a detailed itinerary of Kejriwals Haryana visit. To be sure, the AAP is yet to win a single Lok Sabha or assembly seat in Haryana. Haryana BJP leader Anil Vij said Kejriwals presence in Haryana will not make much difference to his partys fortunes. Getting bail does not equate to being absolved of charges. Kejriwal is still under restrictions. The difference now is that he was in jail before and is now on bail, Vij said. A day after he was released from jail on bail, Delhi chief minister Arvind Kejriwal is likely to visit Connaught Places Hanuman Mandir on Saturday afternoon to offer prayers, Aam Aadmi Party (AAP) functionaries said. Delhi chief minister Arvind Kejriwal being received by his parents as he arrives at his residence after being released from Tihar Jail on bail on Friday. (PTI) CM Arvind Kejriwal is likely to visit Hanuman Mandir at 12pm on Saturday, said an AAP official. Kejriwal is a frequent visitor to the ancient temple, and in May when he was given interim bail for 20 days to campaign for the party in the Lok Sabha polls, Kejriwal visited the temple with his wife and several party leaders. During the day, Kejriwal is likely to meet party leaders as well. The detailed schedule of Kejriwals engagements has not yet been shared by his office. After an incarceration that lasted nearly six months barring a 21-day release for the Lok Sabha elections Kejriwal walked out of Tihar Jail at 6.25pm on Friday, hours after the Supreme Court granted him bail in the corruption and money laundering cases linked to the alleged Delhi excise policy scam. The grant of bail to Kejriwal came with the same conditions imposed by another bench of the Supreme Court in July he cannot go to the CMs office; sign on official files or make public statements about his role in the excise case. Additionally, the top court on Friday ordered that Kejriwal must remain present at all trial hearings unless granted exemption, and that he is to fully cooperate with the trial court to ensure the expeditious conclusion of the proceedings. The Bharatiya Janata Party (BJP) said these conditions to bail do not mean acquittal and that the matter was still before the court. Two days after a 35-year-old man was shot dead outside the gym he owned in south Delhis Greater Kailash-1 area, police on Saturday said they are likely to seek custody of jailed gangsters Lawrence Bishnoi and Hashim Baba, whose names have emerged as the prime conspirators in the brazen killing. Nadir Shah. (HT Photo) Baba is currently lodged in Delhis Mandoli jail, while Bishnoi is incarcerated in a Gujarat prison, they said. According to police, the Bishnoi gang suspected Shah of intervening in their extortion deals. At 10.43pm on Thursday night, Nadir Shah, the deceased, was standing outside Sharx Gym in E Block, GK-1, when a man walked up to him and fired at point blank range. CCTV footage of the incident, accessed by HT, showed the assailant firing eight to 10 rounds at Shah, even as the victims friend took cover behind a Mercedes car and later escaped. The assailant was accompanied by at least two men who were not seen in the video, police said. Five people have been arrested in connection with the murder, but the three assailants are still at large, they said. Shah was also a police informer, said a senior police officer from south district, requesting anonymity. Hours after the brazen murder, Rohit Godara an aide of Bishnois associate Goldy Brar claimed responsibility. As per our information, a key member of the Bishnoi gang had demanded 40 crore from a businessman based in Dubai. The businessman approached Shah to renegotiate the deal, since Shah had multiple contacts. The deal was finalised at 20 crore, but the amount never reached the gang, said a senior special cell officer, who asked not to be named. Police said Anmol Bishnoi Lawrence Bishnois US-based cousin approached Hashim Baba through intermediaries in order to know more about Shah. Their inquiry revealed that Shah took his commission from the businessman, and even got a Mercedes car as a gift, but he didnt help with the deal (extortion of 20 crore). The gang decided to target Shah as the leaders felt that the deal got cancelled because of him, another police officer said. Police said they are verifying through multiple channels all such information about the motives behind Shahs killing. On Friday, police said they had arrested four people Akash Yadav, 24, of Azamgarh; Naveen Baliyan, 33, of Sonipat; Nitalesh Tiwari, 20 of Kaptanganj, and Vishal Varma, 19, of Sultanpur Verehata in connection with the murder, and recovered two pistols from their possession. Police said that Yadav, Baliyan, Tiwari, and Varma were tasked with performing a recce in the area, follow Shah, and procure weapons and the bike used in the crime. They also helped the main shooter identified by his first name, Madhur escape, police said. Officers said in the early hours of Saturday, they arrested a fifth suspect, identified only by his first name of Sajid, from northeast Delhi. According to police, he was among the group of people who extended logistical support to the three assailants. Sajid and the four people arrested earlier are all foot soldiers of key gangsters Bishnoi, Baba, and their accomplices sitting abroad such as Goldy Brar, Kapil Sangwan alias Nandu, and Rohit Godara. These foot soldiers only follow the instructions of their handlers and arrange logistics such as arms and ammunition, vehicles, and safe shelters for hitmen. Anonymity is maintained between such people so that they cannot identify other members of the conspiracy in case they were arrested by police, said an investigator, on condition of anonymity. A day after the Supreme Court granted bail to Delhi chief minister Arvind Kejriwal, paving the way for him to walk out of jail after a months-long incarceration, Aam Aadmi Party (AAP) leader Sanjay Singh on Saturday said the apex court has imposed no restrictions on the CM to continue his work, and accused the Bharatiya Janata Party (BJP) of spreading lies about Kejriwals functional restrictions. AAP leader Sanjay Singh. (PTI) Kejriwal was arrested by the Enforcement Directorate on March 21 in connection with alleged irregularities in the Delhi excise policy 2021-22. While he was in custody, the CM was arrested by the Central Bureau of Investigation (CBI) in connection with a parallel probe into the same case. The Supreme Court on Friday granted Kejriwal bail in the CBI case, but set certain conditions the CM cannot leave the country, he cannot visit his office or the Delhi secretariat, and he is not at liberty to sign any official files, unless required and necessary for obtaining clearance or approval of the lieutenant governor. Additionally, Kejriwal is barred from making public statements about his alleged role in the case, and is ordered to remain present at all trial hearings, unless granted exemption. To be sure, the conditions were the same as imposed by another bench of the Supreme Court in July, when he received bail in the ED case. On Saturday, Singh, at a press conference at the AAP headquarters, claimed that the BJP is spreading lies about the bail conditions of the chief minister in order to stop the AAP governments welfare work. Arvind Kejriwal will continue to work as the chief minister of Delhi like before, will keep fighting for the people of Delhi to get them their rights. Not a single work of the people of Delhi will stop. The Supreme Court has not stopped the Delhi government and Arvind Kejriwal from doing any work. He has the right to sign every important file that goes to the LG, Singh said. The BJP is spreading lies because it wants to stop free electricity, water, education, health and bus travel for women The Supreme Court has not imposed any restriction on Arvind Kejriwal that will make the work of Delhi residents suffer, he said. A senior bureaucrat posted in Delhi said Kejriwal does not hold any portfolio, which means that no department is directly under him. In such a case, he is not required to sign departmental files on a day-to-day basis. Most of the files that the CM signs are those that need to be sent to the LG for approval. The Supreme Court has allowed the CM to sign such files, the officer said, on condition of anonymity. Kejriwal is restricted from going to the Delhi secretariat, but according to my understanding, he can function from his camp office, the bureaucrat said, adding that officials cannot refuse meetings called by the chief minister during office hours. The BJP did not respond to HTs queries for a comment on the matter. Two persons, including a Communist Party of India (Marxist) or CPI(M) youth wing leader, were arrested on charges of hatching a conspiracy to attack striking junior medics who have bene holding a sit-in outside Swasthya Bhavan in Salt Lake. The arrest came after Trinamool Congress leader Kunal Ghosh released an audio clip on Friday alleging that a conspiracy was being hatched to attack the junior doctors in Salt Lake so that the ruling party in the state could be maligned. Members of the Junior Doctors Forum block a road leading to the West Bengal Health Headquarters during their protest against the rape and murder of a resident doctor at a government hospital in early August, in Kolkata on Friday. (AP) We arrested one Sanjib Das from Haltu in south Kolkata on Friday. During preliminary interrogation he confessed that it was his voice in the audio-clip. Kalatan Dasgupta was arrested today. They will be produced in the court today, said an IPS officer of Bidhannagar city police. Dasgupta is a leader of the Democratic Youth Federation of India (DYFI), the youth wing of the CPI(M). It is a dangerous conspiracy to malign the chief minister, the TMC and the government. A conspiracy has been hatched since Thursday night to attack the protesting doctors so that the situation may become more complex, Ghosh had told media persons. Officials said that the technical analysis and investigative wings of the Bidhannagar Police have checked the authenticity of the clip. Police said that during further interrogation they will collect the accused persons voice samples and match them with the audio clip. In the clip two people could be purportedly discussing a plan to launch an attack on the protest venue at Salt Lake. Police on Saturday shared the 12-minute clip. One person could be heard reportedly telling: Saheb has ordered to attack Salt Lake. I have done these things all these years, but I have never felt afraid. But this time it is hitting my conscience. Will it be the right thing to do? said the other person over the phone. You have not been asked to fight to finish, said the first man. The boys would be drunk. What if it goes out of control? said the other person. Police should have first taken Kunal Ghosh into custody to question him as to where did he get the audio from and who made the clip. He knows everything. We doubt whether police would be able to do an impartial probe, Bikash Ranjan Bhattacharya, senior high court advocate and CPI(M) leader, told the media. We have come across a few other names in the audio clip such as Saheb, Bappa and Dadu. We are trying to identify them and the roles they played, the IPS officer said. Sleuths said that the audio-clip was recorded on September 12 around the time when a team of the striking medics had gone to Nabanna, the state secretariat, to hold a meeting with the chief minister. The talks, however, failed to take off. The duo hase been booked under sections 224 (threat of injury to public servant), 352 (Intentional insult with intent to provoke breach of peace), 353 (2) (spreading false information), 351 (1) (criminal intimidation) and 61 (criminal conspiracy) of the Bharatiya Nyaya Sanhita (BNS), 2023. Asked whether Das has any political links, police said: We have got some names from his mobile phone. We are verifying further. MUMBAI: Eighteen days after a 75-year-old petrol pump owner was found murdered in his car, the Mira Bhayander-Vasai Virar police crime branch has arrested the victims driver and one of his accomplices for allegedly killing the elderly Ulhasnagar resident. The duo was preparing to flee to Nepal and search is on for a third accomplice, said police. Mukesh Khubchandani, accused driver Petrol pump owner Ramchand Kakranis body was found inside his car with his hands tied on August 26. His family later told the police that cash worth 1.5 lakh and his watch and gold ring, together worth around 22 lakh, were missing when the body was recovered. According to the Naigaon police which investigated the case, the main accused is 58-year-old Mukesh Khubchandani, who was Kakranis driver and a history sheeter. He had arrested in August 2011 for giving false information about a bomb on a long-distance train; in January 2015 in a case of gutkha smuggling in Bhiwandi; in 2020 for robbing his sister-in-laws house in Bhopal; and in 2023, for robbing an elderly woman in Ulhasnagar by posing as a food delivery person. He had also been arrested in a case of smuggling liquor from Gujarat, said police. Khubchandani had met Ramlal Yadav and Anil Thapa, the other two accused, while they were together in jail in Hyderabad. He had stayed in touch with the duo after he started working for the petrol pump owner. Kakrani carried a lot of cash with him every day while returning from his pump in Virar to his home in Ulhasnagar, which Khubchandani shared with his accomplices. Around six months ago, the trio met in Nepal to discuss plans of robbing the businessman. Apart from the driver, the two other accused were identified after examining footage from over 300 CCTV cameras, said Avinash Ambure, deputy commissioner of police, MBVV crime branch. Yadav is a history sheeter while Thapa is a native of Nepal, said sources. Six police teams were formed to probe the case, said senior police inspector Ramesh Bhame. The investigation revealed that on August 25, Kakrani was carrying around 1.75 lakh with him in cash while returning home from the pump. Khubchandani, his driver, picked up Yadav and Thapa on the way, near the highway at Mandvi, metres away from Virar naka. The trio strangled Karani, seated on the front passenger seat, from behind. They looted the cash, his watch worth 5 lakh and gold ring worth 15 lakh and fled towards Gujarat, leaving the body in the car along the Mumbai Ahmedabad highway, said a police officer. The accused used public transport while on the run and travelled several miles on foot to dodge the police. But the police managed to trace them while they were travelling on a train from Gujarat to Uttar Pradesh. On Wednesday, Khubchandani and Thapa were arrested with the help of the UP special task force. They were brought to Mumbai on Thursday and sent to police remand on Friday. A search is on for Yadav, the third accused, said police. The Maharashtra government on Friday rescheduled the official Eid-e-Milad holiday in Mumbai from September 16 to September 18. According to an official release, the decision was taken after the local Muslim community decided to hold its Eid processions on September 18 instead of September 16 to avoid any inconvenience during Anant Chaturdashi, the last day of the Ganesh festival, which falls on September 17. Maharashtra govt reschedules Eid-e-Milad holiday in Mumbai(HT File Photo) The officials, in the release, said that the local collectors can decide on a similar rescheduling of the Eid holiday in other districts as per the circumstances, reported news agency PTI. On Sunday, Maharashtra Congress leader Naseem Khan urged Chief minister Eknath Shinde to declare a holiday on September 18 for Eid-e-Milad instead of September 16. Anant Chaturdashi falls on September 17, and members of the Muslim community have decided to take out Eid-e-Milad processions on September 18 so that both festivals can be celebrated with pomp and gaiety, he had said in his letter. The last day of the Ganpati festival falls on September 17, while Eid-e-Milad is likely to be observed on September 16, subject to the position of the moon. Eid procession rescheduled for 2nd consecutive year This is the second consecutive year that the Muslim community has volunteered to reschedule its procession to commemorate the occasion to ensure communal harmony. Just like last year, this year too, the Muslim community has volunteered to reschedule their religious activities so that the immersion process takes place smoothlyIt is a remarkable display of unity and co-existence by the communities, said the deputy commissioner of police (zone 1), Pankaj Dahane. Notably, the Eid-e-Milad procession in Navi Mumbai starts from Turbhe and makes its way through Vashi and Koparkhairane before culminating at Ghansoli Dargah. Eid-e-Milad, or Eid-e-Milad-Un-Nabi, marks the birth of Prophet Muhammad - the revered religious and social leader who established the Islamic faith. The celebration is traditionally observed on September 16 - subject to the moon's position. Muslims across the world participate in a procession during the celebration. (With inputs from PTI) The Gujarat high court, on Friday, during a hearing related to the TRP Game Zone fire incident in Rajkot earlier this year, emphasised that the citys municipal commissioner cannot shirk responsibility by claiming that he had delegated his duties and powers to a subordinate who failed to inform him about the incident. The Gujarat high court. (File Photo) The division bench, comprising chief justice Sunita Agarwal and justice Pranav Trivedi, was addressing multiple petitions concerning fire safety in municipal corporation areas across Gujarat. This included a suo motu case initiated by the court after a devastating fire on May 25 claimed the lives of 27 people, including four children, in Rajkot. The bench was also hearing Writ Petition PIL No. 118 of 2020, which was registered following a fire at Shrey Hospital in Ahmedabad on August 6, 2020. During Fridays proceedings, advocate Amit Panchal, representing one of the petitioners, informed the court that Rajkot Municipal Corporation had responded to an order passed on August 23. The court had scheduled the hearing to listen to the victims and discuss compensation, as well as consider holding erring officials accountable. Chief justice Agarwal noted two key aspects: the directives issued in the PIL (118/2020) to the municipal commissioners, including the Rajkot Municipal Commissioner, who had submitted an affidavit on March 8, 2022, affirming compliance with the courts instructions. These directions were detailed in paragraphs 7 and 8 of the August 23 order. The order raised concerns that the victims had not received adequate compensation and pointed out that the erring officials, identified in the Special Investigation Team (SIT) report, should personally compensate the victims. The court order also indicated that municipal commissioners who failed in their supervisory duties could be held liable to pay compensation from their own pockets. The direction was issued on August 23 to the respondents including various municipal corporations to ensure that effective steps are taken forthwith for the fire prevention and safety and for the protection of the life and property of citizens in various types of buildings and temporary structures in the state of Gujarat. The respondents were to ensure that all the buildings in the state have adequate disaster management infrastructure and proper firefighting and rescue equipment as required in the National Building Code and also required by the Standing Fire Advisory Council, Ministry of Home Affairs, Government of India. The respondents were directed to issue Occupancy Certificates in Gujarat only after ensuring compliance with fire safety requirements under the Gujarat Fire Prevention Act, 2013. A Fire Department No Objection Certificate must be submitted for Building Use permission, as per the August 23 order. They were also asked to provide a list of buildings needing fire safety systems. Referring to this order, chief justice Agarwal further said on Friday that it applies not only to permanent structures but also to temporary ones. What we have said in this order is that Rajkot municipal commissioner cannot get away by saying that he was not aware, and the town planning officer or town planner did everything, the chief justice said. The court rejected the claim that the commissioner was unaware of the situation. Fact-finding committee reports saying the commissioner did his best are not acceptable, the chief justice observed during the court hearing on Friday. The court also raised the issue of a pending demolition related to the TRP Game Zone fire in the past. The bench noted that a scheduled demolition had not been carried out and orally indicated that a notice be issued to the Rajkot municipal commissioner to explain why he should not be held responsible for this lapse. The state advocate general, Kamal Trivedi, argued that the Rajkot Municipal Corporation had outlined its corrective efforts in a new affidavit. However, the court was unconvinced, stating that such justifications only arise when you are in court... once the petition is off the list, the same issues persist. The chief justice added, This incident happened due to a lack of control. The court also demanded an apology from the commissioner. Justice Agarwal said, Apology comes only if you accept the failure. Shifting responsibility and claiming innocence is not acceptable. The bench noted that the commissioner had made commitments to the court but failed to ensure compliance, leading to a serious violation of court orders. After counsel Trivedi requested to withdraw the latest affidavit, the court permitted the withdrawal and instructed the commissioner to submit a fresh response addressing its August 23 observations. The next hearing of the matter is slated for September 27. High Court of Jammu and Kashmir and Ladakh at Srinagar has invited applications for Junior Assistant and other posts. Eligible candidates can apply online through the official website of JK High Court at jkhighcourt.nic.in. The last date to apply is till October 1, 2024. J&K High Court Recruitment 2024: Apply for 263 Junior Assistant & other posts This recruitment drive will fill up 263 posts in the organization. Read below for eligibility, selection process and other details. Vacancy Details Junior Assistant: 207 posts Steno Typist: 71 posts System Officer: 1 post System Assistant: 4 posts Eligibility Criteria Candidates who want to apply for the posts should check the required educational qualification and age limit through the Detailed Notification available here. Application Fee The application fee for the posts mentioned above is 500/-. Applications along with the prescribed fee shall be received only through online mode on the recruitment portal of the High Court of J&K and Ladakh, link of which is available on the website. Other Details The application forms of the in-service candidates should reach the office of Pr. Secretary to, Honble the Chief Justice, High Court of J&K and Ladakh through the Head of the Department concerned, within 15 days after the last date of filling of application form. The eligible candidates shall have to appear in the test of the dates to be notified separately. Call letters shall not be issued individually. SBI SCO Recruitment 2024: Apply for 1497 Deputy Manager & Assistant Manager posts at sbi.co.in How to Apply To apply online, candidates can follow the steps given below. Italy is introducing artificial intelligence in its schools as Prime Minister Giorgia Meloni's government explores new ways to close the country's digital skills gap with other European Union members. Italy tests AI-assisted teaching in schools(Getty Images/iStockphoto) Education Minister Giuseppe Valditara said this week - when schools reopened across Italy - that AI-assisted software would be tested in 15 classrooms across four regions, with a view to expand the scheme later if the experiment is successful. Also read: Adobe to launch generative AI video creation tool later this year AI tools on classroom tablets and computers will act as "virtual assistants that can make learning easier for students and help teachers identify methods for an increasingly bespoke education," the minister told the TGcom24 news channel. Italy has one of the worst basic digital skills scores in the 27-member EU, according to the bloc's statistical agency Eurostat, faring better only than Latvia, Poland, Bulgaria and Romania. Few details were given about the initiative, however. Valditara's office was not able to confirm the names of the schools that would test the new technology and expand on its workings. Also read: Books in, screens out: some Finnish pupils go back to paper after tech push However, the planned evaluation of the trial starting with this school year is "promising", Francesca Bastagli, head of research at the Fondazione Agnelli educational think tank, told Reuters on Friday. "It will hopefully tell us what works and what is needed for future rollouts of AI tools in schools to be inclusive and effective", she said. Italy's AI-push for schools came as the minister also issued a blanket ban on the use of mobile phones in classrooms, even for educational purposes. Also read: Know some leading Artificial intelligence tools that students and teachers can use Past attempts to digitalise Italian schools have proven difficult, including during the COVID pandemic, partly due to the advanced age of teaching staff, with more than half of them aged 50 or older, according to OECD data. Meloni has made AI one of the themes of this year's G7 summit, which was hosted by Italy. In their final communique, leaders said they would deepen their "cooperation to harness the benefits and manage the risks of (AI)". Karnataka's minister for Medical Education & Skill Development Sharan Prakash Patil on Friday suggested integration of AI technology in the security system to ensure safety of women healthcare personnel across Karnataka, an official release said. Karnataka minister suggests integration of AI technology in the security system to ensure safety of women healthcare personnel The minister chaired a high-level meeting at Vikasa Soudha to discuss measures aimed at strengthening security at medical colleges, hospitals and hostels. This follows a previous meeting led by Patil to review the current security systems and propose enhancements. Read: Italy tests AI-assisted teaching in schools to boost IT skills During the meeting, Patil also emphasised the importance of integrating feedback from women healthcare professionals and other stakeholders. Directors of medical institutions must gather feedback in writing and take concrete steps to implement necessary measures." Patil suggested that a security audit committee should be formed with monthly meetings to review the safety protocols. Additionally, thorough background checks must be conducted for all security personnel, according to the release. Read: Adobe to launch generative AI video creation tool later this year To further ensure safety, 24/7 canteen facilities should be available so that women healthcare staff do not need to leave the premises, the minister said. Patil also announced that a panel will be formed at the State Secretariat to oversee the implementation of these measures. Read: Books in, screens out: some Finnish pupils go back to paper after tech push B L Sujatha Rathod, Director of Medical Education, provided a detailed presentation on the current security initiatives. The meeting was attended by senior officials, including Mohammad Mohsin, Principal Secretary of Medical Education, Mission Director of National Health Mission Y Naveen Bhat and Venkateshmurthy, Joint Secretary. Bollywood celebrities including Ranbir Kapoor have featured in Simi Garewal's talk show Simi Selects India's Most Desirable (2011). In each episode Tarot card reader Munisha Khatwani predicted about the future partners of the guests on the show. In a throwback clip from the show, Munisha spoke about the qualities of Ranbir's future partner and her relationship with him. (Also read: King vs Love & War: Shah Rukh Khan to clash with Ranbir Kapoor, Sanjay Leela Bhansali again on Eid 2026) Ranbir Kapoor's marriage prediction was made on the 2011 show India's Most Desirable. Ranbir Kapoor's marriage prediction In the video, while speaking to Ranbir, Munisha said, The person you are going to marry, she is a very emotional and loving person. She will have her own career. She will understand your line of work very well. There will be a lot of friendship between the two of you because you are the sort of person who needs to be friends with someone before you get into a relationship. The actor gave her a high five. The tarot reader concluded by saying, You might have met her briefly but you don't know her very intensely yet. While describing Ranbir's nature, Munisha said, You are a very committed person contray to your image. While reacting to her he stated, I think you should repeat that. The tarot reader responded by saying, It is more to do with where your heart lies. Wherever your heart is, you are completely committed there. I can assure you that. About Munisha Khatwani Munisha is a tarot reader and astrologer based in Mumbai. She has done predictions for celebrities such as Deepika Padukone and Anushka Sharma. Additionally, Munisha has acted in Hindi television shows such as Just Mohabbat, Vaidehi, Tantra, Survivor India, and others. Ranbir Kapoor-Alia Bhatt's relationship Ranbir got married to Alia Bhatt in April 2022. They starred opposite each other in Ayan Mukerji's Brahmastra produced by Karan Johar. The couple became parents to their daughter Raha on November 6, 2022. Alia Bhatt's upcoming projects Alia was last seen in the American spy action-thriller Heart of Stone, starring Gal Gadot. She will next feature in her home-production Jigra directed by Vasan Bala, co-starring Vedant Raina. Ranbir Kapoor's upcoming projects Ranbir was last seen in Sandeep Reddy Vanga's Animal. He will next feature in Nitesh Tiwari's Ramayana. The actor plays Lord Ram in the epic-drama, while Sai Pallavi portrays Goddess Sita. Ranbir will also play the lead role in Animal Park (sequel to Animal). According to the documents filed on Friday, Artem Chigvintsev has requested spousal support from his estranged wife, Nikki Garcia. In addition, he has also asked Garcia to pay for his attorney and the adjacent legal fees. The documents also state that the Dancing With the Stars pro dancer has requested joint legal and physical custody of their son Matteo who is 4 years old. Artem Chigvintsev requests spousal support and custody of son, Matteo, from Nikki Garcia in the divorce filing.(@nikkigarcia/Instagram) Also Read: John Legend responds to Trump's remark on Haitian immigrants in Springfield eating pets: Nobodys eating cats' Legal battle between Chigvinstev and Garcia Garcia filed for divorce on Friday two weeks after Chigvinstev was arrested with charges of domestic violence in California. The documents state the reason for divorce as irreconcilable differences and the date of separation was August 29 which was the same day the incident occurred. The retired WWE star also requested sole legal and physical custody of their 4-year-old son with visitation rights for her estranged husband when she filed for divorce. Chigvinstev was arrested at their Napa Valley home last month after he placed a distressed call asking for medical assistance at his residence. He later called to cancel the request but the officers showed up at their house. Napa Countys Sheriffs Offices public information officer revealed to Page six, For domestic violence, if someone picks up the phone and says, You dont have to come after all, were still going to go for a welfare check. Were not just going to stop what were doing. The police examined the scene and then booked the pro dancer into Napa County Jail with the charge of domestic violence. According to the California penal code 273.5(a), it is illegal to cause "injury resulting in a traumatic condition" to a spouse, former spouse, cohabitant, or anyone with whom the assailant has had a romantic relationship at any time. He was released after a few hours on bail which cost him $25,000. Also Read: Ben Affleck kisses Matt Damons wife Luciana on the head, amid Jennifer Lopezs worsening health: Report Chigvinstev and Garcias volatile marriage The domestic violence occurred soon after the couple celebrated their second anniversary in August. In a podcast episode of Nikki & Brie Show on August 28, Nikki expressed she was looking forward to spending time with her husband at a span and communicating about their marriage. She explained, Where are we at, what are you loving, what are you not loving? We had such a great conversation and made not goals for the next years of marriage but [figuring out] what are some things we can add into our marriage or are looking forward to in our marriage? Sources close to the former couple revealed to TMZ that the recent events are not surprising given their marriage was always volatile. The two got married in August 2022 and welcomed their son in August 2020. Ben Afflecks friend revealed how the actor has been coping with the divorce from ex-wife Jennifer Lopez. The Gigli co-stars filed for divorce after two years of marriage. The actors friend revealed that Affleck is happy and free after the divorce. Their marriage is done this time around after the former Hollywood couple rekindled their love in 2021. Ben Affleck's friend reveals the actor is "happy" after divorce from Jennifer Lopez. REUTERS/Yara Nardi/File Photo(REUTERS) Also Read: Ben Affleck kisses Matt Damons wife Luciana on the head, amid Jennifer Lopezs worsening health: Report Ben Affleck's happy to be free In an interview with Paula Froelic from News Nation, Afflecks close friend revealed how the Batman actor has been coping after his divorce from Lopez. He said, (Ben) doesnt care. Hes so happy to be free right now. She could wear all the revenge dresses in the world h---, she could show up to the red carpet naked and he would not blink twice. Meanwhile, Lopezs friend said, She really wants that Oscar and to be taken seriously as an actress, OK! Magazine. Lopez filed for divorce on their second anniversary of the Georgian nuptials after spending the entire summer apart. They also missed out on holidays and special celebrations including the Fourth of July, their second wedding anniversary and Lopezs 55th birthday. Lopez celebrated her birthday with friends and family in the Hamptons while Affleck was busy with shoot schedules for his upcoming film, The Accountant 2 in Los Angeles. Despite smiling for the cameras, the two could not make it work for the second time. Another source revealed previously, She [Lopez] truly believed this was the greatest love story shed ever known and she was finally getting her chance at the fairy tale. She just really didnt stop to consider who the actual man was [in] the fairy tale. Also Read: John Legend responds to Trump's remark on Haitian immigrants in Springfield eating pets: Nobodys eating cats' Lopez put a lot of effort into their marriage The On The Floor singer filed for divorce, as sources revealed earlier after Affleck made no efforts to reconcile. Another source claimed that Lopez put a lot of effort into their marriage. The source said to the media outlet, She tried so hard to make things work and it didn't matter to Ben. [Lopez] gave this everything she had, her whole heart. She would have done anything to make this work. She opened herself up to criticism, ridicule and countless naysayers who told her this was a bad idea, that it was doomed, that there was a reason it didnt work the first time. They added, But she didnt want to believe it she truly believed love would conquer all. Lady Gaga has an Academy Award, two Golden Globes, 13 Grammy Awards, 10 Billboard Music Awards, and 18 MTV Music Video Awards to her credit as of now. But do you know that her collegemates back in New York University made a group that claimed she'd never be famous? (Also Read: Lady Gaga reveals massive engagement ring from fiance Michael Polansky at Venice Film Festival) Lady Gaga reacts to her college group that claimed she'd never be famous Lady Gaga mocks college group Lady Gaga on Friday commented on a TikTok that juxtaposed her achievements against the 12-member college Facebook group named, Stefani Germanotta, you will never be famous, which addresses her by her real name. Lady Gaga commented on it, "Some people I went to college [with] made this way back when. This is why you cant give up when people doubt you or put you down gotta keep going. Before she became a popstar, Lady Gaga attended the Tisch School of the Arts at New York University. However, she dropped out in 2005 and began performing in New York clubs. Lady Gaga released her debut album The Fame in 2008, and went on to dole out multiple successful albums like Born This Way (2011) and most recently, Chromatica (2020). She also turned actor in 2013 and starred in Bradley Cooper's Oscar-nominated movie, A Star Is Born (2018). Lady Gaga's next film Lady Gaga will be next seen as the iconic comic book character Harley Quinn in Joker: Folie a Deux. The sequel to the 2019 blockbuster Joker is set to release in theatres on October 2. The first joker was a huge success, both critically and commercially. It was nominated for 11 Oscars, with Joaquin Phoenix winning Best Actor and Hildur Gudnadottir winning for Original Score. It became the highest-grossing R-rated movie ever. In the sequel, Phoenix reprises his role as Joker. The supporting cast includes Brendan Gleeson, Catherine Keener, Jacob Lofland, and Harry Lawtey. Zazie Beetz returns as Sophie from the first film. The sequel is said to be a musical, building on Phoenix's dancing scenes from the original. It recently premiered at the Venice Film Festival, where Lady Gaga made a stylish appearance. Tom Cruises epic motorbike stunt at the 2024 Paris Olympics closing ceremony left everyone stunnedmostly because he earned absolutely nothing for it. The revelation came from the president and chairman of the 2028 Los Angeles Olympics, who discussed Cruise's involvement in the epic closing stunt during a recent panel discussion. Tom Cruise rides a motorbike with the Olympic flag attached during the 2024 Summer Olympics closing ceremony at the Stade de France, Sunday, Aug. 11, 2024, in Saint-Denis, France. AP/PTI(AP08_12_2024_000073B)(AP) Cruise made a dramatic leap at Paris Olympics, eliciting gasps from spectators as he dropped 50 meters to the floor of the Stade de France. How much did Tom Cruise get paid for the Olympic stunt? Its a mission impossible in every sense. Cruise's jaw-dropping motorcycle stunt at the Paris Olympics came with a jaw-dropping price tag: zero dollars. During the CNBC x Boardroom: Game Plan panel at the Fairmont Miramar hotel in Santa Monica, Casey Wasserman, president and chairman of the 2028 Games in Los Angeles, revealed the details nearly a month after the 62-year-old left everyone stunned with his daring stunt. Also read: Ben Affleck kisses Matt Damons wife Luciana on the head, amid Jennifer Lopezs worsening health: Report He finished filming Mission: Impossible at 6 p.m. in London, caught a plane, and landed in LA at 4 a.m. to shoot the scene where he pulls onto a military plane, Wasserman disclosed, according to The Hollywood Reporter. Even though Cruise hasn't said anything about his pre-recorded stunt for the event, TMZ mentioned that the action hero was the one who went up to the committee and showed interest in the project. Wasserman praised Cruise, noting that every step of the way, [Cruise] got more involved and more engaged, ultimately performing the pre-taped stunt for free. Inside Tom Cruises Paris Olympics stunt Cruise filmed a pre-recorded segment where he rode a bike near the Eiffel Tower, boarded a plane, and skydived over the Hollywood Hills. Carrying a flag from LA Mayor Karen Bass and Olympic gymnast Simone Biles, Cruise rode through Paris, passing by various US Olympians. The flag was carried through the city, eventually reaching a beach party where famous LA musicians performed. The Magnolia star surprised everyone by wrapping up the Paris Olympics and passing the torch to LA, which is going to host the next Summer Games. Also read: Bluetooth earring company responds to Kamala Harriss debate allegations; offers Trump to Were like, Well, theres no way were getting this. Were going to get four hours of filming time. Well do the thing, Wasserman added in his statement to HR displaying his shock and happiness over Cruises involvement. with the Hollywood sign, hell hand the thing off and hes done. Maybe well get the other stuff, and the rest will be just a stunt double, he recalled, about five minutes into the presentation, [Cruise] goes, Im in. But Im only doing it if I get to do everything. The Top Gun star who was a regular to the Olympics this season and even watched Simone Biles compete, dazzled everyone with his performance at the closing ceremony on August 11. The new-age Spider-Man couple Tom Holland and Zendaya are reportedly hashing out wedding plans for next year. The adorable pairs best friends-turned-lovers arc keeps giving, and fans often wonder if wedding bells will soon be on the cards. Although numerous viral rumours about their alleged engagement have surfaced over the years, no confirmation has been heard from the horses mouth. Tom Holland and Zendaya at the premiere of Spider-Man No Way Home(Albert L. Ortega/Getty Images) Their romance has genuinely stood the test of time in the trying Hollywood scape as they continue to keep things low-key also foregrounded by Tom in June 2023, when he told The Hollywood Reporter they are incredibly protective of their relationship and want to keep as sacred as possible. Plus, we dont think that we owe it to anyone, its our thing, and it has nothing to do with our careers. Yet, time and again, fans have been privileged enough to be granted insights into their love story as Tomdaya have, on the off chance, gushed about each other on social media (heres looking at Zendayas 2022 birthday shoutout to Tom and his Spider-Man IG post for Zendayas day in 2021 with heart-eyes). Also read | Spider-Man's Green Goblin lair in NYC is looking for a new owner; iconic penthouse's price tag revealed Is Zendaya and Tom Holland's marriage on the cards? New report believes so Already deemed informally engaged, a source now claims that Tomdaya are mapping out the details of their future wedding day, especially since they are in a positive place and have been exclusive now for more than five years. Portraying their intertwined lives and their families involvement at this stage of their relationship, the insider told Life & Style that marriage has been part of the conversation for a while. With their families as big cheerleaders for this relationship, fans shouldnt expect the Spidey couple to elope because neither of their clans wants this equation to change, considering they are already part of each others families. The source who has known the couple for years said that with both 28-year-old stars having some time off before they jump back into action for the next Spider-Man chapter, Tom is never going to have a clearer path to formally propose and, much more importantly, to plan for his and Zendayas wedding. Having parted with his old partying days, Holland has presented Zendaya with enough proof that he can be a really great partner and potentially an excellent father too. Also read | Ben Affleck's pal reveals the actor is happy to be free after divorce from Jennifer Lopez Zendaya and Tom Holland's wedding discussions: When and where? Presumably, their much-hoped-for wedding would turn the page to a new chapter in their lives, but for that to happen, they must decide on what country to have the wedding - the US, England or some kind of neutral territory. Regardless of which card they pick, the source affirmed that they are focussed on aligning the theme of the big move with their usual walk classy, inclusive and fashion-forward. In addition to their families standing behind their potential decision, Tomdayas inner circle is counting on the way forward as well. Nobody in their friend group thinks they wont be a married couple by this time next year, the insider said of when their friends expect their wedding bells to ring. Old rumours and talks surrounding Tomdaya's relationship A similar report from PEOPLE came out in April 2024 when a source pushed that alleged marriage talks were on the table. They are not the kind of stars who put their lives together out there on social media for the most part," they continued, adding that because "Both are private, the person added while also emphasising that work is important to both [actors] and that keeps them busy now.. Tomdaya crossed paths on the set of Spider-Man: Homecoming in 2016. Despite shutting down initial rumours of a romance brewing in the background, the pair emerged as good friends over the years. Paparazzi snaps from July 2021 seemingly confirmed that their friendship had developed into a romantic relationship, after all. Tamil actor Vijay will soon give up his film career to pursue a career in politics. Fans are eagerly awaiting to know more about his final film. On Saturday evening, KVN Productions shared more details about the cast and crew of the film, adding that he will play the torchbearer of democracy in it. The actor will mark his 69th film before entering politics with his newly formed party, Tamilaga Vettri Kazhagam. (Also Read: Thalapathy 69: Vijay signs a final film before entering politics; fans call it one last dance. Watch) The details of Thalapathy Vijay's next were announced on Saturday. Thalapathy 69 On Saturday, KVN Productions dropped the first look poster of the film, which is tentatively titled Thalapathy 69. The poster had a hand holding a torch with fire. A line read on top of this poster, The torchbearer of democracy arriving soon. Adding details about the film's crew, the poster also announced that the film will be directed by H Vinoth, with music composed by Anirudh. The caption read, 'We are beyond proud & excited to announce that our first Tamil film is #Thalapathy69, directed by the visionary #HVinoth, with music by the sensational Rockstar @anirudhofficial Super happy to collaborate with the one and only #Thalapathy @actorvijay. The torch bearer of Democracy is arriving on Oct 2025." More details Vijay was most recently seen in Venkat Prabhus The Greatest of All Time, which was released in theatres on September 5. In it, Vijay is seen in a double role, playing a father as well as his son. Apart from Vijay, the cast includes Prashanth, Sneha, Prabhudheva, Vaibhav, Ajmal Ameer, Mohan, Ajay Raj, Aravind Akash, Laila, Meenakshi Chaudhary, Yogi Babu, Sneha, Yugendran, Premgi Amaren, and VTV Ganesh among others. In February this year, Vijay announced the launch of his political party, Tamilaga Vettri Kazhagam. The Election Commission officially registered it as a party in September this year. If you haven't seen the little baby pygmy hippo from Khao Kheow Open Zoo in Thailand pop up on your social media feeds yet, you're watching the wrong kind of content. The adorable new internet sensation has taken social media by storm. Aptly named Moo Deng which translates to bouncy pig, the endearing 2-month-old pygmy hippo has earned her millions of views and a devoted online following. Moo Deng, a 2-month-old pygmy hippo from Khao Kheow Open Zoo, has captured the hearts of millions online Her small size and expressive features make her appear almost like a character from Pokemon. Videos showcasing Moo Deng's playful interactions, such as her joy at being sprayed with water and her cute reactions when lifted by a zookeeper, have gone viral. This newfound fame has significantly boosted the zoos social media presence. The baby hippo has even inspired fan art, memes, and branded merchandise with Sephora embracing the trend and promoting a campaign that playfully suggests customers wear your blush like a baby hippo. Atthapon Nundee, the 31-year-old zookeeper at the zoo, began sharing videos of all the animals under his care on social media during the pandemic. While tourists have played a role in boosting Moo Dengs online popularity, her lively personality has also contributed to her fame. The moment I saw Moo-Deng born, I set a goal to make her famous, but I never expected it would spread abroad. I thought she could be famous in Thailand but not internationally, he said in the Guardian. Atthapon also notes that Moo Deng's playful nature matches her nickname, bouncy. Her siblings, named after various pork dishes, include her half-sister Moo Wan (Thai sweet pork), her other half-sister Pha Lor (pork belly stew), and her brother Moo Tun (stewed pork). Unfortunately, despite her fame, Moo Deng has faced challenges from overly enthusiastic fans. Reports of visitors throwing food and disturbing her for attention have raised concerns. The zoo has responded by installing CCTV cameras and urging visitors to act respectfully. Pygmy hippos are a critically endangered species, and a 1993 study claims that there were fewer than 3,000 left in the wild. They are native to West Africa but are threatened by habitat loss due to human activities. I hope that the cuteness of Moo-Deng will raise awareness for people to come and learn about [the species], said Atthapon. Conservationists hope that Moo Dengs popularity will raise awareness and support for efforts to protect these rare, adorable animals. Sri Annapoorna hotel has appealed to the public to dispel unnecessary assumptions and political misunderstandings after a video of its owner apologising to Union finance minister Nirmala Sitharaman went viral on September 13. Annapoorna hotel seeks clarity after owner's apology to finance minister goes viral.(X) In a statement, the Coimbatore-based hotel chain said, We would like put to rest the unnecessary assumptions and political misunderstanding. We hope everybody understands that we would like to end this episode and move on. ALSO READ- Annapoornas cream bun reel viral after owners apology to Sitharaman over GST During a meeting with the finance minister on September 11, D Srinivasan, the hotel's managing director and vice-president of the South Indian Hotels and Restaurants Association, raised concerns about varying GST rates for different products in restaurants and bakeries. Following the viral video of their private meeting, Srinivasan met the finance minister privately under his own volition to ensure there is no misunderstanding or misrepresentation of facts. The hotel said the leaked video has caused a lot of misunderstanding and confusion. ALSO READ- UP: 3-storey building collapses in Meerut, rescue operations underway Matter of shame, says TN CM Stalin The video posted by the Bharatiya Janata Partys Tamil Nadu unit, which has gone viral on social media, shows the owner of the restaurant chain apologising to Union finance minister Nirmala Sitharaman for his remarks on GST. The incident has drawn criticism from the ruling Dravida Munnetra Kazhagam (DMK) and the Congress party. "The way the Union minister handled it is a matter of shame because he made fair demands on GST," Tamil Nadu chief minister MK Stalin said on Saturday. ALSO READ- Kolkata murder case: CBI arrests Sandip Ghosh, police officer for alleged delay in FIR registration, missing evidence The Tamil Nadu BJP has since apologised for mistakenly sharing the video and has taken action against those responsible, the hotel said. We would like to thank FM Sitharaman: Annapoorna hotel It thanked finance minister Nirmala Sitharaman and MLA Vanathi Srinivasan for facilitating the GST discussion and expressed its wish to end the controversy and move forward. We would like to thank our honourable minister of finance and MLA Ms. Vanathi Srinivasan for organising the GST meeting for the trade bodies and organisations to present their views, it said. We would like to thank all our loyal customers and general public who have been great source of support and inspiration, it added. Annapoorna, a well-known chain of hotels in Tamil Nadu, has drawn attention with a social media post promoting its cream bun amid the controversy involving its Managing Director, Srinivasan. Annapoorna's reel draws customers' attention to cream-filled bun that has become an unexpected symbol in a debate over GST policies. The video, shared on Annapoornas Instagram on Friday with the tagline Annapoorna thats trending across India, has garnered over a million views and 20,000 likes. The lighthearted reel shows a cream-filled bun being squeezed, drawing customers' attention to a product that has become an unexpected symbol in a debate over GST policies. The video arrives on the heels of an apology by Srinivasan to Union finance minister Nirmala Sitharaman, following a comment he made regarding the Goods and Services Tax (GST) system during a recent event. Also Read | NPS won't be rolled back, UPS is to improve it, no compulsion for states to adopt it: FM Nirmala Sitharaman What's the Annapoorna controversy? Representing the Tamil Nadu Hotels Association, Srinivasan humorously criticised the inconsistent application of GST to different food items, using the cream bun as an example. He explained that while no GST applies to a plain bun, adding cream incurs an 18% tax. Customers now request the bun and cream separately to avoid paying the higher tax, he said. His remarks were met with laughter from the audience but did not reportedly sit well with Union finance minister Nirmala Sitharaman. In a closed-door meeting the following day, Srinivasan met with Sitharaman, alongside BJP MLA Vanathi Srinivasan. A video of Srinivasan apologising to the minister later surfaced, shared by Tamil Nadu BJP functionary Balaji MS, sparking backlash on social media. The video quickly went viral, with opposition leaders condemning what they viewed as the intimidation of a small business owner for merely voicing his concerns about the tax system. Also Read | MK Stalin criticises Nirmala Sitharaman for shameful behaviour with businessman who asked questions on GST Amid the uproar, Tamil Nadu BJP President K. Annamalai issued a public apology for the leak of the video, calling it an unintended breach of privacy and urging respect for Srinivasan. Annapoorna Srinivasan Anna is a pillar of Tamil Nadus business community, contributing significantly to the states and nations economic growth, Annamalai wrote on X. I request everyone to lay the matter to rest with due respect. Many social media users suggested that the 'cream bun' video was Annapoornas subtle response to the controversy. "Well played AnnapoornaThe businesses should learn from this episode that no amount of servility to BJP will make them do good to you. Grow a spine, stand up and hit back," a user wrote. Armenia, which has fought two wars with Azerbaijan since the collapse of the Soviet Union, is seeking Indias help to upgrade its small fleet of Russia-built Su-30SM fighter aircraft, with the development coming on the back of the country ordering rocket systems, artillery guns and weapon locating radars from India, top officials aware of the development said. Chief of defence staff General Anil Chauhan and Armenias air chief Colonel Hovhannes Vardanyan (right) at the Jodhpur airbase. (Rahul Singh/HT Photo) The Su-30 upgrade that Armenia is eyeing involves avionics, electronic warfare suites and weapons. We are looking at modernising our Su-30s with the help of Hindustan Aeronautics Limited (HAL) as it has a lot of expertise in the field, Colonel Hovhannes Vardanyan, who heads Armenias Air Force Department, told HT on the sidelines of multilateral Tarang Shakti 2024 air combat drills that concluded at the Jodhpur airbase on September 13. HAL has built the Russian-origin fighters for the Indian Air Force under licence at its aircraft manufacturing division in Nashik. Vardanyan spoke through an interpreter. Vardanyan said he discussed areas of possible cooperation with chief of defence staff General Anil Chauhan at the International Defence Aviation Exposition 2024 organised at the Jodhpur airbase alongside the exercise to showcase Indias prowess in the defence manufacturing sector to top officials of 28 global air forces that participated in the drills. A top Indian official confirmed Armenias interest in the Su-30 upgrade by HAL. Theres some conversation happening around that, but its at an initial stage. The Su-30 variant operated by Armenia is different from the Su-30MKIs in IAF service. So that aspect must be factored in, along with the complicated dynamics in that region, including Indias longstanding and trusted defence partner Russia moving closer to Azerbaijan after the war with Ukraine began, the official said asking not to be named. The mountainous region of Nagorno-Karabakh has been the focal point of tensions between the two former Soviet republics. Russia traditionally stood with Armenia but drifted towards Azerbaijan after the war with Ukraine began as Moscow needed Turkeys continued support, a country that has close links with Baku, said Anil Trigunayat, a former top Indian diplomat and Russia expert. Russia is going slow on Armenia and the US is moving closer to Yerevan. Despite the changing dynamics in the region, I believe India will keep military cooperation with Armenia on track, Trigunayat said. Indias defence ties with Armenia have deepened in recent years. In November 2022, Indian defence firm Kalyani Strategic Systems Limited won an export order worth $155.5 million for supplying artillery guns to Armenia, the first order for a local company for the 155mm weapon system. Kalyani will execute the order by 2025. That order came on the back of Armenia choosing to buy the Pinaka rocket system from India. Armenia turning to India for the Su-30 upgrade is hardly surprising. IAF operates a fleet of 260 Su-30s and will get 12 additional fighters to make up for planes lost in accidents. The first 50 Sukhois came from Russia, while the remaining were built under licence by HAL. IAFs Su-30s will also be upgraded by HAL at a cost of around 65,000 crore. It will involve equipping the fighters with the indigenous Uttam active electronically scanned array (AESA) radar, electronic warfare suites, weapon control systems, avionics and new weapons. Besides, HAL is building Su-30 engines at its Koraput facility in Odisha. On September 9, the defence ministry signed a 26,000-crore contract with HAL for 240 aero-engines for IAFs Su-30s. The state-run firm will supply 30 AL-31FP engines every year, with the delivery to be completed in eight years. The Jammu and Kashmir high court on Friday granted anticipatory bail to an Indian Air Force wing commander accused of raping a 26-year-old flying officer at the Srinagar airforce station, noting that arrest will harm his reputation. The woman officer -- stationed at the Srinagar airforce station-- had filed a police complaint alleging that the wing commander sexually harassed her on New Years Eve. (HT Photo) The high court asked him not to leave the Union Territory and furnish surety bonds of 50,000. The court also permitted the investigation to continue but directed the police not to file a charge sheet without its permission. The prima facie case for indulgence is made out at this stage at the petitioner who is serving as wing commander in the Air force Station Srinagar and in case of his arrest his reputation as well as the service career shall be jeopardised, said justice Rajnesh Oswal in his order. The court asked for a status report on or before the next date of hearing, and directed that in the event of his arrest, he be released on bail. He shall not leave the UT of J&K without the permission of his commanding officer, he will not contact any prosecution witnesses either physically or through any other mode, he shall appear before the IO on 14 -9 2024 to 16-9 2024 and thereafter as and when required, the order said. The woman officer -- stationed at the Srinagar airforce station-- had filed a police complaint alleging that the wing commander sexually harassed her on New Years Eve and that several lapses in the internal investigation led to further harassment and mental torture. Based on her complaint filed on September 8 at Srinagars Budgam police station, the Jammu and Kashmir Police filed a first information report under section 376(2) of the Indian Penal Code (IPC), and launched an investigation into the allegations. The woman officer also sought legal action against five perpetrators, including an air commodore, three group captains and a wing commander, while alleging a string of lapses in the internal investigations that led to continued mental harassment. The FIR, however, did not give details of their involvement in the case. According to the allegations in the FIR, seen by HT, the incident took place eight months ago after a New Years party at an officers mess on December 31 when the wing commander allegedly asked the complainant if she had received a gift on the occasion. When she said she hadnt, the officer allegedly took her to his room -- where the gifts were kept and his family wasnt present -- and forced her to perform oral sex on him, she alleged. I repeatedly asked him to stop doing it and tried to resist it in all ways possible. Finally, I pushed him and ran away, her complaint said. The woman officer was commissioned into IAF two years ago and this is her first posting. The FIR, she alleged that she confided in two women officers that she was sexually assaulted, and they guided her to lodge an official complaint, following which a court of inquiry was ordered. According to her, the wing commander was made to sit with her during the proceedings on January 29 and 30, 2024, to which she objected as it violated regulations in such cases. The internal committee did not do its job properly as directions had come from higher formation to keep the result as neutral. Everyone was aiding the sexual offender...I requested interim relief and leave multiple times, but I was denied leave each time. I asked that either self or wing commander get posted from this place, but till date both are posted in the same place. I am forced to socialise with these people and attend events with my abuser, she alleged in the FIR. In her complaint, the woman officer further alleged that while the wing commander was enjoying himself, she was being harassed by the authorities. The investigation ended on May 15. The ongoing harassment has had a severe impact on my mental health. I am living in constant fear, under 24/7 scrutiny and my social life has been completely disrupted. The harassment has driven me to suicidal thoughts, and I feel utterly helpless. I have endured this torture for too long, and I am at my breaking point. She alleged that a person she spoke with was detained and questioned by the local authorities on the orders of a group captain and a court of inquiry was ordered against her with the terms of reference being, to investigate the report of authorised stay at living accommodation of flying officer (the complainant) by an army officer. Geneva, September 14, 2024 Swiss authorities have frozen $311 million (approximately 2,610 crore) held by a Taiwanese resident in multiple Swiss bank accounts, amid an ongoing money laundering investigation. The funds are suspected to be linked to an alleged proxy of the Adani Group, a charge the Indian conglomerate strongly denies. Gautam Adani, chairman, Adani Group [ Photo: Narendra Bisht/ Fortune India] The freeze follows a report by Hindenburg Research, the US-based short-seller that previously criticized the Adani Group in a high-profile report last year. This report claimed that newly released Swiss criminal records indicate that Swiss authorities have been investigating alleged financial misconduct involving the Adani Group since 2021. According to Hindenburg Research, the investigation centers on Chang Chung-Ling, whom Swiss prosecutors believe might be a front for the Adani Group. The funds in question are reportedly tied to investments in opaque entities based in the British Virgin Islands, Mauritius, and Bermuda, which predominantly held Adani stocks. The Adani Group has categorically rejected these allegations, asserting that it has no involvement in any Swiss court proceedings and that none of its accounts have been subject to sequestration. The conglomerate described the allegations as preposterous, irrational, and absurd, and asserted that this is part of an ongoing attempt to damage its reputation. We unequivocally reject and deny the baseless allegations presented. The Adani Group has no involvement in any Swiss court proceedings, nor have any of our company accounts been subject to sequestration by any authority, the company stated in a press release. Swiss media outlet Gotham City reported that the Geneva Public Prosecutors Office has been investigating Chang Chung-Ling and has sequestered the $310 million across five Swiss banks over the past three years. The Federal Criminal Courts ruling, published on September 10, 2024, cites the Adani Group as being under suspicion of illicit activities, including money laundering and embezzlement, though the Group has not been directly mentioned in the court documents. Chang Chung-Lings name first emerged in the 2023 Hindenburg report, which alleged stock market manipulation and accounting fraud within the Adani Group. According to the report, Chang Chung-Ling was involved in substantial financial transactions through offshore funds, and his entities, including Growmorea Mauritius-based companywere implicated in stock market manipulations. Hindenburgs report also highlighted that Chang Chung-Lings son, Chang Chien-Ting, was linked to PMC Projects (India), one of several firms investigated by the Securities and Exchange Board of India (SEBI) over related-party transactions. The results of SEBIs probe remain undisclosed. Despite the ongoing investigation and media scrutiny, the Adani Group remains firm in its stance, emphasizing its commitment to transparency and regulatory compliance. The company has pledged to cooperate fully with any relevant authorities and continues to assert that its financial operations are legitimate and within legal boundaries. The Forest Advisory Committee has decided to defer its decision on ex-post facto forest clearance to police battalions being constructed in violation of the Forest Conservation Act in Hailakandi and Geleky reserved forest in Assam. The minutes of FACs meeting held on August 27, available on Parivesh website, state that FAC has also directed the regional office of Union environment ministry to complete proceedings against the officers responsible for violation. (AFP) The minutes of FACs meeting held on August 27, available on Parivesh website, state that FAC has also directed the regional office of Union environment ministry to complete proceedings against the officers responsible for violation and that the state government shall discontinue any kind of construction activities forthwith in the proposed forest area in Hailakandi. In Geleky Reserved Forest, FAC has directed that Assam government to exclude the diverted forest area for exploratory drilling by ONGC, and submit a revised proposal. The regional office of MoEFCC shall initiate action against those responsible for the violation and stop ongoing constructions, the minutes said. These constructions involve use of 11.5 Ha at Damchera in Innerline Reserved Forest under Hailakandi Division and diversion of 28 Ha forest land for the purpose of the establishment of Commando Battalion Camp in the Assam-Nagaland inter-state border area of Geleky Reserved Forest. The decision to defer these projects was welcomed by activists. Apart from applying for ex-post facto forest clearance for these two diversions, the state government has also appealed that MK Yadava, former principal chief conservator of forests (PCCF), Assam who allowed diversion of these lands in violation of the law, be condoned, and that imposition of all penal provisions on the official be withdrawn. Action on Yadava would give a stern message to other officials in the country who willfully violate the norms, said Rohit Choudhury, RTI and wildlife activist. The Delhi Police on Saturday registered an FIR after firecrackers were burst outside chief minister Arvind Kejriwal's residence in Civil Lines, north Delhi, following his release from Tihar jail, officials said on Saturday, PTI reported. New Delhi: Supporters celebrate Delhi chief minister Arvind Kejriwal's release from the Tihar Jail on bail granted by the Supreme Court in a case registered by the CBI in the excise policy case, in New Delhi, Friday, September 13. (PTI Photo/Kamal Singh) The Supreme Court granted bail to Kejriwal in the CBIs Delhi excise policy corruption case after he had spent over five months in jail. ALSO READ- CM Kejriwal will function like before, no work of Delhi people will get affected: AAP's Sanjay Singh Why FIR on bursting firecrackers? The Delhi government had earlier announced a ban on the production, sale, and use of firecrackers to control winter air pollution. A case under Section 223 (disobedience to orders) of the Bharatiya Nyaya Sanhita was filed at the Civil Lines Police Station against unknown persons for violating the ban, an official said. Firecrackers were set off outside the CM's residence on Friday evening, shortly after his release from Tihar Jail. Delhi BJP president Virendraa Sachdeva said, "The leaders of AAP make announcements whenever there is Diwali or any other festival of Hindu religion. Delhi minister and AAP leader Gopal Rai should take cognizance of the matter. A day before yesterday, he gave statements about banning firecrackers by February 2025. And yesterday, firecrackers were burnt in front of the CM. All the restrictions are for the Sanatan Dharma only. However, the court has permitted to burn green firecrackers." ALSO READ- This is your failure: Cong slams PM Modi over rise in terror incidents in J&K Arvind Kejriwal gets bail, but can he still perform his duties as CM? The Supreme Court granted bail to Arvind Kejriwal but set certain limitations. Delhi chief minister Kejriwal must submit a surety bond of 10 lakh as part of his bail conditions. He is prohibited from making any statements about the Delhi excise policy case. ALSO READ- Stones thrown at Durg-Vizag Vande Bharat days before maiden run; five arrested Kejriwal is also barred from entering the chief ministers office or the Delhi Secretariat. He can't sign official documents unless absolutely necessary to obtain the Lieutenant Governor's sanctions. He must also attend trial sessions unless granted exemption by the court. Kejriwal must file an application if he wishes to modify these conditions, according to his lawyer Rishikesh Kumar, who said that the Supreme Court may alter the restrictions imposed in the Enforcement Directorate (ED) case. Kejriwal was arrested by the ED on March 21, 2024, as part of a money laundering investigation linked to alleged irregularities in the now-scrapped Delhi excise policy for 2021-22. The CBI later arrested him on June 26, 2024, while in ED custody. Days after his release by the Muhammad Yunus-led interim government of Bangladesh, Jashimuddin Rahmani, chief of Islamist outfit Ansarullah Bangla Team has asked West Bengal chief minister Mamata Banerjee to free Bengal from Modi's rule and declare its independence. Jashimuddin Rahmani, chief of Islamist outfit Ansarullah Bangla Team.(X) According to media reports, Rahmani also sought help from Pakistan and Afghanistan for the independence in Jammu and Kashmir. The Ansarullah Bangla Team is an offshoot of the al-Qaida in the Indian Subcontinent (AQIS) a banned outfit in India. Rahmani made the remarks in a video, which has gone viral and was likely shot at a hospital. According to India Today, a Dhaka-based fact-checker has confirmed the authenticity of the video. Also Read | Rise of Jamaat-e-Islami and Bangladesh political conundrum Rahmani was arrested in 2013 in connection with the killing of Ahmed Rajib Haider an atheist blogger critical of radical Islam. He was accused of inciting students to kill Haider to protect Islam. I am warning IndiaBangladesh is not like Sikkim or Bhutan. It is a country of 18 crore MuslimsIf you take a step towards Bangladesh, we will tell China to close the chickens neck [Siliguri corridor]. We will tell the Seven Sisters [Northeastern states] to join the freedom movement, Rahmani was quoted as saying by The Print in the viral video. Tell Kashmir to get ready for freedom. Pakistan and Afghanistan together will help Kashmir gain independence. We will work for the freedom of Kashmir. We will tell Mamata Banerjee of West Bengal to free Bengal from Modis rule and declare independence. I will tell the Sikhs that your time has come, now call for freedom; to those Sikhs who are Khalistanis, in every province of India, your time has come, he added. Flags of the Tawhid will flutter above Delhi The Islamic radical also said that Bangladesh does not wish to have conflict with any country in the world, including India, China, Europe and the US, but will retaliate if they are challenged or neglected. If we are challenged, if we are neglected, if chaos is created in our country, then the Tawhid population of Bangladesh, just like it has risen against [Sheikh] Hasina, to protect the freedom of Bangladesh, to protect Islam in Bangladesh, the Tawhid will unite to face you in the field, he says, according to Print. He added: The day is not far when your country too will be broken and the flags of the Tawhid will flutter above Delhi. Former Banlagesh Prime Minister Sheikh Hasina was ousted in a mass uprising, sparked by students over government job quotas. She fled to India on August 5. Also Read | Bangladesh asks Hindus to pause Durga Puja activities during namaz, azaan Prime Minister Narendra Modi launched a sharp attack on the Congress party during an election rally in Haryana, accusing it of prioritising appeasement politics as its biggest goal. Addressing a large crowd in Kurukshetra, Modi highlighted a recent incident in Karnataka, where a Ganesh idol was momentarily seized by police in Bengaluru amid a protest against stone-pelting during a Ganesh immersion procession. Prime Minister Narendra Modi addresses a public meeting ahead of the upcoming Haryana Assembly elections, in Kurukshetra, Saturday, Sept. 14, 2024.(PTI) Appeasement is the biggest goal for Congress. Today the situation has become such that even Ganpati is being put behind bars in the Congress-ruled state of Karnataka, Modi said. Also Read | PM Narendra Modi's visit to CJI Chandrachud's residence draws Opposition's reaction: Our concern is What exactly happened to Ganpati idol in Karnataka? The Ganesh idol controversy occurred after a group of devotees attempted to protest the alleged attack on a Ganesh idol immersion procession by stone-pelters in Nagamangala taluk. The protest was organised by the Bengaluru Metropolitan Ganesh Utsav Committee and was initially denied permission by the police. During the protest, a three-star inspector was seen placing the Ganesh idol into a police van designated for detaining protesters, a move that quickly drew media attention. Realising the potential backlash, the police promptly moved the idol to a police jeep while detaining the protesters. The whole country is celebrating Ganesh Utsav today and Congress is obstructing it... Today it is not the same old Congress, today's Congress has become the new form of urban Naxal. Congress is no longer ashamed of lying, Modi alleged. Also Read | Cops ban Ganesha idol processions in Bengaluru after incidents of violence Stone-pelting in Nagamangala The situation in Nagamangala had escalated following clashes between two groups during the immersion procession on September 12. According to police, an argument broke out between two groups, when the Ganesh idol procession by devotees from Badarikoppalu village reached a place of worship on Wednesday, and some miscreants hurled stones, which escalated the situation. The violence resulted in damage to shops and vehicles, with several people, including two policemen, sustaining injuries. Police have since arrested 52 individuals and imposed prohibitory orders to maintain order. Chief minister Siddaramaiah condemned the violence as the work of miscreants and said the state government was taking it seriously. He urged the public to maintain peace and not be swayed by incitement. Home minister G Parameshwara said the clashes cannot be termed as "communal violence" as he maintained that the incident happened on the "spur of the moment". In the wake of the unrest, the BJP accused the Congress of fostering an environment of appeasement that led to the violence, while JD(S) leader H D Kumaraswamy condemned the states handling of law and order. Kumaraswamy warned that if the Congress continues its alleged appeasement policies, it could face significant political repercussions. All Indian languages are our pride and heritage, and the official language Hindi has an unbreakable relationship with every Indian language, Union home minister Amit Shah said on Saturday, extending his greetings on the Hindi Diwas. Shah said the countrys progress is intertwined with the enrichment of its languages. Union home minister Amit Shah addresses a gathering on the occasion of Hindi Diwas in Delhi on Saturday. (PTI) Heartiest greetings on Hindi Diwas to all fellow citizens. The official language Hindi has an unbreakable bond with every Indian language, Shah posted on X in Hindi. All Indian languages are our pride and heritage, without enriching them we cannot move forward. Official language Hindi has an unbreakable relationship with every Indian language. This year Hindi has completed 75 years of public communication and national unity as the official language of the country. I am confident that by taking all Indian languages together, official language Hindi will continue to contribute towards realising the resolution of a developed India, he added. Shah said that this years Hindi Diwas marked 75 years since the Constituent Assembly of India adopted Hindi as the official language on September 14, 1946. He added that the Diamond Jubilee of Hindis official status will be celebrated this year, underlining its importance not only as the official language but also in relation to the various languages spoken across Indias states. Whether it is Gujarati, Marathi or Telugu, every language gives strength to Hindi and Hindi gives strength to every language...In the last 10 years, a lot of work has been done under the leadership of PM Modi to strengthen Hindi and local languages. PM Modi has put forth the importance of Hindi in front of the world by giving speeches in Hindi on many international forums and has also increased the interest towards our languages in our country, he said. Hindi Diwas is celebrated every year on September 14 in memory of the decision made by the Constituent Assembly in 1949 to adopt Hindi as one of Indias official languages. The Allahabad high court has ruled that a Hindu marriage cannot be dissolved or treated like a contract. The court stated that a Hindu marriage, considered a sacred bond, can only be legally dissolved in limited circumstances, based on evidence provided by both parties. The Allahabad HC was listening to wifes appeal against the dissolution of her marriage. ALSO READ- Yogi Adityanath says unfortunate to call Gyanvapi a mosque. Samajwadi Party reacts What is the case? In a case involving a wifes appeal against the dissolution of her marriage, a division bench of Justices Saumitra Dayal Singh and Donadi Ramesh ruled that a court can only grant divorce on mutual consent if that consent remains valid until the final order is passed, PTI reported. The court said that if one party withdraws their consent before the final decision, it cannot proceed with the divorce based on the initial consent. "Once the appellant claimed to have withdrawn her consent and that fact was on the record, it never became open to the learned court below to force the appellant to abide by the original consent given by her that too almost three years later," the court said. "To do that would be a travesty of justice," the bench added. ALSO READ- One injured after blast in Kolkata, BJP seeks thorough probe by NIA Divorce petition was granted based on earlier statements The appeal was filed by a woman challenging a 2011 judgement by the Bulandshahar additional district judge, which had granted divorce based on a petition filed by her husband. The couple married in 2006, but the woman allegedly left her husband in 2007. The husband filed for divorce in 2008, and the wife initially agreed to live separately. However, during the proceedings, the woman changed her stance and contested the divorce, leading to failed mediation attempts. Eventually, the couple reconciled, had two children, and lived together, but the divorce petition was granted based on earlier statements. ALSO READ- Kanyadan not essential for Hindu marriage as per law: Allahabad HC This was challenged in the high court, which overturned the decision, stressing that the consent for divorce must be valid at the time of the final order. The Kerala high court on Friday quashed criminal proceedings against three former Congress MLAs for causing a ruckus in the state legislative assembly in 2015. The court underlined that the complaints in these cases do not disclose the essential ingredients of the offences which are alleged against the accused (File photo) The former MLAs MA Vaheed, Dominic Presentation and K Sivadasan Nair were accused of blocking women MLAs, touching them inappropriately and used words that elicited disgust inside the legislative assembly on March 13, 2015 while then-finance minister KM Mani was preparing to present the state budget. The ruckus erupted as MLAs from the Left Democratic Front (LDF) staged protests to obstruct Mani from presenting the budget for his alleged involvement in the bar licence renewal bribery case. The bench of justice PV Kunhikrishnan on Friday held that presenting the budget is the constitutional duty of a finance minister and the complainants were trying to obstruct it. In such situation, it cannot be said that there is an intention to outrage the modesty, but the intention is to see that the finance minister present the budget, which is his constitutional duty, it said. The legislators were booked under sections 341 (wrongful restraint), 354 (assault or criminal force to outrage a womans modesty) and 34 (acts done with criminal intent) of the IPC on the complaints of two former woman LDF MLAs Jameela Prakasam and KK Lathika The members of legislative assembly have no right to obstruct the finance minister in presenting a budget except to demonstrate a peaceful protest against the same, if they are aggrieved. In such circumstances, I am of the considered opinion that section 341 IPC is not attracted in the facts and circumstance of the case, bench said. The court underlined that the complaints in these cases do not disclose the essential ingredients of the offences which are alleged against the accused. The Jammu and Kashmir high court granted pre-arrest bail on Friday to an Indian Air Force (IAF) wing commander accused of rape, mental harassment, and stalking a female flying officer in Srinagar. The Jammu and Kashmir high court in Srinagar. As the petitioner who is serving as Wing Commander... and in case of his arrest his reputation as well as the service career shall be jeopardised, the bail order stated, India Today reported. This decision followed a First Information Report (FIR) filed by Jammu and Kashmir Police after the woman's complaint. The high court asked the police not to file a charge sheet in the case without its permission. "This court has allowed the investigation to continue. However, we direct that the chargesheet shall not be filed without the permission of this court," the order by a single-judge bench, NDTV reported. The single-judge bench clarified, "The investigation may continue, but the chargesheet must not be filed without this courts permission." Bail conditions require the accused to provide two sureties of 50,000 each. He is also prohibited from leaving the Union territory without prior consent from his commanding officer. Additionally, he must report to the investigating officer between September 14 and 16, from 10am to 2pm on those days. What is the case? Last week, an FIR has been registered at Budgam police station against the wing commander following a complaint by the woman flying officer accusing him of rape. Both the IAF officers are currently stationed in Srinagar. A day after the complaint by the woman officer, the FIR under Section 376(2) of the IPC was filed at Budgam police station in central Kashmir on Sunday, the officials said. Giving details, officials said the 26-year-old woman officer alleged that she was subjected to "continuous harassment, sexual assault, and mental torture" "primarily by authorities at the Air Force Station, Srinagar". What IAF woman flying officer alleged: In 2014, the Peoples Democratic Party was the single-largest party in the assembly elections in Jammu and Kashmir and went on to form the government in alliance with the Bharatiya Janata Party (BJP). In survival battle, PDP looks at four-cornered contest in Kashmir A decade later, former chief minister Mehbooba Muftis party is fighting a battle for its survival in its erstwhile bastion of south Kashmir. The party faced a rout in the 2024 Lok Sabha polls of 2024, winning zero seats and just 8.45% of the votes. Its main rival in the Valley, the National Conference (NC) won two out of the three seats in the Valley and 22.2% of the votes. Its main leader Mehbooba Mufti lost by 181,000 votes from Anantnag-Rajouri And now, in the first assembly elections in the region in a decade, the party faces an existential battle in a four-cornered contest involving its traditional rivals NC-Congress, the Bharatiya Janata Party, and a clutch of independents and smaller parties backed by entities such as the Jamaat-e-Islami Kashmir. PDPs chances dont seem to be bright in this election. It carries the burden of its past. People are blaming it, in part, for what happened in recent years in J&K and it wont be able to come close to its past performance in south Kashmir, said political analyst and retired Kashmir University professor, Noor Baba. The Union Territory goes to the polls in three phases beginning September 18. The votes will be counted on October 8. Burdened by past alliances The partys spectacular performance in 2014 it won 28 seats and 22.67% of the votes ironically set the stage for its troubles. In 2014, the party emerged as the single-largest party with 28 seats out of 87, while the BJP got 25, the NC 15 to and the Congress 12. The party formed a coalition of ideological extremes with the BJP, and Mufti Mohammed Sayeed became the chief minister. But in 2018, after Sayeeds death, the BJP revoked its support and pushed the erstwhile state into governors rule. Then on August 5, 2019, the Union government scrapped the regions special status and statehood by abrogating Article 370. It also imposed near-curfew restrictions for months, suspended internet services and detained an array of political leaders. The move caused deep resentment in the Valley, and many people especially in the PDPs bastion of south Kashmir, also a hotbed of militancy blamed Mehbooba Muftis party for aligning with the BJP. This time the movement is towards NC-Congress. People are concerned about their collective loss. We lost our legal backing (in the form of Article 370), said Ghulam Nabi, a fruit businessman from Anantnag. Although all mainstream parties have caused degradation of Kashmirs political status but we blame the PDP for bringing the BJP here, he added. The Mufti family bastion The party was formed by Mufti Mohammad Sayeed in 1999 after leaving the Congress. The PDP went on to form the government along with the Congress in 2002 when it won 16 seats. With the Muftis belonging to Anantnag, South Kashmir became the bastion of the PDP. Mehbooba would often take part in protests against the special operation group (SOG or Task Force), an anti insurgency force often alleged to have been involved in atrocities against civilians in rural areas. The group was later disbanded by Mufti Sayeed and merged with J&K police. Mehbooba would also hold sit-ins outside camps of SOG and army against alleged human rights violations. She would visit families of people who were victims of the alleged atrocities of security forces. At a time when nobody would come here, I would visit and go from village to village as there were lot of atrocities. As militancy was severe, security forces would commit excesses. I also managed to remove camps (of security forces). So I have an old relation with Tral and I hope it would again make the party successful this time, she said at rally in Tral on Friday. Against this backdrop, the 2024 results came as a rude shock. Not only did Mehbooba Mufti lose, the party could manage a lead only in five assembly segments (out of 90) in south Kashmirs Anantnag and Pulwama districts, in some cases by very close margins. The parliamentary elections indicated that the party has been squeezed further and now the things have become much more complex with the introduction of Jamaat and Engineer Rashid, Baba said. The PDPs performance in south Kashmir was built on the tacit support of the Jamaat-e-Islami, said political observers. But the organisation has now fielded its own candidates in around 10 seats. Just see the massive response Jamaats first political rally in three decades got in Kulgam last week. I sense there are still some fetters on the Jamaat and it has not been allowed to contest from all seats. Had they contested all seats, they could have sprung a surprise and the PDP would have been decimated, said Peoples Conference chief Sajad Lone. Chances of revival Analysts say the PDPs chances will hinge on its ability to revive in south Kashmir because its chances in Srinagar and north Kashmir are low. Now there is a not a single safe seat for the PDP, said Farhan Ahmad, a university student from Pulwama district. Its chances are from seats such as Pulwama, Bijbehara, Anantnag, Devsar and Tral, he added. Mehbooba Mufti is not fighting these polls but has fielded her daughter Iltija Mufti, from the familys home constituency of Sirgufwara-Bijbehara. Iltijas campaign is focussed on development during the PDPs 2002 tenure, and Mehboobas decision to revoke police complaints against young people during protests following the killing of Hizbul commander Burhan Wani in July 2016. The PDP is reviving in south Kashmir. People know that they got rid of Task Force and POTA by PDP in 2002. And Mufti Mohammad Sayeeds tenure was a golden time for J&K, said Iltija. The party is pushing for the restoration of Article 370 . We will resolve the larger issue of Jammu and Kashmir by involving the stakeholders through dialogue, she said. The PDPs Pulwama candidate, Waheed Para, said the response was good in south Kashmir. This (south Kashmir) is the founding area of the PDP since 2002. People have a connect with Mufti Sayeed sb and Mehbooba jis politics. There was repression here and she was the one going door to door. People remember that and they come out in support, he said. Para agreed that the coalition with the BJP was suicidal. It was an alliance of two parallel lines. But its motive was not government formation but to soothe the pain of Kashmiris and start dialogue between Delhi and Srinagar, he said. * India accuses Samsung, Xiaomi of colluding with Amazon, Flipkart Big smartphones companies embroiled in Amazon, Flipkart case * Xiaomi, Samsung accused of exclusive launches online * Such practices hurt smaller Indian retailers, regulator says By Aditya Kalra NEW DELHI, Sept 14 - Samsung, Xiaomi and other smartphone companies colluded with Amazon and Walmart's Flipkart to exclusively launch products on the e-commerce firms' Indian websites in breach of antitrust laws, according to regulatory reports seen by Reuters. Antitrust investigations conducted by the Competition Commission of India have found that Amazon and Flipkart violated local competition laws by giving preference to select sellers, prioritising certain listings, and steeply discounting products, hurting other companies, Reuters reported this week. The CCI's 1,027-page report on Amazon also said the Indian units of five companies - Samsung, Xiaomi, Motorola, Realme and OnePlus - were "involved in the practice of exclusive" phone launches in "collusion" with Amazon and its affiliates, breaking competition law. In Flipkart's case, a 1,696-page CCI report said the Indian units of Samsung, Xiaomi, Motorola, Vivo, Lenovo and Realme conducted similar practices. The inclusion of smartphone makers like Samsung and Xiaomi in the case could increase their legal and compliance headaches. "Exclusivity in business is anathema. Not only is it against free and fair competition but also against the interest of consumers," CCI's additional director general G.V. Siva Prasad wrote in the Amazon and Flipkart reports, in identical findings. Reuters is first to report the smartphone companies have been accused of anticompetitive behavior in the CCI's reports which are dated Aug. 9 and are not public. Xiaomi declined to comment, while the other smartphone makers did not respond to requests for comment. Amazon, Flipkart and the CCI did not respond, and have not so far commented on the reports' findings. Both the CCI reports said that during investigations Amazon and Flipkart "deliberately downplayed" allegations of exclusive launches, but officials found the practice was "rampant". Counterpoint Research data shows that South Korea's Samsung and China's Xiaomi are two of India's biggest smartphone players, together holding an almost 36% market share, with China's Vivo on 19%. India's e-retail market is set to exceed $160 billion by 2028, up from $57-60 billion in 2023, consultancy firm Bain estimates. The investigation findings are a major setback for Amazon and Flipkart in a key growth market where they have faced the ire of small retailers for years for hurting their offline businesses. The CCI has also said both companies used their foreign investments to provide subsidised rates for services like warehousing and marketing to a select number of sellers. ONLINE SALES BOOM Some of the smartphone companies - Xiaomi, Samsung, OnePlus, Realme and Motorola - have been ordered to submit their financial statements for three fiscal years to 2024, certified by their auditor, to the CCI, according to an internal CCI document dated Aug. 28, also seen by Reuters. The investigation into Amazon, Flipkart and their sellers was triggered in 2020 by a complaint from an affiliate of the country's biggest retailer association, the Confederation of All India Traders, which has 80 million members. The CCI will in coming weeks review any objections to its findings from Amazon, Flipkart, the retailer association, and the smartphone companies, and could potentially impose fines along with mandating companies to change their business practices, people familiar with the matter said. Indian retailers have repeatedly accused Amazon and Flipkart, and smartphone companies, of exclusive phone launches online, saying shopkeepers suffered as they didn't get the latest models and customers looked for them on the shopping websites. "Exclusive launches had not only severely affected the ordinary sellers on the platform but also the brick-and-mortar retailers who were provided mobile phones at a much later date," both CCI reports said, citing analyses of data from smartphone companies. Indian research firm Datum Intelligence estimates that 50% of phone sales were online last year, up from 14.5% in 2013. Flipkart had a 55% share in online phone sales in 2023, and Amazon 35%. This article was generated from an automated news agency feed without modifications to text. Prime Minister Narendra Modi on Saturday called on the people of Haryana to support the Bharatiya Janata Party (BJP) for a third consecutive term in the upcoming state elections. Prime Minister Narendra Modi addressing election rally in Kurukshetra, Haryana. Addressing an election rally in Kurukshetra, Modi said, I urge you all to help BJP form a government again in Haryana. "You helped me serve you all for the third consecutive time in Delhi, and looking at the excitement and enthusiasm here, it is clear that Haryana has decided for BJP's hat-trick this time." Also Read | In Haryana's Faridabad, 2 HDFC Bank employees drive SUV into flooded underpass, drown Modi highlighted the BJP's governance record, pointing to recent initiatives aimed at benefiting farmers, the poor, youth, and women. "During the campaigning for the Lok Sabha elections, I promised to make big decisions for these sections of society within the first 100 days of the BJP government. It's not even 100 days yet, but our government has already started projects worth 15 lakh crore. Three crore pucca houses have been approved for poor families," he added. Also Read | Haryana assembly polls: BJP-Cong trade barbs over development works in Panchkula The prime minister contrasted the BJP's record with that of Congress, criticising its performance in the neighbouring state of Himachal Pradesh. "Congress made fake promises to every section of society there. It didn't fulfil even one of them, and now government employees are forced to go on strike just to receive their salaries." This was the prime minister's first rally for the Haryana elections. Also Read | Haryana assembly elections: Poll debate in Kalka revolves around need of change Haryana chief minister Nayab Singh Saini had earlier urged people to attend the event in large numbers, He had expressed confidence in the BJP's chances, stating that the party's symbol, the lotus, would "bloom for a third time" in the state. Polling in Haryana Polling for the 90 seats in Haryana will be held on October 5, and votes will be counted on October 8. The BJP is seeking a third term in the state but faces strong opposition from Congress, the Aam Aadmi Party (AAP), the JJP-ASP alliance, and the INLD-BSP coalition. Union minister for minority affairs Kiren Rijiju criticised fugitive Islamic preacher Zakir Naik on Saturday for spreading false propaganda about the Centre's Waqf amendment bill. Fugitive Islamic preacher Zakir Naik and Union minister Kiren Rijiju.(File) Zakir Naik, who is wanted in India for alleged money laundering and hate speech, in a video message, claimed that if the Waqf amendment bill was passed by Parliament, thousands of mosques, madrasas, graveyards and lakhs of acres of land would be taken away from Muslims. Also Read | ASI lends support to Waqf bill at JPC meet He also termed the Narendra Modi-led NDA coalition government as 'anti-Islamic' and anti-Muslim and claimed that it was trying to usurp the land of Muslims. In response, Kiren Rijiju termed Zakir Naik as anti-India character and slammed him for spewing venom by spreading false and fake propaganda. This anti-India character is spewing venoms by spreading false and fake propaganda & also trying to create communal disturbances in India, Kiren Rijiju wrote in a post on X. We need to unitedly fight against such people. Kiren Rijiju vs Zakir Naik Earlier this week, in a separate post, Naik had he warned of the "evil repercussions" of the Waqf bill and urged his followers to submit objections to the Joint Parliamentary Committee (JPC). Please do not mislead the innocent Muslims from outside our country. India is a democratic country and people have the right to their own opinion. False propaganda will lead to wrong narratives, Rijiju had replied to him earlier. The Waqf amendment bill was introduced in the Lok Sabha on August 8 and referred to a Joint Parliamentary Committee after a heated debate. While the government stated that the bill does not intend to interfere with mosques, the opposition has criticised it as targeting Muslims and attacking the Constitution. The JPC has invited views and suggestions from the public, NGOs, experts, stakeholders, and institutions. Kolkata rape-murder case highlights: Amid protests against the West Bengal government, the The West Bengal Junior Doctors' Front has written a four-page letter requesting the intervention of prime minister Narendra Modi and President Droupadi Murmu seeking justice for the trainee doctor who was allegedly raped and murdered at RG Kar Medical College and Hospital....Read More The letter was also addressed to Union health minister JP Nadda and Vice President Jagdeep Dhankhar. We humbly place the issues before your esteemed excellency, as the head of state, so that our unfortunate colleague who has been the victim of the most despicable crime shall receive justice, and so that we, the healthcare professionals under the West Bengal Health department, may be able to discharge our duties to the public without fear and apprehension, said the letter. Junior doctors' have been protesting outside the state health ministry, Swasthya Bhavan, for four days, asking for the resignation of the state health minister, chief minister Mamata Banerjee and Kolkata police chief Vineet Goyal. The protests have continued in defiance of a Supreme Court order which asked all doctors to resume work to avoid any adverse action against them. Talks between the agitating doctors and the government have reached an impasse after the latter refused the doctors' demand for a live broadcast of their discussion. Latest Developments - A local court has turned down the CBI's request to conduct a narco test on the prime accused in the case, Sanjay Roy. He was questioned again recently by the CBI, who believe he is the lone suspect. The agency has also conducted a polygraph test on him. - The BJP and Left parties in West Bengal have also been conducting protests in the state, asking chief minister Mamata Banerjee to resign due to mishandling the case. The victim's parents have also alleged that the chief minister offered them a bribe to cover up their daughter's death. - CM Mamata Banerjee has denied all claims by the victim's parents and the opposition, stating that this was a conspiracy hatched against her and she is committed to bringing justice to the victim. - It has been more than a month since the horrific case of the rape and murder of a trainee doctor at RG Kar hospital in Kolkata occurred. The Central Bureau of Investigation (CBI) on Saturday arrested RG Kar Medical College and Hospital's ex-principal Sandip Ghosh and a police officer for alleged delay in the registration of the FIR and missing evidence in the postgraduate trainee doctor's brutal rape and murder. Earlier, Ghosh was arrested by the central agency in a separate probe related to financial irregularities. He is in judicial custody till September 23. The arrested police officer is Tala Police Station SHO Abhijit Mandal. On August 26, the CBI had conducted a second round of polygraph tests on the ex-principal as part of their probe into the rape and murder of a doctor at the state-run hospital. ALSO READ: Kolkata rape-murder: Sandip Ghosh rigged RG Kar's staff selection? Sandip Ghosh, ex-principal of RG Kar Medical College and Hospital.(PTI file) The Calcutta High Court has granted the CBI three weeks to submit a progress report on the investigation, which is to be presented on September 17. The body of a 31-year-old doctor in the seminar hall of RG Kar Medical College and Hospital in Kolkata on August 9. Autopsy reports suggested she was brutally raped before being murdered. Sandip Ghosh accused of ordering renovation near crime scene The BJP has accused Ghosh of ordering renovation near the seminar hall, where the body of the doctor was found on August 9. Union minister and West Bengal BJP chief Sukanta Majumdar shared a purported letter, allegedly signed by Sandip Ghosh, which authorised the renovation work. ALSO READ: When RG Kar hospital ex-principal Sandip Ghosh faced corruption charges in 2020 The order, signed by Sandip Ghosh, former director of RG Kar Medical College, is dated August 10, just one day after the victim's death. Despite allegations from colleagues and protesters about tampering with the crime scene, the Police Commissioner denied it, the BJP leader had posted on X. ALSO READ: RG Kar ex-dean Sandip Ghosh allegedly molested student in Hong Kong: Report The CBI last week had alleged that Sandip Ghosh had been operating a "criminal nexus along with the other co-accused for wrongful gains. The agency had also arrested two vendors for alleged financial irregularities at RG Kar Hospital. These (two) vendors were known to Ghosh since he was with the Murshidabad Medical College and Hospital. We have found that they granted the contracts of RGKMC&H (RG Kar Medical College and Hospital) only because of their proximity with Ghosh, a CBI officer told PTI. As the junior doctors' protest at the West Bengal health department building in Kolkata enters its fifth day, donations of food, clothes, and medical supplies from the public are pouring in. Volunteers are distributing thousands of food packets, while a makeshift clinic at the site treats hundreds of people daily. Kolkata: Joint forum of engineers, scientists and technologists participate in a rally during rain in protest against the alleged rape and murder of a medic at RG Kar Medical College and Hospital, in Kolkata, Saturday, Sept. 14. (PTI Photo/Swapan Mahapatra) The protestors are demanding justice for the murdered R G Kar Hospital doctor and the resignation of top officials. Follow- Kolkata rape-murder case live updates Public donates food, supplies amid demands for justice According to Arpan Maity, a 40-year-old doctor and alumnus of R G Kar Medical College, donations from the public have poured in, including cots, umbrellas, and food. He said that by 2pm on Saturday, 3,000 food packets had been distributed for free, not only to protestors but also to local hawkers, rickshaw pullers, autorickshaw drivers, and journalists. ALSO READ- 'Free Bengal from Modi's rule': Bangladeshi pro-al Qaeda Islamist asks CM Mamata Banerjee Volunteer Prajakta Sikdar, who divides her time between raising slogans and managing one of the counters, said she had distributed about 600 vegetarian food packets by 1:30pm on Saturday. She was heard encouraging people to ask for whatever they needed, as cakes and other refreshments were also available. A taxi driver unloaded hundreds of food packets from his car, and another vehicle delivered folding cots. The driver said a well-wisher, a teacher from Sodepur, had sent 400 food packets anonymously. At the protest site, a clinic named "Abhaya" has been set up to treat people. Junior doctor Dibyendu Banerjee said that so far, they have treated around 350 people, including policemen. The clinic, run by five doctors, provides free check-ups and medicines. ALSO READ- PM Modi welcomes new member at 7, Lok Kalyan Marg: 'Deepjyoti truly adorable' | Video Named in the memory of the rape-murder victim from R G Kar Hospital, the clinic has been busy from early morning, treating over 500 patients daily since it opened three days ago. The Junior Doctors' Forum is leading the protest, demanding justice for the murdered medic and calling for the resignation of Kolkata Police Commissioner Vineet Goyal and the health secretary. (Inputs from PTI) A group of junior doctors on Friday criticised Bengal's Leader of Opposition Suvendu Adhikari for claiming that those chanting Go Back at Bharatiya Janata Party MLA Agnimitra Paul were not junior doctors. The agitating doctors emphasised that they would not allow their protest to be politicised. Agitators take cover during rain as junior doctors continued their 'cease work' and sit-in demonstration against the RG Kar Hospital incident outside Swasthya Bhavan in Kolkata. (PTI) The statement comes even the Trinamool Congress released a video suggesting that some junior doctors were receiving support from a nearby BJP office, The Telegraph reported. We will not allow anyone to use our movement for political gain. We condemn Suvendu Adhikari's statement that those who shouted 'Go Back' at Agnimitra Paul were not junior doctors, The Telegraph quoted a doctor as saying. During a press conference outside Swasthya Bhavan, where the junior doctors have been protesting demanding justice for the 31-year-old trainee doctor who was allegedly raped and murdered at the state-run RG Kar Medical College and Hospital on August 9, the protesters called for improvements in the state's healthcare system. They urged for stronger infrastructure to prevent patients from traveling far for minor ailments and requested an efficient referral system to reduce hospital visits. Junior doctors write to President Murmu, PM Modi Agitating junior doctors in West Bengal have also written to President Droupadi Murmu and Prime Minister Narendra Modi, requesting their intervention in the RG Kar hospital impasse. Copies of the four-page letter written by the West Bengal Junior Doctors' Front were also sent to Vice President Jagdeep Dhankhar and Union health minister JP Nadda. A post-graduate trainee was raped and murdered in state-run RG Kar Medical College and Hospital. Junior doctors have been on a 'cease work' since then. Additionally, the doctors demanded the holding of student union elections in medical colleges, the formation of a resident doctors' association, and transparent elections to the West Bengal Medical Council. Earlier, the Joint Platform of Doctors and the Indian Medical Association's Bengal chapter had called for the dissolution of the state medical council and fresh elections. At the press conference, the junior doctors reiterated five demands: identifying and arresting those responsible for the RG Kar rape and murder, the resignation of Kolkata Police commissioner Vineet Goyal, disciplinary action against the former RG Kar principal Sandip Ghosh, safety measures for healthcare workers, and the resignation of top health officials in the state. CCTV cameras at the protest site Junior doctors in Kolkata continue their protest for the fourth consecutive night amid heavy rain at Swasthya Bhawan in the Salt Lake area. In an effort to monitor the situation and ensure security, the Kolkata Police have installed CCTV cameras at the protest site and surrounding areas, news agency ANI reported. The move comes as the junior doctors maintain their stand, demanding justice and calling for enhanced safety measures at medical institutions, even after the Supreme Court set a deadline for doctors to resume work on Tuesday. Earlier on September 13, junior doctors in Kolkata criticised West Bengal chief minister Mamata Banerjee for rejecting their request to live telecast a meeting and for not meeting with them. They argued that their request was reasonable, given that parliamentary discussions and many administrative meetings are broadcast live. The doctors expressed frustration over being asked to leave electronic gadgets outside the meeting hall, which prevented them from recording the proceedings. Speaking to the media, Anustup Mukherjee, one of the doctors, said that they tried to negotiate for live telecasting the meeting with the state government, but that issue remained non-negotiable. "If all parliamentary discussions can be live telecast, if even the chief minister herself encourages live telecast of all her administrative meetings, then perhaps our request was not unjustified. Also, as we are headed towards the discussion regarding our demands of justice and not any subjudice matter, we did not find any breach of code of law in our request for live telecast. We are also asked to leave all our electronic gadgets outside of meeting hall, which negates any chance of video recording from our side. But unfortunately, this issue remained non negotiable," Mukherjee told reporters. (With inputs from PTI, ANI) Top lawyer Harish Salve has claimed that Vinesh Phogat did not want to challenge the decision of the Court of Arbitration for Sport (CAS) which disqualified her from the women's 50kg freestyle final at the Paris Olympics 2024 on August 7. Wrestler-turned-politician Vinesh Phogat. Harish Salve's statement is in contrast to allegations by Vinesh Phogat, who stated that she had no support from the Indian Olympic Association (IOA), and that her lawyers had been lenient on the judgment that disqualified her, due to which she couldn't get a medal. Harish Salve had represented Vinesh Phogat in the international court on behalf of the Indian Olympic Association (IOA) in her plea to get a joint-silver medal. However, they lost the plea and Vinesh Phogat had to return without a medal. In an interview, Harish Salve told TimesNow that Vinesh Phogat had not wanted to challenged the decision taken by the Court of Arbitration for Sport (CAS) even though he had offered. Later on, we got everything and we fought hard. In fact, I even offered the lady that maybe we can challenge it in the Swiss court of appeal against the arbitration award but I was told by the lawyers that my impression is she didnt want to carry this any further, the lawyer said. Harish Salve also alleged that there was a lack of coordination on the end of Vinesh Phogat's lawyers. Thats because the very good law firm which had been engaged by the Indian Olympic Association was told by some lawyers who the athlete had engaged that we will not share anything with you, we will not give anything to you," he added. Vinsh Phogat was disqualified from the final of the women's freestyle wrestling during the Paris Olympics, 2024 for being 100 grams overweight in the 50 kg category. Vinesh Phogat declared her retirement from the sport after the event. Recently, she joined the Congress party, which fielded her from the Julana seat in the upcoming Haryana assembly election. Tamil Nadu chief minister MK Stalin and his DMK party on Saturday criticised Union finance minister Nirmala Sitharaman for her shameful behaviour with a businessman, who had raised with the BJP leader, concerns regarding the Goods and Services Tax (GST). Union finance minister Nirmala Sitharaman (File Photo) The way the Union minister handled it (the issue), is a matter of shame because he (the businessman) made fair demands on the GST, Stalin remarked. Later, a video of D Srinivasan, owner of the Sri Annapoorna restaurant in the southern state's Coimbatore, apologising with folded hands to Sitharaman, went viral on social media. Also Read | GST council meeting: What has become cheaper for you now DMK spokesperson TKS Elangovan claimed Srinivasan was coerced into apologising to the finance minister. As far as the Annapurna issue is concerned, the owner only said what the people thought. It is the people's voice because he is not paying any taxhe is collecting tax from the people and paying. So whatever the people feel, the government should listen. They threatened him and he was pressurised to seek a pardon, Elangovan stated. The BJP's Tamil Nadu unit, which posted and later deleted the clip, said sorry for sharing the video as it was from a private meeting. Sitharaman's interaction with Srinivasan occurred at an event in Coimbatore on Wednesday. Madam, a bun doesn't attract GST. But, when cream is applied to make it a cream bun, it attracts 12% GST. Customers now say, You bring the bun and cream separately, and Ill (the customer) will make cream bun', he told the minister, evoking laughter. Even the hotel's computer system struggles to calculate the various tax rates when families order a variety of items. The GST structure for food must be simplified and there should be a uniform rate for all items, he added. Andhra Pradesh chief minister N Chandrababu Naidus previous experience in managing the crisis arising out of cyclones and floods in the state has come in handy during the latest floods that wreaked havoc in Vijayawada and its surroundings, people familiar with the matter said on Friday. Andhra Pradesh CM N Chandrababu Naidu inspects a flood-hit area in Vijayawada on September 2. (PTI) Right from the day one, Naidu led the battle from the front to tackle the crisis arising out of the floods Krishna river at Prakasam barrage at Vijayawada received the largest-ever inflow of 11.49 lakh cusecs in the past 170 years and Budameru river on the northern side that caused the biggest devastation in the city after 1964, they added. For 10 days, starting September 1, when the floods ravaged most parts of the city, Naidu spent his days on the flooded streets of Vijayawada. During this period, he did not go to his home at Undavalli in Amaravati and operated from the NTR district collectors office at Vijayawada, which he converted into his camp office, officials said. Naidu cancelled all his programmes and focussed on reviewing the situation in various parts of the state and also the relief measures being taken up. He visited a few rain-affected areas of Vijayawada to oversee relief work, officials said. On the intervening night of August 31 and September 1, Vijayawada witnessed 290mm of rain in a span of 12 hours and it was then that the city witnessed the biggest devastating floods. Naidu left his Undavalli house in the morning of September 1 and he moved into his caravan and went to the NTR district collectorate at Vijayawada, they said. For the CM, his caravan itself became his home, where he would sleep for a few hours late in the night, get ready in the early hours and begin touring the flood-hit areas, in whatever mode of transport he had, state revenue minister Anagani Satya Prasad said. Naidu reached out to people in the colonies such as Singh Nagar, Jakkampudi Colony and Chitti Nagar by travelling on boats. To reach out to some areas, he even travelled on earthmovers and tractors, officials said. At times, he waded through knee-deep water and personally monitored relief and rescue operations. He would address post-midnight press conferences from the field, get feedback from the officials and give directions to them, besides handling the ire of the agitated flood victims and pacifying them, officials said. The CM did not go home even for celebrating Ganesh Chaturthi festival on September 7 and offered puja on the premises of the collectors office. He refused to even celebrate his wedding anniversary on September 10, Prasad said. According to political analyst and author Ramesh Kandula, Naidus immense experience in handling such floods and cyclones like the devastating super cyclone of 1996 in Konaseema, Hud Hud cyclone in Visakhapatnam of 2014 and Titli cyclone of 2018 in North Andhra has helped him tackle the present floods. Compared to the past, Naidu used technology in handling the flood situation in Vijayawada now. For example, he made extensive use of drones to carry food packets and medicines to the interior areas of flood-affected areas, Kandula said. Besides, Naidu appointed 32 senior IAS officers as in-charge of food distribution and evacuation operations by dividing the entire flood area into 32 divisions. In addition, the chief minister designated 179 officials to take care of relief measures. He also took some innovative decisions like bringing fire engines from all parts of the state to Vijayawada and used them in taking up cleaning operations and clearing of garbage and slush after the floods receded. Besides, they also helped the administration in taking up fogging operations. This resulted in prevention of spread of communicable diseases in the flood-hit areas, Kandula said. YSR Congress party, however, described Naidus claim of personally monitoring the flood situation as mere publicity gimmick. Naidu seemed more focused on managing his public image in the media than addressing the critical flood management issues, YSRCP leader and former agriculture minister K Kanna Babu said. Two persons, including CPI(M) leader Kalatan Dasgupta, were arrested for allegedly hatching a conspiracy to attack the junior doctors outside the Swashtya Bhawan at Salt Lake in Kolkata who have been protesting against the rape and murder of a trainee doctor at the RG Kar Medical College and Hospital. TMC leader Kunal Ghosh (PTI) The arrests came a day after Trinamool Congress leader Kunal Ghosh released an audio clip of a phone call, alleging that a political conspiracy was being hatched to attack the protesting doctors to defame the Mamata Banerjee government in the state. While Dasgupta was held from the protest site outside Swashtya Bhawan in Sector 5, the other accused, identified as Sanjiv Das, was arrested from Haltu in south Kolkata on Friday night, reported news agency PTI. The Kolkata Police has also lodged a suo motu case in connection with the audio clip. What did the audio clip say? In the audio clip shared by Kunal Ghosh at a press briefing, two persons can be purportedly heard planning an attack on the doctors while a team had gone to Nabanna (state secretariat) to meet Mamata Banerjee on Thursday evening. The two men can also be heard planning to blame the attack on the ruling TMC. HT could not independently verify the authenticity of the audio clip. In the clip, one man tells the other that he had received instructions from Saheb to attack the protest venue. To this, the other man urges him to do it. In reply, the first man says that while he had been doing such things for years, his conscience is not allowing him to attack them as they are doctors. However, the second man can be heard trying to convince the first man saying he does not need to finish them but only attack them, reported The Times of India. Later, the first man says someone called"Bappada" had asked him to carry out the attack and blame it on the TMC. Following the audio clip, Kunal Ghosh asserted that the party has credible information that some Left and ultra-Left parties were conspiring to infiltrate into the ranks of the protesters and carry out the attack to defame the TMC and the Mamata Banerjee government. Meanwhile, after the clip was released, several CCTV cameras were installed at over 12 locations at the protest site and the deployment of police personnel was increased from 250 to around 500, reported TOI. Junior doctors protest The medics have been camping outside Swasthya Bhawan, the headquarters of the state health department, since Tuesday with a host of demands, including better security at state-run hospitals and the removal of top officials over the rape and murder case. The junior doctors continued their sit-in demonstration for the fifth consecutive day on Saturday, even as incessant rain lashed the city. However, the doctors have said that they are looking forward to talks with a competent authority to resolve the ongoing impasse. (With inputs from PTI) September 13, 2024: Russias ill-conceived and poorly managed invasion of Ukraine turned out to be a major disaster for the Russian military and economy. Russian leader Vladimir Putin took credit for the early 2022 invasion but is less willing to accept responsibility for Russian failures. Russian losses have been enormous in terms of personnel and equipment. Over half a million Russian soldiers were killed or seriously wounded. Russian medical care for wounded soldiers was never as good as Western nations and in Ukraine the Russian medical services gradually disappeared completely. In several months this year Russia has lost over a thousand killed in action a day. That means in the last few months Russia has been losing over 30,000 troops a month. During the first year of the war Russia lost most of its tank force along with light armored vehicles and supply vehicles as well. The Ukrainians knew the Russians never had enough vehicles to keep front line troops supplied with munitions, food, fuel and replacements. During World War II Russia received enormous quantities of supplies, items they were chronically short of like trucks, high octane fuel and reliable communication wire for combat zone use. Between 1941 and 1945 the U.S. sent Russia goods worth $700 billion in 2024 dollars. This was seventeen percent of what the Americans spent on their war effort against Germany and Japan. Towards the end of World War II Russian leader Josef Stalin declared that Russia might have been defeated without lend-lease. Many other Russians believed they definitely would have lost without the American aid. The Germans could have won by 1944, just in time to see Berlin hit with an American nuclear bomb. The U.S. nuclear weapons program was started before the U.S. entered the war in late 1941, for use against Nazi Germany. An ultra long-range bomber, the 186 ton B-36, was developed during the war in case the Germans conquered Britain. This would have forced the U.S. to launch air strikes on Germany from the North Americans northeast coast. By 1944 it was clear that the B-36 would carry nuclear bombs and that successive successful strikes on Berlin could turn the apparent German victory into a defeat. All this assumed the war would last until the late 1940s. Germany was defeated by conventional means and surrendered in May 1945, halting the rush to complete the B-36 Berlin Bomber. Two atomic bombs were used against Japanese cities to force the recalcitrant Japanese to surrender in September 1945. The smaller 61 ton B-29 was able to reach Japanese cities from islands recently conquered by American amphibious forces. The B-29s had been active since 1943 but needed bases closer to Japan to reach their targets. The first B-29 raids on Japan were launched in June 1944 from Chinese bases. The B-29s and their supplies were flown in from India at great expense. By October 1944 B-29s began attacking Japanese bases near the home islands from Pacific island bases in November 1944. It was later revealed that the U.S. had only managed to build three nuclear bombs by 1945. One was used for a successful July 16, 1945, test while the other two were used against Japan. The American use of atomic bombs unnerved the Russians, who, thanks to their spies in the United States, created their own nuclear bomb and tested it in August 1949. Two years earlier the Cold War between Russia and the United States began and did not end until the 1991 collapse of the Soviet Union due to its inefficient socialist economy and inability to keep up with the economic and technological advances in the west, especially the United States. Despite the 25 million Russians killed during World War II and the crushing poverty and dictatorial rule after World War II, many Russians still yearned for a restoration of a Russian empire. This movement grew in the 1990s and by 2022 resulted in the Russian invasion of Ukraine. The aggression against Ukraine began in 2014 when Russian leader (since 1999) Vladimir Putin ordered the occupation of 27 percent of Ukrainian territory. That led to economic sanctions. Putins response was a full-bore invasion of Ukraine in 2022. That turned into a spectacular failure because this time around Ukraine was not caught by surprise, and received the modern equivalent of lend-lease aid while Russia grew weaker because of significantly increased sanctions. On August 6, 2024, Ukrainian forces invaded a much weakened Russia in the Kursk area. Most Russian forces were tied down fighting in eastern Ukraine. The Russians made progress there but suffered six times as many casualties as the defending Ukrainians. Part of the reason for this was that the morale and quality of their soldiers had declined while Ukrainian troops became more effective. Ukraine was still receiving huge quantities of supplies from NATO nations. This 21st century form of lend-lease was a major factor in Ukraine defeating the Russian invasion and now invading Russia. Ukraine does not want to conquer Russia, but to force Vladimir Putin to end the war he started in 2022. The dozen or so wealthy Russian businessmen who control most of the economy are pressuring Putin to stop the war on terms that will stop the western sanctions from devastating the Russian economy. It is unclear how much pressure will have to be put on Putin to end the war. Putin is increasingly liable to be replaced, a situation Putin insists could never happen. Russians cannot and will not endure much more of the economic catastrophes Putins policies have created. Something has to give and Putin is the weaker party in this dispute. He no longer threatens to use nuclear weapons because he discovered that most Russians, including those controlling the nuclear weapons, did not want to become victims of a nuclear war that would devastate the world economy while Russia suffered most for starting it. Russia won World War II because of western aid and now Russia is the invader, while Ukraine is winning because of western aid. Internal Russian propaganda pushed the idea that Russia was defending itself from NATO encirclement by invading Ukraine. More Russians are realizing that the greatest threat to Russian survival is Vladimir Putin. The end is near, but the exact date of the war ending is unclear. BJP leader Nand Kishor Gurjar on Saturday demanded a case under the stringent National Security Act (NSA) against a vendor who was allegedly selling fruit juices mixed with urine in Uttar Pradesh's Ghaziabad. A screengrab from the viral video (Courtesy: x.com/SachinGuptaUP) People should not buy edible items from vendors with such a sick mentality. Those who can mix urine in juice, can also mix poison, Gurjar said in a video message, according to PTI. Gurjar, whose party leads the government in Uttar Pradesh, holds the Loni assembly seat in Ghaziabad. In his video message, he also described the alleged act of mixing juice and urine, as a jihad by Muslims against the Sanatan Dharma to corrupt the Hindu religion.' Aamir, the 29-year-old vendor, and a boy (15) working at his shop, were arrested for allegedly selling the contaminated fruit juice. During his questioning, Aamir told police that as there was no place near his stall to urinate, he was collecting the urine in a plastic bottle. He was interrogated about the bottle found at his stall. He could not give a satisfactory reply. Therefore, we outrightly rejected his response, Assistant Commissioner of Police (ACP), Ankur Verma, told the media. Meanwhile, a few members of the Bajrang Dal, gave the ACP a memorandum, objecting to the name of Aamir's shop. The stall was being run as Khushi Juice, a Hindu-sounding name, while the accused himself is a Muslim, the Bajrang Dal workers argued. Vendors must use their real names, the right-wing group demanded, adding that those hiding their real identities wanted to deceive the public. A case has been registered against Aamir under Sections 272 (malignant act to spread infection of disease dangerous to life), 274 (adulteration of food or drink intended for sale) and 275 (sale of noxious food or drink) of the new Bharatiya Nyaya Sanhita (BNS). The deputy commissioner of Davanagere district has imposed fines of 1 lakh each on two people for allegedly running illegal clinics in Honnali taluk, an officer said adding that the clinics in two villages have been shut down. Official: Two fined for running illegal clinics Honnali Tahsildar Patta Raju Gowda said: A team of health and revenue officers conducted raids on multiple illegal clinics in the district during the last week of August. The team gave a weeks-time for the clinics to produce valid documentation. Honnali sub-divisional officer Abhishek and taluk health officer Dr Girish conducted the detailed inspections and reported on the fraudulent practices to the authorities. District health and family welfare officer Dr Shanmukhappa, who also serves as the Karnataka private medical establishment (KPME) registration member secretary, submitted a detailed report to the deputy commissioner along with a proposal for stringent measures against the fake doctors, Gowda said. However, the documents submitted were found to be invalid. Based on the report from the district health officer, deputy commissioner GM Gangadharaswamy imposed fines of 1 lakh each and ordered the closure of the clinics in Kasinakere and Lingapura villages, he said. He further said that the two accused have been identified as Srinivas (57), a resident of Bhadravati, and Laxman (45) from Hirekerur taluk. Gowda said that Srinivas had been running a clinic and medical store in Lingapura without valid medical qualifications. Laxman was operating a clinic in Kasinakere for several years. He (Laxman) claimed to have a Bachelor of Homeopathy Medicine and Surgery (BHMS) certificate, but it was found to be invalid, he said. West Bengal chief minister Mamata Banerjee on Saturday made a renewed appeal to agitating junior doctors to come to the negotiation table and resolve the ongoing impasse over the RG Kar Medical College and Hospital incident. Despite days of anticipation for talks, a scheduled meeting between CM Mamata Banerjee and the medics, protesting over the alleged rape and murder of a postgraduate trainee, has yet to commence due to disagreements over live-streaming the discussions. West Bengal chief minister Mamata Banerjee waiting for protesting junior doctors to initiate talks. Banerjee, who waited at her Kalighat residence to meet with the delegation of doctors, expressed frustration over the repeated delays. Today, you said that you want a meeting, so I have been waiting. Why are you people insulting me like this? Please dont insult me like this, she pleaded. Earlier on the three occasions, I have been waiting but you people didnt come. Also Read | Last attempt: Mamata Banerjee makes surprise visit to doctors protest site The delegation of junior doctors, comprising around 30 medics, arrived at Mamata Banerjees residence around 45 minutes past the scheduled 6:00 pm meeting time. However, the government had only invited 15 representatives for the talks. The key sticking point has been the doctors demand for live-streaming the meeting, a request the chief minister once again rejected, citing legal constraints. As the RG Kar issue is before the court, we cannot allow live streaming. I will video-record the meeting and provide you with a copy only after permission from the Supreme Court, Banerjee explained in an appeal to the doctors. She urged them to come inside for the meeting and not get drenched in the rain, reiterating her request to end the deadlock. Also Read | CPM youth leader held for allegedly conspiring to attack striking medics The protestors have been demanding justice following the rape and murder of a postgraduate trainee at RG Kar Medical College on August 9. Their demands include accountability for those involved, action against former medical college principal Sandip Ghosh, and the resignation of Kolkata Police Commissioner Vineet Goyal and Health Secretary Narayan Swaroop Nigam. The doctors are also calling for improved security for healthcare workers and an end to what they describe as a threat culture in government-run healthcare institutions. The stalemate over live-streaming echoes a similar breakdown in talks on Thursday, when a meeting at Nabanna, the state secretariat, failed to take place for the same reason. Earlier in the day, in a bid to ease tensions, the chief minister made a surprise visit to the protest site outside Swasthya Bhawan in Salt Lake, where she attempted to address the medics concerns. Amid chants of "we want justice," Banerjee reassured the protesting doctors that she was working towards a solution and that no action would be taken against them. "I came to meet you as your 'didi' (elder sister), not as the chief minister," she said. I assure you that I will study your demands and take action if someone is found guilty. Banerjees visit, which she described as a last attempt to resolve the crisis, was accompanied by West Bengals Director General of Police (DGP) Rajiv Kumar. Despite her assurances and personal appeal, the protestors have remained steadfast in their demands, unwilling to back down until concrete discussions are held and solutions are offered. Karnataka Police said on Friday that it will investigate allegations that a Dalit family was facing a social boycott for the past four days in Yadgiri district after filing a rape complaint against an upper-caste man. On August 12, the girls parents reported the crime to the police, leading to a Pocso (Protection of Children from Sexual Offences) case being filed against the accused. (HT Photo) The development came after the Dalit family, which lives in Bapparaga village of Hunsagi taluk in Yadgiri, filed a police complaint saying that their 13-year-old daughter was allegedly assaulted by a 21-year-old upper-caste man who promised to marry her. We became aware of the discrimination against the Dalit community through social media regarding the Pocso case. Our team, including police, revenue, and social welfare officers, visited Bapparaga village on Friday and conducted a peace meeting with community elders. While we have not yet received an official complaint about the social boycott, we will take action if one is filed, said SP G Sangeetha. On August 12, the girls parents reported the crime to the police, leading to a Pocso (Protection of Children from Sexual Offences) case being filed against the accused. But on September 9, the upper-caste community in the village imposed a social boycott on the family, a local resident said, requesting anonymity. He added that local shopkeepers were instructed not to sell groceries, daily essentials, or school supplies to the family, forcing them to travel long distances for basic necessities. Additionally, the family faced threats and intimidation aimed at pressuring them to withdraw their complaint, he said. An audio recording has surfaced, showing shopkeepers expressing helplessness due to strict instructions from village leaders. Responding to Narendra Modi's attack on dynastic politics, former Jammu and Kashmir chief minister Omar Abdullah asked the prime minister to talk about the present situation in the Valley, and not mislead people by raising the bogey of dynastic politics. Doda: Prime Minister Narendra Modi addresses a public meeting ahead of the upcoming Jammu and Kashmir Assembly elections, in Doda district, Saturday, Sept. 14, 2024. (PTI Photo) The PM made a speech in DodaIt has not been 24 hours since the attack in which two army soldiers lost their lives while an encounter is going on in north Kashmir, he told reporters in Kulgam, shortly after the PM's speech on Sunday. Abdullah, the son of NC supremo and ex-CM Farooq Abdullah, was talking about the encounters in Kishtwar and Baramulla, respectively. He also took a jibe at the Modi-led BJP government, in power at the Centre since May 2014, over its August 2019 revocation of Article 370 that gave special status to Jammu and Kashmir. The people were told that the violence in Kashmir would vanish after Article 370 abrogation. However, encounters are still going on, Abdullah said. The former Union minister also pointed to how the BJP had previously been a partner of the People's Democratic Party (PDP), another dynastic outfit and the NC's arch-rival. When the BJP needed these families, then we were not responsible for the destruction (of J&K). When it had an alliance with the PDP, there was nothing wrong with that. When (ex-PM) Vajpayee had to make a minister and he picked me, there was nothing wrong with us, he remarked. The BJP could even ask for the PDP's support should it be needed after the polls, Abdullah stated. Jammu and Kashmir's first assembly polls since December 2014, will be held on September 18, 25, and October 1. The counting is on October 8. The Congress on Saturday blamed the Narendra Modi government over the spike in terror attacks in Jammu and Kashmir. Congress spokesperson Supriya Shrinate claimed there have been 25 terror attacks in the Union territory in 98 days since Prime Minister Narendra Modi took oath for his third term. "Huge claims were made that after August 2019, peace will be established in Jammu and Kashmir. I will not talk about the time since 2014 or 2019, but it has been 98 days since Modi was sworn in. In the last 98 days, there have been 25 terror strikes in J-K in which 21 security personnel were martyred, while 28 others were injured," PTI quoted Shrinate as saying at a press conference. ALSO READ: 3 terrorists killed in Baramulla encounter ahead of PM Modi's Kashmir visit The Congress leader said that 15 citizens lost their lives while 47 sustained injuries in these terror attacks. "Who will answer for it? Jammu was peaceful, the insurgency had ended there, but now, we are again witnessing terror attacks in Jammu's Doda, Reasi, and other areas," she said. Shrinate, while paying tributes to two Indian Army soldiers who lost their lives in the gunbattle with terrorists in J&K's Kishtwar on Friday, said,"PM tweets on small issues, discovers new countries on the world map to travel to and wishes happy birthday to people, but since 2019, Modi has stopped sending tribute or sympathy messages." "Our officers, and soldiers lost their lives, Jammu and Kashmir police personnel were killed, but Modi has not uttered a single word to offer tributes or sympathies after 2019," she further claimed. Congress leader Supriya Shrinate. (ANI file) Check his media and social media and see if he has even taken notice of one such attack. The least you can do is pay homage for the supreme sacrifices our force and police forces are making in J-K, Shrinate said. The Congress leader said Prime Minister Modi chose not to do that "because you want to tell the world that everything is alright in J-K". "No, it is not alright because militancy that had completely evaporated and vanished in Jammu, is back with a vengeance and what are you doing (about it)? This is your failure," she claimed. PM Modi says terror 'breathing its last in J&K Addressing an election rally in Doda for the upcoming assembly polls, Modi said terrorism is taking its last breath in Jammu and Kashmir. We and you together will make Jammu and Kashmir a secure and prosperous part of the country, the prime minister said. Elections in J&K will be held on September 18, 25 and October 1. The counting of votes will be held on October 8. (With PTI inputs) Two videos one of prominent Tamil Nadu businessman seeking a simpler Goods and Services Tax (GST) regime in a meeting chaired by Union finance minister Nirmala Sitharaman, and another of him apologising to the minister and the Bharatiya Janata Party (BJP) senior leader and Coimbatore MLA Vanathi Srinivasan in a private meeting sparked a major political controversy on Friday. The saga began on Wednesday at a public meeting in Coimbatore when D Srinivasan, one of the shareholders of a popular chain of vegetarian hotels called Annapoorna in the district, asked finance minister Nirmala Sitharaman a question on the GST slabs. (PTI) The state unit of the BJP apologised for sharing the second video and sought to distance itself from the row even as the Opposition alleged that the incident showed the arrogance of the Union government and its insensitivity towards the concerns of small businesses. When the owner of a small business, like Annapoorna restaurant in Coimbatore, asks our public servants for a simplified GST regime, his request is met with arrogance and outright disrespect, Congress leader Rahul Gandhi said on X. The saga began on Wednesday at a public meeting in Coimbatore when D Srinivasan, one of the shareholders of a popular chain of vegetarian hotels called Annapoorna in the district, asked Sitharaman a question on the GST slabs. While there is no GST on bun, and 5% (GST) on cream, if you put cream and bun together, the GST rate becomes 18%, Srinivasan said in Tamil, according to clips of the meeting. He also added that customers were telling him to give the bun and cream separately, and that even the computer was unable to compute the complex GST rates. Experts have long argued that the multiple tax rate slabs India taxes goods at 5%, 12%, 18% and 28% and the frequent tinkering of rates blunt the advantage of having a nationwide uniform tax regime. The government says that decisions are taken by the apex indirect tax body, the GST Council, where every state has equal representation. Srinivasan went on in the same vein, polite, but making his point with humour, which even the minister appeared to appreciate. But the exchange sparked a controversy after another video was leaked on Thursday, where Srinivasan could be seen apologising for his comments in a private meeting with Sitharaman and Vanathi Srinivasan. I didnt mean to say anything against any political party. I dont belong to any political party, he was seen saying with folded hands. The video was posted on X by BJPs Tamil Nadu IT wing A person familiar with the matter said that Srinivasan was unaware that he was being recorded. He was recorded without his knowledge and the video was released, the individual said, requesting anonymity. On Friday, controversy erupted over the second video. The DMK and Congress alleged that the BJP forced the businessman to apologise. Our small business owners have already endured the blows of demonetisation, an inaccessible banking system, tax extortion and a disastrous GST. The last thing they deserve is further humiliation. But when the fragile egos of those in power are hurt, it seems humiliation is exactly what theyll deliver. MSMEs have been asking for relief for years. If this arrogant government would listen to the people they would understand that a simplified GST with a single tax rate would solve the problems of lakhs of businesses, Gandhi posted on X. The Dravida Munnetra Kazhagam also sought an apology from the BJP. The Union finance minister should seek a public apology for insulting Tamilians and for terrorising an honest businessman, DMK spokesperson A Saravanan said. The fact that the row broke out in Tamil Nadu, where the BJP is trying to gain a toehold, and especially in Coimbatore, where the party has some support among businessman and from where its state unit chief K Annamalai unsuccessfully fought the 2024 Lok Sabha polls, added another dimension to the debate. In the afternoon, Annamalai apologised. On behalf of @BJP4TamilNadu, I sincerely apologise for the actions of our functionaries who shared a private conversation between a respected business owner and our Hon. FM, Annamalai said in a post on X. I spoke with Thiru Srinivasan Avl, the esteemed owner of the Annapoorna chain of restaurants, to express regret for this unintended breach of privacy. Annapoorna Srinivasan Anna is a pillar of Tamil Nadus business community, contributing significantly to the states and nations economic growth. I request everyone to lay the matter to rest with due respect, he added. Four Indian citizens have returned home on Friday after they were fraudulently drafted into a private Russian Army and made to fight in the Russia-Ukraine war, reported The Times of India. Four Indians were rescued after being fraudulently drafted into the Russian armed forces (Photo by VYACHESLAV OSELEDKO / AFP)(AFP) One of the Indians returned, Mohammad Sufiyan from Telangana, had released a video seven months ago, pleading to be rescued. The three others, who are from Karnataka, and Sufiyan, were prey to a job fraud with approximately 60 more young men from India. Also Read: Hoshiarpur youth returns from Ukraine war zone Many others are still stuck in Russia, awaiting rescue, having to serve as soldiers in the Russia-Ukraine war. Promising jobs as security personnel or helpers in Russia, young men flocked to the job offer and many were shipped out to Russia in December 2023, only to get the shock of their lives upon arrival. We were treated like slaves, Sufiyan told TOI. We were woken up at 6 am every day and made to work 15 hours straight - with no rest or sleep. The conditions were inhuman, he added. They had to manage difficult tasks with a meagre ration to depend upon. After being drafted into service, the men had to dig trenches, operate assault rifles. They were even trained to use Kalashnikovs such as the AK-12 and AK-74, hand grenades and other explosives. Our hands were blistered, our backs ached and our spirits were broken. Yet if we showed any signs of exhaustion, bullets were fired at us to force us back into the laborious tasks, Sufiyan told TOI. Beyond the physical toll, was the pyschological burden of living disconnected from the world. Their phones had been confiscated and they didn't know when they would return or when they could talk to their family next. Syed Ilias Hussaini from Karnataka, who was also rescued from Russia, said that fear became a constant part of their lives as they didn't know how long they would live. Against a backdrop of constant bullets, they managed to comfort themselves with the thought of returning home to their families. However, Hussaini and Sufiyan both said, that they were still haunted by the traumatic deaths and high-pressure situations they had seen. Sufiyan recalls his friend Hamil dying, Hamil, a very good friend of mine from Gujarat, was blown to death in a drone attack. He was part of a team of 24 soldiers, including one Indian and one Nepali. It shook me up. Hamil's death became the catalyst for them to alert their families about the situation, who then reached out to the union external affairs minister S Jaishankar who facilitated their rescue. New Delhi, Rich tributes were on Saturday paid to veteran Marxist leader and CPI general secretary Sitaram Yechury at the party headquarters AKG Bhavan here, where his mortal remains were brought amidst chants of 'Lal Salam' from his residence this morning. Tributes paid to Sitaram Yechury at CPI (M) headquarters in Delhi Wrapped in the red flag of the CPI , Yechury's mortal remains were kept at the party office, where party leaders, including polit bureau members Prakash Karat, Brinda Karat, Pinarayi Vijayan and M A Baby, and others paid their tributes to the leader. Congress Parliamentary Party Chairperson Sonia Gandhi also reached the AKG Bhavan along with the other party leaders to pay her last respects to Yechury. He was the first non-Congress leader Gandhi called after she met then president Dr J Abdul Kalam in 2004 when she turned down the prime minister's post and rallied for Dr Manmohan Singh, an equation that survived the shock withdrawal of support by the Left to the UPA in 2008 over the Indo-US nuclear deal. Aam Aadmi Party leaders Manish Sisodia and Sanjay Singh also paid their last respects to the departed soul at the CPI headquarters. NCP president Sharad Pawar and RJD MP Manoj Jha as well paid homage to Yechury. The CPI general secretary died on Thursday at a hospital here after battling a lung infection. Yechury, 72, was in a critical condition for the last few days and on respiratory support while undergoing treatment for an acute respiratory tract infection at the ICU in the All India Institute of Medical Sciences . He was admitted to the hospital on August 19. On Friday, Yechury's body was taken to the Jawaharlal Nehru University from the AIIMS where hundreds of students and faculty members paid their last respects to him. As a student at the JNU, Yechury was a part of the Students Federation of India , which he joined in 1974 and was arrested a few months later during the Emergency. He served as the president of the Jawaharlal Nehru University Students Union thrice during 1977-78. Later, the body was taken to his residence, where senior CPI leaders paid tributes to their comrade. Bharatiya Janata Party president JP Nadda had also paid his last respects to the leader at his residence on Friday evening. He also placed a wreath on behalf of Prime Minister Narendra Modi paying homage to Yechury. Later today, his body will be taken to the AIIMS and donated for research. Yechury is survived by his wife Seema Chishti and his two children Akhila and Danish. His elder son, Ashish Yechury, passed away due to Covid in 2021. Yechury was earlier married to Indrani Mazumdar. This article was generated from an automated news agency feed without modifications to text. Rescue operations are underway to evacuate those trapped in the debris after a three-storey building collapsed in Lohia Nagar area of Uttar Pradesh's Meerut on Saturday. So far a total of 6 people have been rescued and sent to hospital. 2-3 more people are feared trapped. Rescue operation is underway, Selva Kumari J, Meerut divisional commissioner, told ANI. Rescue operations underway at Zakir Colony of Meerut, wherein a building collapsed on Saturday.(ANI/X) Uttar Pradesh chief minister Yogi Adityanath took cognizance of the incident, ANI quoted his office as saying. Injured sent to hospital & the CM directed district officials to provide them with proper treatment. CM directed the officials to reach the spot & expedite the relief work, the CMO statement read. 8 killed in Lucknow building collapse on September 7 Last week, eight people were killed and 28 were injured after a three-storey building collapsed in Lucknow. Prime Minister Narendra Modi announced an ex-gratia of 2 lakh each for the families of the deceased and 50,000 for those injured in the building collapse incident. President Droupadi Murmu had posted on X,"I am deeply saddened by the deaths of many people in the building collapse accident in Lucknow. I express my deepest condolences to the bereaved families and pray for the speedy recovery of those injured." The Uttar Pradesh government also constituted a three-member committee to investigate the Lucknow building collapse. The investigation committee is expected to comprehensively investigate the causes of the incident and submit its investigation report to the government as soon as possible, ANI reported. According to the officials, the building in Transport Nagar area of the city had a motor workshop and warehouse on the ground floor, a medical godown on the first floor and a cutlery warehouse on the second floor. (With PTI, ANI inputs) September 13, 2024: In 2021 Australia decided to abandon diesel-electric subs for nuclear-powered ones. Australia sought to obtain eight American Virginia-Class SSN nuclear attack submarines. The first three will be transferred from the United States, with the first one arriving in 2033. The other five will probably be built in Australia, with some modifications requested by Australia which will technically make the Australian Virginias a variant of the American Virginias. The first three will arrive from the United States in the 2030s. Two will be transferred from the U.S. Navy while the third will come from one of the American Virginias under construction. The first two American Virginias will be used to complete the training of Australian crews. Australia will establish a shipyard and workforce capable of building the other five Virginias locally and this will take until 2052 for all eight Australian SSNs to be in service. To speed this up or simply make sure all eight are in service by 2052, the American may transfer another two, leaving Australia to build the last three. The Australian capability to build Virginians will also provide Australia with the capability to maintain, repair and upgrade Australian SSNs as well of those of allies like the United States and Britain. The U.S. plans to eventually have 66 Virginians in service by the 2040s and each of these will serve for at least 30 years. Currently, only 20 Virginias are in service with the construction of another 40 approved. The rest will be upgraded models that will be more expensive and require Congressional approval. As of 2024 there are 22 Virginia class SSN in service. Currently Block 3 and 4 Virginia's take about six years to build. In 2008 the navy got its fifth Virginia eight months ahead of schedule and under budget. At that point, the Virginias were taking 5-6 years to build and arriving at the rate of one a year. Over the last decade, the speed of construction has increased as well as the rate of delivery, now one or two a year. This is essential to replace the aging Los Angeles class boats. Covid19 halted the ability to build Virginias at the rate of two a year. Another time-consuming element is that current Virginias are 30 percent larger than the previous ones because they will have 40 launch tubes for cruise missiles. This is about three times as many cruise missile tubes as the previous Virginias. That means even more skilled workers are needed for the larger Virginias. The Navy currently plans to have up to ten Virginias under construction at the same time. Blocks 1-4 of Virginia are all armed the same way but Block 5 (arriving in the mid-2020s) will have additional space to store and launch missiles and will carry 65 missiles and torpedoes. This is 75 percent more than Block 1-4 boats. This will be accomplished by adding an additional section called the VPM or Virginia Payload Module. This adds 25.6 meters to the length of the sub and increases displacement to 10,400 tons. Each new Block gets better electronics and sensors and it is believed that the passive sonar in the late model Virginias have much longer and accurate detection ranges. Block 5 will also receive a large number of equipment upgrades. The main problem with future Virginias is their larger size plus a shortage of shipyard capacity to simultaneously build all of them and the new Columbia-Class SSBN (larger ballistic missile carrying nuclear subs). Diverting existing Virginias and some on order to Australia is not seen as a problem as Australia is a long-time American ally and is facing the same Chinese naval threat as the United States. The planned Australian SSN shipyard is a major advantage for the U.S. Navy because currently the two American yards are on the Atlantic coast. Some SSN maintenance can be done at Pacific coast naval facilities but, for shipyard level attention, a Pacific-based SSN must make a long trip to the east coast of the United States. Australias decision to go nuclear came in 2021 and required the cancellation of a $65 billion contract with a French firm to build twelve non-nuclear versions of the new French Barracuda class SSN. Simultaneously it was announced that Australia had formed a military cooperation coalition with Britain and the U.S. called AUKUS. This made Australia a member of an exclusive club, one that long consisted of only two members: the United States and Britain. This special arrangement dates from the late 1950s when the U.S. agreed to provide Britain with access to military tech that America shared with no one else. This included nuclear submarine tech, including reactor design and SLBMs (Sea Launched Ballistic Missiles). France was offered access to submarine nuclear reactor tech but declined and developed its own. In the case of the twelve French Attack class non-nuclear subs, Australia was concerned about constantly growing delays and escalating costs. The contract contained numerous opportunities for Australia to terminate the deal, with minimal cancellation fees, for failure to meet deadlines. Australia exercised one of those termination opportunities, which the French never expected. France reacted with denunciations against Britain and the U.S. for conspiring with Australia to steal the contract. That made for great headlines but wasnt true. Australia was already paying a lot of money to deal with the delays already incurred. For example, two months before the French deal was canceled, Australia decided to spend over $4 billion to refurbish all six, instead of just three, of its current Collins class diesel-electric submarines. This was necessary to deal with delays in the construction of the twelve new Attack class boats. The Attack class are smaller diesel-electric versions of the new French SSNs without the massive reactor and additional equipment needed to support it. Part of the problem was that the Barracuda SSNs took longer than expected to enter service, which delayed equipping the Australian shipyard selected to use French SSN tech to build the non-nuclear Shortfin Barracuda. As a result of the delays in France and Australia, the cost of developing and building the Attack class boats in Australia had increased by over fifty percent. Extending the life of all six Collins class boats was seen as a cheaper and safer alternative than scrapping the innovative Shortfin Barracuda project and looking elsewhere. One likely replacement was the German 216 class boats, which came in second in the competition to replace the Collins class. The 216s are smaller and less effective, on paper, than the Shortfin Barracuda but can be delivered on time and at half the original cost of the French design. France was aware of this possibility but did not expect the AUKUS deal which would enable Britain and the U.S. to share nuclear submarine tech with Australia. This appeals to Australia because the Americans share nuclear sub tech with Britain, not construction contracts, and continues to do so. Britain designs and builds its own SSNs and SSBNs. While Britain uses American SLBMs (Sea Launched Ballistic Missiles), Britain supplies its own nuclear warheads. Much, if not most of the tech in British SSNs and SSBNs is British and Australia would like to purchase American and British tech for the six to eight SSNs Australia plans to build or buy to replace the French Barracuda design. The Collins class boats entered service between 1996 and 2003 and were expected to retire after 30 years of service. That long service life was attained by giving each Collins class boat one or more expensive refurbishments when the boats got older. By refurbishing all six Collins class boats one more time, all will have a useful life of 37 years and retire much later, sometime in the 2040s, giving the Attack class enough time to enter service to replace the six retiring Collins class subs. The first Attack class boat was supposed to be in service by 2035. By 2021 Australia had doubts that the Attack class subs would be ready before Collins class boats were too old to be operated safely. Australia had been discussing this with the British and Americans for over a year and the AUKUS proposal came out of that. There would have been an AUKUS agreement no matter what happened to the Barracuda project. The Australian naval officers had long been interested in the British switch to an all-nuclear sub force in the 1990s. Since the 1960s Britain had maintained a mixed nuclear/diesel-electric submarine force but when they put their first SSBNs into service during the 1990s they found it practical to go all nuclear rather than continue developing and building nuclear and non-nuclear subs. Now Australia plans to make the same switch. The non-nuclear Barracuda began in early 2016 when it was agreed that the French firm DCNS would design and share construction of twelve new diesel-electric submarines. Australians preferred the French design because it was a larger boat than those offered by Germany and Japan. The French proposal was a diesel-electric version of their new Suffren (Barracuda) class SSNs. This non-nuclear Shortfin Barracuda design was about 20 percent smaller than the 4,700-ton nuclear powered Suffren but was otherwise very similar with a crew of about 60, four 533 mm torpedo tubes and 24 torpedoes, missiles or mines. A major selling point for the Barracuda was the proven silencing technology France had developed for their SSNs. This would now be added to an inherently quiet diesel-electric design. The Shortfin Barracudas were to be built in Australia as the Attack class and cost about $2.4 billion each. This included an AIP (Air Independent Propulsion) system that would allow these boats to operate submerged for two weeks at a time. French firms were to only control about half of the construction budget, with much of the rest going to American firms that would provide the electronics and weapons. The RAN (Royal Australian Navy) Attack subs were to begin construction in 2022 and enter service in 2035. That did not happen because of problems in France. In mid-2019 the first of six new French Barracuda type SSNs was launched. Called the Suffren, it officially entered service in late 2020 but was not completely operational until 2021. All six will be in service by the late 2020s. Back in 2006, France decided to buy six Barracuda class SSNs, for about $1.5 billion each. These 4,700-ton boats are smaller than America's new 7,300-ton Virginia class subs, which cost about $2.8 billion each and use different nuclear power plant and silencing technologies. The unique French tech works but since they developed it themselves, without relying on the use of American tech, like the British do, getting a new class of SSNs into service usually takes longer than British or American designs and, in this case, took much longer than expected. Construction on the first Barracuda began in 2007 and it was supposed to be launched by 2012. That launch date was tentative and was delayed until 2019 because development of the Barracuda nuclear power plant began in 2003 and soon ran into problems. Problems with the power plant were no surprise because France, unlike Britain, did not license the American SSN power plant. This would make it more difficult to export French nuclear subs, which France has never been able to do because of a lack of customers. The French chose a different power plant design that used commercial, not military grade, nuclear fuel. This meant French nuclear subs had to be refueled more often, but this was made easier by building the hull with special large hatches that could be quickly opened once every 7-10 years for refueling, then sealed again. France is the only nation using this type of ship power plant and had to handle development and maintenance procedures itself. With a small fleet of nuclear subs, this increased the cost per sub. Britain, by licensing the American tech, gets the benefit of a much larger American nuke fleet and the larger budget for work on the power plants. Ever since the first Barracuda began construction, the delays have come from power plant problems. By 2012 it was believed that launch date could be 2017 but delays perfecting the power plant continued. The sub could not be launched until the power plant was completed and the hull made watertight. Delays in getting the Barracudas into service added more delays in completing the Attack class design and getting construction going. Australia exited the Attack class agreement because it appeared that AUKUS provided a more reliable and affordable solution that also enabled a switch to an all-nuclear sub force. Britain and the U.S. also provide crew and construction staff training in a common language and the option to lease one or more American or British SSNs that are about to retire. This would have the Australian crews ready by the time the first Australian SSN entered service. By building these subs in Australia, American and British nuclear subs would have access to some repairs or maintenance for their nuclear subs operating in the Western Pacific. The U.S. currently has over half its SSNs operating in the Western Pacific. One critical factor to how many SSNs Australia will build is the problems Australia already has finding enough qualified recruits for their diesel-electric subs. Britain and the U.S. have similar problems with their nuclear subs, as does China with its diesel-electric and nuclear subs. That might make it easier for Australia to settle for four or six SSNs rather than the eight currently discussed. Kolkata doctor rape-murder case: A Kolkata court on Friday turned down the Central Bureau of Investigations (CBI) appeal to conduct a narco-analysis test on the prime accused in the rape and murder of a doctor at the RG Kar hospital, Sanjay Roy. According to officials, Sanjay Roy had initially agreed to take the test, but later refused to give his consent. Sanjay Roy, the accused in the Kolkata rape-murder case. (File Photo) Sanjay Roy, who was arrested on August 10 in connection with the rape and murder of a trainee doctor in Kolkata, was produced before the Sealdah Court for a closed-door hearing - a day after the CBI appealed to the city-based court seeking permission to conduct the narco test on Roy. The CBI was planning to conduct the narco test on Sanjay Roy to cross-check his version in the case. What is a narco test? A narco test, or a narco-analysis test, is conducted by injecting a drug called sodium pentothal into the accused's body, which takes them to a hypnotic or sedated state in which their imagination is neutralised. In the hypnotic state, the accused is believed to be incapable of lying and is expected to give information that is true. The drug, sodium pentothal, used in the test, is a fast-acting, short-duration anaesthetic used in larger doses to sedate patients during surgery. It is also often referred to as a truth serum. Under a 2010 ruling by the Supreme Court of India, narco-analysis tests cannot be conducted without the accuseds voluntary consent. While the test holds legal validity, the courts only grant limited admissibility depending on the circumstances under which it is conducted. In India, narco-analysis was first used in 2002 in the Godhra train burning case in Gujarat. Kolkata rape-murder case On August 9, the body of a trainee woman doctor was found in a semi-naked state in the seminar hall of RG Kar Medical College. A day after the incident, the Kolkata police arrested the main accused, Sanjay Roy, after he was seen entering the building around the estimated time of the crime in a CCTV footage, and his Bluetooth headphones were found near the crime scene. According to the autopsy report and initial probe, the victim was severely hurt and sexually assaulted. Earlier, the CBI conducted polygraph tests on Roy, the former principal and four doctors of RG Kar Medical College and Hospital. Notably, a polygraph test, also known as a lie detector test, is a procedure that measures and records several physiological indicators, such as blood pressure, pulse, respiration, and skin conductivity, while a person is asked a series of questions. As per the test, the deceptive answers will produce physiological responses that can be differentiated from those associated with non-deceptive answers. On an April morning in 1888, Alfred Nobel opened a newspaper to find his obituary staring back at him. The French press service had got it wrong; it was his brother, the Swedish engineer Ludvig Nobel, who had died. But what was undoubtedly worse than the error was what they had to say about Alfred. The obituary referred to the chemist as a merchant of death, because of his invention of dynamite. His explosive had changed the world for the better, in some ways. Tonnes of it helped build the Panama Canal. But it also took warfare to a new level. Nobel felt regret wash over him as he read the piece. In that moment, he decided to change how he was remembered. By the time he died eight years later, aged 63, he had bequeathed a large portion of his fortune to setting up what remains the worlds most prestigious set of awards: the annual Nobel prizes in physics, chemistry, physiology / medicine, literature and peace. (The Nobel Prize in Economics, incidentally, was not part of the original set; it follows Nobel Prize guidelines but is officially the Sveriges Riksbank Prize in Economic Sciences in Memory of Alfred Nobel.) There have been plenty of what-have-I-done moments lived out in public view, through history. Last year, computer scientist Geoffrey Hinton, 76, often called the godfather of AI, resigned from Google, saying he regretted his lifelong contributions to the field. There seemed to be no way to keep this thing from being misused, he added. Aza Raskin, 40, who created the infinite scroll when he was in his 20s, has since tried to make amends through a non-profit organisation that works to expose the negative effects of persuasive technology and social media. Regret is one of the most common human emotions, author Daniel Pink writes, in The New York Times bestseller The Power of Regret: How Looking Backward Moves Us Forward (2022). In fact, a survey of 4,489 people across the United States found that Americans are more likely to feel regret than they are to floss their teeth. Pinks own research was born of personal regret. Five years ago, when he turned 55, I looked back and there were things that I wished I had done, things I wished I hadnt done, and things I wished I had done differently, he says. When he sheepishly brought up the subject of his regrets with others, he found that everyone had their own list, and wanted to talk about it. It turned out to be something so universal, personal and emotional. Stuck on replay Where does it come from, this gnawing feeling? Children experience regret by the time they are six and begin to anticipate it by eight, according to a 2014 study by researchers at Queens University Belfast, published in the journal Cognition and Emotion. It likely served an evolutionary purpose, making a negative outcome memorable and acting as a way to underline to the self: we do not want this to happen again. If necessity is the mother of invention, regret is at least a distant cousin. Interestingly, when it comes to a faceoff between the promise of regret and the promise of pleasure, the latter almost always wins. And so it is that we quit smoking several times in a single year, finish the packet of chips, kiss the person we know we shouldnt. Analysing alas What are the things we regret most? In 2022, Pink set up the website worldregretsurvey.com, to collect regrets, anonymously, if contributors preferred. More than 26,000 people from 134 countries have contributed to it so far. Not telling my father I loved him while he was alive, wrote a 60-year-old man from Colorado. I was often rude to people. Once brought a cousin to tears, saying that she was lucky to lose her father, because now the state pays her maintenance... And now Im ashamed to remind them of this and ask for forgiveness, said a 21-year-old from Russia. I regret not finishing university, but I am proud of myself for getting it done 25 years later, a 46-year-old South African woman wrote. There were regrets about not stepping up to help a woman being harassed in Saudi Arabia, quitting the saxophone at 13 because it wasnt cool enough in Alaska, not moving out of Switzerland for a job offer that would have kept them away from their children. Common regrets among Indians on the site included not having the courage to move abroad and live independently; and leaving a partner at the behest of a parent. The four types of regrets that turned out to be the most common were not building a stable foundation for ones life, not taking more chances, not doing the right thing, and not building strong relationships. One thing that really stood out is how much people regret the things they didnt do. Regrets over inaction overwhelmingly outnumbered regrets over action, Pink says. One possible reason, he adds, is that actions can often be undone; a missed opportunity often cannot. Rues clues Whatever the regret, Pink says, it is generally a good idea to examine it. That is a big part of why its there. We think that the path to a good life is to never be negative, to always look forward and never look back. Thats actually a terrible idea, says Pink. It contradicts science and lived experience, and underestimates the human capacity for change and improvement. The At Least emotions, those that lend a positive bent, are vital; they remind us of how much we still have. But the If Only emotions can be crucial turning points, he says. If ignoring regrets is a bad idea, wallowing in them is worse. What we should be doing is confronting our regrets, thinking about our regrets, using them as signal and information, Pink says. Write down the regrets; talk about them; make them less abstract and less intimidating. Then use them as grist. Because youre still here. And ultimately, thats what really matters. These days, in India, we are accustomed to history as fiction, but what we are not familiar with is tantalisingly-crafted historical fiction. Thats what Robert Harris latest book Precipice is. It uses real people, actual events and genuine letters to create a spellbinding story that leaves you asking did this, in fact, happen or is it cleverly made up? Herbert Henry Asquith, the Earl of Oxford, who was prime minister of Britain from 1908 to 1916 (Government of the United Kingdom/Wikimedia Commons) Precipice is the story of Herbert Henry Asquith, the Earl of Oxford and Asquith, who was prime minister of Britain from 1908 to 1916, and his infatuation for Venetia Stanley, an aristocratic socialite. He was 62, she 27. As the author states, All the letters quoted in the text from the Prime Minister are the reader may be astonished to learn authentic the letters from Venetia Stanley to the Prime Minister are entirely invented. He calls her my darling, dearest love and dearly beloved. He shared secret diplomatic cables with her, detailed accounts of cabinet discussions and frequently sought her advice on how to handle Winston Churchill or Lord Kitchener. It seems he wrote every single day, often two or three times in fact. On Fridays, they took long leisurely afternoon drives. They met often in between, of course, at lunches and dinners and country weekends, but always with other people around. The car was the one place where they could be sure of being alone. The suggestion is they had sexual relations in the back of his chauffeur-driven 1908 Napier after she drew the blinds and 30 minutes later straightened her skirt. I have little doubt that, like Harris other masterpieces, Precipice will sell in millions. But it has created quite a controversy for Asquiths heirs. His great grandson, Raymond, the 3rd Earl, has said its nonsense. The suggestion they had sex is totally ridiculous. Perhaps, but Asquiths obsession with Venetia makes it seem more than probable. According to Harris, so intense and irresistible was his love that Asquith spent much of the cabinet meeting, where Churchills disastrous Gallipoli campaign was presented, writing a letter to Venetia. His attention was on his amour, not the Dardanelles. Perhaps thats why it was such a failure. This is how Harris delightful account of that meeting proceeds. Prime Minister? He looked up. Winston was staring at him. I wonder if I might now bring before the Council the matter of the Dardanelles? Of course. He quickly covered his letter with a few Foreign Office telegrams. But the letter soon returned to the top of Asquiths mind. (He) pulled the letter he had just begun from beneath his pile of documents. He shielded it with one arm from Balfours languid gaze as he wrote. It was only when he finished that he returned his full attention to Winstons presentation. By then the First Lord of the Admiralty had made a tremendous impression. As Harris writes, nobody raised any objections and Asquith declared an operation to force the Dardanelles Straits is approved unanimously. But it seems the prime minister hadnt paid attention to its details! Thus, one of the worst disasters of World War I was set in motion. Asquiths was, of course, a different time, a part of a forgotten world. His style was unhurried, often casual and languid, never driven by emergency or crisis. Dinner parties were frequent and lasted till midnight. He took taxis, spent time browsing in bookshops, went for walks without security and drank uninhibitedly. At one oclock in the morning, writes Harris of an occasion just before the war, the Prime Minister, with a quarter bottle of brandy inside him, climbed slightly unsteadily into the back of a taxi and was driven by an amazed cabbie to Buckingham Palace where presently George V appeared, wearing a pair of slippers, a brown dressing gown over his night shirt, his eyes full of sleep. Asquith wanted the king to telegraph the tsar for support against the kaiser. Leave aside other prime ministers, even Keir Starmer and Rishi Sunak would hesitate to emulate such behaviour. But thats what makes Asquiths story special. Karan Thapar is the author of Devils Advocate: The Untold Story.The views expressed are personal The horrific rape and murder of a trainee doctor in Kolkata, one of many incidents of violence against women, underscores how important it is for men and boys to be actively involved in countering all forms of abuse. Men Against Violence and Abuse (MAVA) has been trying to do this for the past 31 years, engaging in critical conversations about masculinity and that absurd concept that boys will be boys, something put forward by some of our elected representatives when they have no real answer to why women are targeted so often. Sympathisers stand by the posters of an ongoing protest rally by the Junior Doctors Forum demanding justice against the rape and murder of a resident doctor at a government hospital in early August, in Kolkata, India, Friday, Sept. 13, 2024. (AP Photo/Bikas Das) (AP) MAVA rests its work on the precept that there is no such thing as men being inherently prone to violence and that attitudes can be moulded by interventions. It also advocates for the fact that it is not enough for men to stay away from participating in any form of violence but to also be actively involved in creating a more inclusive concept of masculinity. There are many developmental practitioners, including womens groups, wanting to work with men and boys, but do not know what effective processes can be employed to engage with the latter. The participatory exercises and youth-friendly modules tested by our team have received enthusiastic participation and positive results. And they could now be used by any individual or organisation wanting to work with groups of men, said Harish Sadani, co-founder of MAVA. MAVAs eight-module manual on this subject is worth a read. It addresses crucial issues which every young boy should be made aware of. It talks of empathy, collaboration and power-sharing, all essential to creating a level playing field for gender equality. Omkar, a participant in one of MAVAs workshops, said, The workshop activities simplify the complex topics of gender relations and power dynamics. During one such workshop, the Privilege Bingo exercise was conducted, which prompted me to reflect on my privileges in a non-confrontational and insightful manner. Challenging patriarchal mindsets cannot succeed without involving men in the mix to redraw notions of gender roles and stereotypes. If we are to succeed, we need to actively involve men in conversations about male privilege and the pressure they face in living up to societal expectations. The manual may not have all the answers but it is a promising start to look at how men can be empowered to join the movement against violence and harassment. It highlights how gender discussions should not focus on women alone but on the commitment of men to all gender-based initiatives. A spokesperson for the Centre for Equity and Inclusion, Delhi, that worked with a group of male social media influencers, said, At the grassroots level, weve used some of the manuals exercises to engage young boys and explore themes of masculinity, power and violence. The well-explained interactive exercises have significantly enhanced the impact of our training sessions. The manual uses participatory methods to tackle concepts like patriarchy, gender stereotypes, inequality, power dynamics and privileges, intersectionality, inclusivity, equality, and equity. Altamash Khan, programme coordinator and gender trainer, MAVA, said, The manual will inspire justice systems, media houses, government institutions, and the corporate sector to include its content in their employees orientation programmes and development workshops. It will prove to be a valuable resource for teachers, human rights educators, animators of community-based and civil society organisations, womens rights groups, and youth associations. Writing in the manual, Lakshmi Lingam, chair professor, School of Public Health, DY Patil University, Navi Mumbai, said, From understanding the complexities of masculinity to developing partnerships, the manual offers a holistic approach that is enlightening and empowering. The exercises have been tested by MAVA during their sensitisation work among male youth in rural and urban areas It is paramount to acknowledge that men and boys are equal stakeholders in the struggle for gender equality. The views expressed are personal With the Maharashtra chief minister Eknath Shinde inaugurating one arm of the bow-string arch bridge connecting the Mumbai Coastal Road to the Bandra Worli Sea Link, which he said would enable motorists to travel from Marine Drive to Bandra in just 10 minutes, connectivity between South Mumbai and the suburbs is expected to improve immensely. The Coastal Road project is expected to benefit areas like Nariman Point, Cuffe Parade, Churchgate, Mumbai Central, Worli among several other areas of south Mumbai and Central Mumbai directly.( (Photo by Raju Shinde/HT Photo)) This may also have a positive impact on Mumbais real estate market, especially redevelopment of old buildings, an activity that has picked up pace in south Mumbai and Central Mumbai, said real estate experts. The bridge is open to northbound traffic between 7 am to 11 pm on weekdays only from September 13. The southbound vehicles, however, will have to take the existing route till both arms of the Coastal Road are connected with the sea link, The Coastal Road project is expected to benefit areas like Nariman Point, Cuffe Parade, Churchgate, Mumbai Central, Worli among several other areas of south Mumbai and Central Mumbai directly. Suburban areas like Bandra, Versova, Andheri, Malad, Kandivali, Borivali and Dahisar are expected to benefit indirectly due to the improved connectivity of suburbs with central and south Mumbai, they said. Also Read: Housing sales up by 5% in FY24 in Mumbai Metropolitan Region, launches down by 22%: Report South Mumbai was once known as one of the costliest real estate markets in India but over the years as businesses moved out to Bandra Kurla Complex (BKC), India's costliest commercial business district, and other parts of the city, prices in the area have stabilised. The Coastal Road and other infrastructure projects such as the Mumbai Metro 3 connector between Cuffe Parade and Aarey Colony are expected to revive South Mumbais fortunes, said experts. How will new infrastructure impact capital and rental values in south Mumbai? According to Savills India, a real estate consultancy firm, there will be limited impact on real estate prices due to new infrastructure projects becoming operational. "Businesses may consider having a small office in this micro-market (South Mumbai), along with an additional office in other business districts," a report by Savills had observed. Office rentals in South Mumbai have stabilised to 200 to 240 per sq ft from 255 per sq ft owing to lack of quality space. Comparatively, rates in BKC have gone up in the past five years, local brokers said. In BKC, office rents range between 250 and 500. They have even touched 700 for a deal for a shorter tenure, said brokers. According to local brokers, rentals in south Mumbai have stabilised on account of new Grade A inventory being in short supply unlike in other parts of the city such as BKC. Will increase in redevelopment projects lead to an uptick in Mumbais commercial market? Redevelopment activity picked up in the city post COVID-19, especially in the western and central suburbs of the financial capital. However, in the last one year, redevelopment has also picked up in south Mumbai as have new project launches. On September 12, Bengaluru-based listed real estate developer Puravankara announced that it has secured redevelopment rights of a housing society named Miami Apartments at Breach Candy in South Mumbai. In Malabar Hill, listed real estate developer Macrotech Developers also known as Lodha, is executing a project known as Lodha Malabar. The project shot into lime light after Bajaj Auto chairman Niraj Bajaj purchased a sea-facing triplex for 252.5 crore in March 2023. Other listed players like Godrej Properties and Sunteck also have plans to launch projects in south Mumbai. Also Read: Puravankara enters South Mumbais super luxury real estate market, secures rights to redevelop project in Breach Candy On a Year-on-Year (Y-o-Y) basis, the South Mumbai real estate market witnessed a growth of 41% in terms of sales in FY24 when compared to FY23. The number of housing units sold went up to 2,154 from 1,525, according to a report shared by CREDAI-MCHI, the apex body of the real estate sector and CRE Matrix, a real estate consultancy firm. Unsold inventory, on the other hand, witnessed a marginal 2% increase in South Mumbai in FY24 to touch 13,814 from 14,141 units. Commercial Grade A buildings in South Mumbai currently command a rate of 50,000 per sq ft. Residential projects command a price of 1 lakh sq ft too. The Metro rail and Coastal Road projects may impact prices of redeveloped commercial projects. We will have to wait and watch what happens to South Mumbai after the infrastructure push, said Pramod Vyas, president of SMART (South MetroCity Association of Realtors), the apex body of real estate consultants in South Mumbai. Maharashtra Chief Minister Eknath Shinde had said on September 12 that the Mumbai coastal road is a game-changer and it will be extended in the future to Bhayander, Virar and Palghar, which would benefit the mega Vadhvan port, the foundation stone of which was recently laid by Prime Minister Narendra Modi. Shinde, along with Deputy CM Devendra Fadnavis and other cabinet colleagues, inaugurated the coastal road connector after inspecting the stretch that connects the Marine Line-Worli coastal road and the Bandra Worli Sea Link (BWSL). "It will save time and fuel as well as reduce pollution. The coastal road is a gamechanger. It is being extended to Versova and in the future it will be extended to Bhayander, Virar and Palghar. Vadhvan Port, which will be among the top 10 ports in the world, will also be benefitted due to the coastal road (when it is extended till Palghar)," Shinde informed. Also Read: Heres why the real estate market in Gujarats GIFT City is high on the liquor consumption policy The distance between Marine Drive and Versova will be covered in just 40-50 minutes, down from the present 2-3 hours once the extension is complete, Shinde said. The Worli to Marine Drive stretch of the coastal road was inaugurated on March 11 this year, after which the northbound carriageway was commissioned up to Haji Ali on June 10. On July 11, the stretch from Haji Ali to Worli was thrown open to traffic. The connector is, therefore, the fourth phase of the project. The coastal road, built at a cost of around 14,000 crore, allows motorists to reach Marine Drive to Worli in less than 15 minutes. Work on the 10.58 kilometre road began on October 13, 2018. In a spine-chilling nod to the RMS Titanic's tragic fate, the Titanic Museum in Tennessee, USA, has recently attracted attention with a gripping new feature. The museum, an elaborate half-scale replica of the ill-fated ship, allows visitors to experience what the water felt like on the fateful night of April 15, 1912. Visitors to the museum have been given a unique opportunity to immerse their hands in water chilled to -2C, the same temperature as the Atlantic Ocean was on that fateful night. Titanic Museum's viral exhibit lets visitors dip their hands in -2C water, simulating the icy Atlantic from the night the Titanic sank.(X/Massimo) (Also read: Titanic's first-class dinner menu up for auction for 60,000) A harrowing experience The museum, which houses over 400 authentic Titanic artefacts and spans more than 22,000 square feet, offers various interactive experiences. One such featurea bucket of water maintained at the icy temperature of -2Chas recently become a focal point of discussion. The experience aims to replicate the extreme cold faced by passengers when the Titanic sank. A viral video circulating on social media captures the reaction of three brave visitors who tested their endurance by dipping their hands into the freezing water. The footage, posted on X by the account Spellbinding Odyssey and later shared by Massimo, has amassed over 2 million views. Visitors reactions In the video, the first participant, a woman, remarks, Yes, that is bad. That is just a little rough, as she briefly dips her hand into the ice-cold water. After just twenty seconds, she is replaced by a man who describes the sensation as a burning sensation and withdraws his hand after eight seconds, declaring, Im dead. (Also read: Who is Larry Connor? 6 points on Ohio billionaire set to explore Titanic wreckage 12,500 feet under the ocean) The third participant, a woman, exclaims Oh my God repeatedly while keeping her hand submerged for a short time. The reactions clearly convey the unbearable cold that could lead to hypothermia in a matter of minutesa stark reminder of the Titanic's tragic story. Watch the clip here: Here's how the internet reacted to the viral clip: The video has captured widespread attention, sparking various comments online. One user remarked, I cant believe how quickly they reacted; that water must be absolutely freezing. Another noted, Its incredible how immersive the experience is. You can almost feel the desperation of those on board. A third commenter observed, Seeing their reactions really puts into perspective the dangers the Titanic passengers faced. Other responses include, This really gives you a taste of the Titanic disaster, and The museum has done an amazing job of creating a realistic experience. The Titanic Tragedy Launched on May 31, 1911, Titanic set sail on its maiden voyage from Southampton on April 10, 1912, carrying 2,240 passengers and crew. Tragically, on April 15, 1912, after striking an iceberg, the ship broke apart and sank, resulting in the loss of more than 1,500 lives. The disaster has since become one of historys most infamous maritime tragedies, forever etched in memory. A camp organised for women reportedly to teach them about the ways through which they can discourage their husbands or partners from cheating has raised concerns among people in China. The sex camp, reportedly organised by an organisation called Sex Appeal Academy, charged $420 (nearly 34,000) from each person. Social media users labelled it as an unethical business practice which aims to take advantage of vulnerable women. This event has sparked widespread criticism on Chinese social media. Reportedly, women from the age group of 35-55 years attended the sex camp in China. (Unsplash/Scott Goodwill) What is the camp about? According to the South China Morning Post (SCMP), the event was organised for two days in the eastern city of Hangzhou in Zhejiang province. Sex appeal is a woman taking control of her life, read the tagline on the event poster. The attendees were asked to wear specific attire to be a part of the camp, where they were reportedly taught different techniques to fulfil the event slogan - Reignite passion in your marriage, revive your erotic life. Who were the attendees? Women aged 35 to 55 attended the event, reported SCMP, citing online sources. From an individual whose husband is cheating to a single mother whose husband left her to a married person who has a crush on her sons classmate, different people attended the camp. What did the organisers claim? As per a female instructor at the camp, she is qualified as an advanced therapist in intimate relationships and sex. The company claimed that their camp helps middle-aged women find self-worth and encourages them to feel powerful and attractive. How did social media react? An individual wrote on Weibo, a Chinese social media platform, This is an unethical business practice that takes advantage of women who are vulnerable and anxious about their lives. Another person shared, These middle-aged women cannot discern right from wrong. We can improve our attractiveness healthily by reading and continuing our education. What did the experts say? Che Xiaoyan, director of the nursing committee at the association, told the outlet that all the registered sex therapists work in hospitals in Mainland China. They have received multi-disciplinary training organised by the China Sexology Association, covering areas such as sexual medicine and human anatomy, and are the formal practitioners of sex therapy, Che added. A video of locals thrashing two shop workers in Ghaziabad went viral. The incident happened after the shopkeepers were accused of serving fruit juices mixed with urine. The news has left many disgusted and also prompted chatter on social media. The image shows locals thrashing shop workers for allegedly serving fruit juices mixed with urine. (Screengrab) UP: In Ghaziabad, urine was being mixed in juice and given to customers. Police arrested the shop workers (sic). About one litre of urine was recovered from the shop. The public beat up both the accused, the video was posted with this caption by an X page. The video features a typical fruit juice shop found throughout Delhi-NCR. It also captures the locals beating the men. Towards the end of the video, one local stops another from beating the worker and pulls him away. An individual is also seen recording the entire incident on mobile. Take a look at the video here: A plastic container that contained the urine was found inside the fruit juice shop, reported Free Press journal, citing local reports. Locals claimed that the people in the shop admitted to mixing the body waste matter in juices. Allegedly, they also apologised for their act. According to the outlet, police also reached the location following the commotion and arrested the two men. The authorities said that further investigation is underway. In a shocking incident last year, a man had a disgusting surprise after he sipped a milkshake that he had ordered online. When I started eating my meal upon delivery, I put a straw in my cup that was delivered and took a sip. I soon discovered that the cup delivered to me from the Grubhub driver was a warm cup of urine, the man told a news outlet about what happened. As per reports, the delivery driver relieved himself in a cup on his way to deliver the mans order. However, when handing over the order, he gave the man the urine-filled cup instead of the milkshake. A 17-year-old was arrested for allegedly stabbing his mother, just a few months after fatally shooting his father. His mother, 39-year-old Catherine Cathy Griffith died from a deep knife wound. The teen has been charged with first-degree murder. Amid these, the last post by Catherine on Instagram is going viral. The haunting final share shows a picture of a bridge leading to a forest, with a few words written as the caption. Before the alleged stabbing, the 17-year-old was seen arguing with his mother outside their home (Representative image). (Unsplash/scottiewarman) That old familiar body ache, The snaps from the same little breaks in your soul. You know when its time to goSometimes, givin up is the strong thing, Catherine Griffith posted on September 7. She fell on the knife According to ABC, the teen called 911 and said that his mother "fell on a knife". When the cops arrived they reportedly found him standing outside his house, covered in blood. Reportedly, neighbours saw an altercation between the teen and his mom before the stabbing. "There were witnesses outside the mobile home that actually saw Collin drag his mother into the house by the hair on her head," Polk County Sheriff Grady Judd told the outlet. The sheriff added that when the cops arrived at the teens house he appeared "calm, cool, collected not upset. He reportedly told the police, "I know my rights, I want an attorney. 'When you look at this, you see a kid. When I look at him I see a psychopath,' Sheriff Grady Judd told DailyMail. Inconsistent with an accidental injury Catherine Griffith has a fatal wound from an 8-inch blade. Judd told the outlet that according to the medical examiner, the wound doesnt match the pattern of an accidental injury. "The medical examiner said it is not reasonable or plausible that she died the way that [her son] said she did," Judd told the outlet. Arrested for battery in 2023 Earlier, in 2023, the teen was arrested by Charlotte County Sheriffs Office on domestic violence charges. Reportedly, he assaulted his mother. "She was disciplining him and took his video game privileges away, so he beat up his mother," the sheriff said, adding, "He pushed her to the ground and he stomped on her." Fatal shooting of father The teen was arrested in February 2023 in Oklahoma for shooting his father to death but was never charged. The outlet reported that the teen told the police that he shot his father in self-defence. According to a 911 call made by him, his father chased him into his bedroom while holding a knife and thats when the teen shot him. "Ultimately, after evaluating all of the evidence and possible theories, it was determined that we could not rule out self-defence and as a result, declined to file any formal charges," said Adam Panter, the district attorney for Oklahoma's 23rd District, to ABC. In a recent social media outburst, acclaimed author JK Rowling sharply criticised Indian-origin trans executive Mridul Wadhwa, the recently-resigned CEO of the Edinburgh Rape Crisis Centre (ERCC). The criticism follows a damning report revealing significant failings in the organisations ability to protect women-only spaces. JK Rowling blasts Mridul Wadhwas handling of Edinburgh Rape Crisis Centre, accusing her of failing to protect women-only spaces amid widespread criticism. (Also read: JK Rowling reacts to Kerala book thiefs' heartwarming return to shop 17 years after stealing Harry Potter book) Wadhwa, resigned after a review by Rape Crisis Scotland (RCS) found that she had "failed to set professional standards of behaviour" and lacked understanding of her roles limits. The independent investigation, led by legal expert Vicky Ling, uncovered that some women using the service had been "damaged" by their experiences. This probe was triggered by Roz Adams' successful claim of constructive dismissal against ERCC in May, highlighting issues of harassment and discrimination. JK Rowling's stinging rebuke The Harry Potter a known for her outspoken views on gender issues, took to X to express her disdain for Wadhwas tenure at the ERCC. In a series of posts, Rowling accused Wadhwa of dismissing the concerns of survivors who sought female-only spaces, labelling her actions as "bigoted" and suggesting that she should have been terminated sooner. Rowlings posts emphasised that those who appointed and protected Wadhwa should also be held accountable. One post particularly highlighted the serious nature of the controversy, pointing out that the ERCC had allowed a man convicted of severe sexual assault to access spaces reserved for female survivors. (Also read: Imane Khelif names Elon Musk, JK Rowling in cyberbullying lawsuit. What they posted) ERCCs response and recommendations In response to the backlash, ERCCs board has stated that both Wadhwa and the board have agreed it is time for a leadership change. They have committed to implementing the recommendations from the review, which include improving the robustness of their systems and better protecting women-only spaces. The board acknowledged past failings and expressed a commitment to addressing them and ensuring that their services exceed national standards. The review noted several critical issues, such as inadequate systems, poor governance, and a failure to prioritise survivor needs. Recommendations included a clearer definition of woman within the service and ensuring women-only spaces are protected and publicised. Concerns and criticisms Rape Crisis Scotland has paused new referrals to the ERCC and demanded an action plan to address the review's recommendations. They emphasised the importance of respecting survivors' choices, including the option for single-sex services. Campaign group For Women Scotland has criticised the ERCC board, accusing them of ignoring their own role in the crisis. Co-director Susan Smith expressed scepticism about the boards commitment to genuine change, suggesting that the problem extends beyond individual failings to a broader ideological issue enforced from the top. September 14, 2024: In July 2024 Ukraine carried out a surprise electronic attack on Russian internet access. This was accomplished by using the largest DDOS distributed denial of service attack ever. The attack disrupted all major Russian internet systems, including financial institutions, government networks and internet-based communications. This included messaging apps and social networks. These attacks are usually carried out by first using a computer virus, often delivered as an email attachment that installs a secret Trojan horse type program that allows someone else to take over that computer remotely and turn it into a zombie for spamming, stealing, monitoring, or DDOS attacks to shut down another site. There are millions of zombie PCs out there and these can be rented, either for spamming or launching DDOS attacks. You can equip a web site to resist, or even brush off, a DDOS attack but the Ukrainian attack was so massive and well planned that Russian DDoS defenses were of no use. It took about three weeks to get the Russian internet back to normal, although some systems were so heavily damaged that it will take months to get them running again. Major commercial, government and military systems were damaged or offline for weeks while repairs were made. The Ukrainian attacks were so massive, hitting internet targets throughout Russia, that there were not enough Russian internet engineers to repair all that damage immediately. That means systems that are not critical will be offline for weeks or months. Russians fear the Ukrainians will launch a similar attack before all the damage from the recent one is repaired. Russia has long been a leader in such attacks, but the Ukrainians prepared for that before the Russian 2022 invasion and upgraded their internet defenses. Russia was not as well prepared and was vulnerable. Some Russian internet engineers warned their government of the vulnerability but not enough was done. Attacks like these are more common now but have been for over two decades. One example occurred in 2011 there was an odd incident in South Korea, where a widely distributed computer game appeared to be infected with malware. What caught the attention of South Korean military intelligence was the fact that the malware was hidden in every copy of this game and, at one point, many of the 100,000 infected PCs tried to shut down the air traffic control system at a major South Korean airport. Further investigation revealed that the airport attack was part of a growing Cyber War campaign by North Korea against government and military websites in South Korea. One of the most disruptive North Korean Cyber War weapons was DDOS attacks. You can equip a website to resist, or even brush off, a DDOS attack and some of those attacks are prepared. But others were not. The South Korean airport was disrupted for several hours. The Russians suffered even greater damage in 2024. North Korea has launched DDOS attacks and attempted to hack into South Korean networks for over twenty years. This is a continuing problem for South Korea and Japan, which have had to construct large scale internet defenses to provide some protection from further North Korea attacks via the internet. Most North Korean attacks are for financial gain. North Korea is perpetually broke and always in need of more cash. North Korean hackers have turned many foreign internet systems they have hacked into their own private ATM. A Bengaluru woman has sparked controversy on social media following her criticism of Swiggy's delivery services. In her post on X (formerly Twitter), she expressed frustration over the lack of Kannada-speaking delivery agents in the city, which has reignited a broader discussion about language preferences in Karnataka. The womans remarks have intensified the ongoing insider-outsider debate, with many locals advocating for job opportunities to favour Kannadigas. A Bengaluru womans social media post criticising Swiggy for lacking Kannada-speaking delivery agents has reignited the language debate in Karnataka.(File pic) (Also read: 'Bengaluru belongs to Kannadigas': Viral X post calls every non-Kannada speaker an outsider, sparks heated debate) In her social media post, the woman shared a screenshot of her Swiggy order and wrote: Bengaluru is in Karnataka or Pakistan @swiggy? Your delivery guy neither speaks nor understands Kannada, nor even English. Do you expect us to learn his state language Hindi in our land? Stop imposing things on us and ensure your delivery persons know Kannada. The post has garnered over 2.5 lakh views and has sparked a wave of reactions from users. Check out the post here: Responses from social media users The response to the post has been mixed, with many users providing varied perspectives on the issue. Ankit Verma pointed out the potential economic impact of the language debate, stating: Due to ongoing language tensions in Karnataka, reports suggest that 53 companies from Surat, Lucknow, and Indore have been approached to relocate14 of which are multinationals. This could significantly affect Bengalurus startup culture and global presence. Will the citys tech hub status remain secure? (Also read: German woman speaks in Kannada: Viral video surfaces amid Bengaluru belongs to Kannadigas row) Others emphasised practical concerns, with one user remarking: As long as the delivery is done on time, who cares about the linguistic skills of the delivery boy! Another user challenged the womans views, saying: Is Bengaluru in Karnataka or England? As far as I know, English wasnt originally a cultural language in Karnataka. Diverse opinions and support The debate continued with some users questioning the womans assumptions. Shweta Bansal asked, Hindi a state language or national official language? Three-language formula? Are you above the constitution? A jaw-dropping video of TV personality Robert Alleva, co-host of Kings of Pain, getting up close and personal with a massive Nile crocodile has taken the internet by storm. The video, shared by Alleva on his Instagram, has already amassed a staggering four million views. A viral video shows a man interacting closely with a massive Nile crocodile.(Instagram/@cavemanrob) (Also read: Stealthy jaguar hunts down resting crocodile in viral video: 'New king of jungle') A close call with natures giant The clip, filmed at the Crocworld Conservation Centre in South Africa, captures a heart-pounding moment where the man touches and interacts with a colossal Nile crocodile named Henry. In his Instagram caption, he reveals that Henry is believed to be the oldest living crocodile, born in 1900. At 124 years old, Henrys imposing size and historic significance have made him a true legend among reptiles. Watch the video here: Reflecting on the encounter, Alleva wrote, Ever since I saw @backshall.steve with Henry, Ive wanted to meet this Nile croc. Well... be careful what you wish for!! I was as intimidated as I was fascinated!!" He went on to thank Crocworld Conservation Centre for allowing him to interact with the crocodile, calling the experience "breathtaking" and encouraging his followers to visit the centre. (Also read: Street vendor in China makes Amritsari kulcha, viral video wows Indian food lovers) Here's how the internet reacted to the scary viral clip: The video has sparked a debate on social media, many praised the man for his bravery, while others expressed concern over the close interaction with such a massive and potentially dangerous animal. One user, Daniel Taylor, commented, "This is incredible! I can't believe how close he got to that beast. Truly mind-blowing!" Meanwhile, Jennifer Russell Arvizu added, "Oldest croc in captivity. Who knows whats out there." Another commenter, Steve Borkmann, remarked, "124 years old? That's amazing! What a privilege to see something like this." Another user chimed in, saying, "This is why I love following Robert Allevaalways bringing the wild to our screens!" A commenter wrote, "I have to add Crocworld to my bucket list now!". Jacob Adrian voiced his admiration, stating, "Hands down, this is one of the coolest videos I've ever seen." (Also see: Worlds oldest crocodile: Henry the 16 ft giant who has fathered 10,000 babies) China on Friday said troops have disengaged at four places in eastern Ladakh, including Galwan Valley, and that the border situation is generally stable and under control between the two countries. St Petersburg: National Security Advisor Ajit Doval with Chinese foreign minister Wang Yi during their talks, in St. Petersburg, Russia, on September 12, 2024.(PTI) Chinese foreign ministry spokesperson Mao Ning said India and China have agreed during their meeting in Russia to work together to create conditions for the improvement of bilateral ties. On September 12, Director Wang Yi met with Indias National Security Adviser Ajit Doval in St. Petersburg. The two sides discussed progress made in recent consultation on border issues and agreed to deliver on the common understandings reached by leaders of the two countries, enhance mutual understanding and trust, create conditions for improving bilateral ties and maintain communication to this end, Mao said. Also Read | Kiren Rijiju refutes reports of Chinese encroachment in Arunachal Pradesh On a question of whether India and China were close to a breakthrough to revive the bilateral ties, which have been frozen for over four years due to the military standoff in eastern Ladakh, Mao said Chinese and Indian militaries have realised disengagement in four areas. In recent years, front-line armies of the two countries have realized disengagement in four areas in the Western sector of the China-India border, including the Galwan Valley. The China-India border situation is generally stable and under control, she added. Her comments come a day after external affairs minister S Jaishankar said roughly 75 per cent of the disengagement problems with China are sorted out but the bigger issue has been the increasing militarisation of the frontier. Also Read | Rahul Gandhi claims Chinese troops occupied land size of Delhi in Ladakh At an interactive session at a think-tank in Geneva, Jaishankar said the Galwan Valley clashes of June 2020 affected the "entirety" of India-China ties. He also asserted that one cannot have violence at the border and then say the rest of the relationship is insulated from it. He pointed out that India and China have been engaged in negotiations for the since 2020 to find a solution to the outstanding issues. "Now those negotiations are going on. We made some progress. I would say roughly you can say about 75 per cent of the disengagement problems are sorted out," he was quoted as saying by PTI. "We still have some things to do." Ajit Doval and Wang Yi meeting NSA Ajit Doval and Chinese foreign minister Wang Yi held talks in the Russian city of St. Petersburg on the sidelines of a conclave of national security advisors of the BRICS (Brazil-Russia-India-China-South Africa) nations on Thursday. Doval and Wang are the Special Representatives for the India-China border talks mechanism. In a statement after their meeting, ministry of external affairs said India and China have agreed to work with "urgency" and "redouble" their efforts to achieve complete disengagement in the remaining friction points in eastern Ladakh. The MEA said the meeting between Doval and Wang provided an opportunity to review the recent efforts towards finding an early resolution of the outstanding issues. "The meeting gave the two sides an opportunity to review the recent efforts towards finding an early resolution of the remaining issues along the Line of Actual Control, which will create conditions to stabilise and rebuild bilateral relations," the MEA said. Relations between the two Asian countries have been at a six-decade low since the face-off on the LAC began in April-May 2020. Dozens of rounds of diplomatic and military talks have resulted in the two sides withdrawing troops from other friction points such as the north and south banks of Pangong Lake, Gogra and Hot Spring. India has maintained that its ties with China cannot be normal unless there is peace in the border areas. The two sides have so far held 21 rounds of Corps Commander-level talks to resolve the standoff. (Inputs from PTI) By Luiza Ilie and Jason Hovet Floods in Romania kill at least four people as rain batters central Europe BUCHAREST/PRAGUE -At least four people died and thousands of homes were damaged by flooding in eastern Romania on Saturday, officials said, as surging river levels put authorities on alert in much of central and eastern Europe following days of torrential rain. More rainfall is forecast in the coming days in the Czech Republic, Poland, Slovakia, Hungary, southern Germany and parts of Austria, leading officials in some high-risk areas to implement emergency flood preparations. Residents of some towns along the Czech-Polish border were evacuated as rivers rose past alert levels while the Czech capital, Prague, which suffered catastrophic floods in 2002, put preventative anti-flood measures in place. In Romania, flooding affected eight counties, the country's emergency response unit said, and Prime Minister Marcel Ciolacu was due to visit hard-hit Galati county, where the four people were found dead and about 5,000 homes were damaged. The Environment Ministry's water management agency said rainfall of more than 150 litres per square metre had fallen in the area in less than 24 hours. Television images from the area showed streets flooded with muddy water, silt and debris as rescuers led residents to safety. Authorities deployed a Black Hawk helicopter to aid the search and rescue operation. EVACUATIONS, RAIL DISRUPTION In the Czech Republic, northern and northeastern parts of the country were bearing the brunt of the deluge, with some places recording up to 250 mm of rain since Thursday, the weather institute said. Forecasters warned that some areas could see more than a third of average annual rainfall by Sunday, with strong winds further complicating the situation. Environment Minister Petr Hladik said on Saturday people in the worst-hit areas should prepare to leave their homes. In Prague, a city of more than 1.3 million people that sits on the banks of the Vltava river spanned by the picturesque 14th century Charles Bridge, flood barriers were put in place. The city heavily invested into preventive measures after the 2002 floods, which swept into the subway system and forced tens of thousands of people to be evacuated from their homes. Prague Zoo, which is located along the Vltava, was closed to visitors and Czech Railways said services on dozens of routes was disrupted. In the country's second-biggest city, Brno, a hospital evacuated patients as a precaution. In Glucholazy, a historic town in southwestern Poland near the Czech border, firefighters piled hundreds of sandbags alongside a swollen river and some residents were evacuated from their homes. Polish Interior Minister Tomasz Siemoniak said weather forecasts looked unfavourable, with as much as 100-150 litres of rainfall per square metre projected to fall around the Czech-Polish border during the next 24 hours, feeding rivers into Poland. Officials in neighbouring Slovakia warned of the danger of possible flooding in the capital, Bratislava, from the swollen Danube river. Hungary expects the Danube to near record-high levels in the coming days, and officials will close lower-lying quays in the capital, Budapest, on Monday. "The wave of flooding is expected to peak around 8 metres in the second half of next week," the capital's mayor said on Friday. This article was generated from an automated news agency feed without modifications to text. An Israeli air strike hit a school-turned-shelter in Gaza City on Saturday, with Palestinian rescuers reporting five dead and the Israeli military saying it had targeted Hamas militants. Gaza rescuers say Israeli strike on school kills five Thousands of Palestinians displaced by the war, now in its 12th month, have sought shelter in the Shuhada al-Zeitun school, said Mahmud Bassal, spokesman for the civil defence agency in the Gaza Strip. It was the latest school building housing displaced Gazans to be hit by an Israeli air strike, resulting in "five martyrs, including two children and a woman", Bassal told AFP, adding that several other people were wounded. AFP could not independently verify the toll. Bassal said the bodies "were pulled from under the rubble after Israeli warplanes hit the Shuhada al-Zeitun school with two missiles". The Israeli military said it carried out a "precise strike" on the school compound which "was used by Hamas terrorists". Earlier on Saturday, the civil defence agency reported an Israeli air strike on a house elsewhere in Gaza City had killed at least 11 people, with more strikes overnight in other parts of the Hamas-run territory leaving at least 10 dead. The Israeli military has targeted several schools-turned-shelters in recent months, accusing Hamas of using them to hide militants among the civilian population a charge denied by the Palestinian militant group. A similar strike on Wednesday on the Al-Jawni school in central Gaza's Nuseirat killed at least 18 people, according to the civil defence agency. UN officials said six of the dead at Al-Jawni were staffers of the United Nations agency for Palestinian refugees, UNRWA. The vast majority of the Gaza Strip's 2.4 million people have been displaced at least once by the war, triggered by Hamas's October 7 attack on Israel, with many seeking safety in school buildings. Israel's military offensive in Gaza since the war began on October 7 has killed at least 41,182 people, according to the territory's health ministry, which does not provide details on civilian and militant deaths. The October 7 Hamas attack on southern Israel resulted in the deaths of 1,205 people, mostly civilians, according to an AFP tally based on official Israeli figures, which also includes hostages killed in captivity. bur-jd/rcb/ami This article was generated from an automated news agency feed without modifications to text. Toronto: An official delegation from India participated in the Toronto International Film Festival (TIFF) and held bilateral discussions, making it the first to visit the country in nearly a year. The Bharat Pavilion at the Toronto International Film Festivals Industry Centre (HT Photo) The delegation of the Ministry of Information and Broadcasting was headed by a Joint Secretary. India also had an official presence at the festival with a Bharat Pavilion at TIFFs Industry Centre. Among the meetings that the delegation undertook were with Telefilm Canada, a Canadian government agency which promotes, finances and develops the screen-based industry in the country. They also met with representatives from Ontario Creates, the provincial agency which has a mandate is to be a catalyst for economic development, investment and collaboration in Ontarios creative industries including the music, book, magazine, film, television and interactive digital media sectors, both domestically and internationally, according to its website. Other bilaterals at the international festival included that with Australian officials. This was the fist formal visit by an Indian delegation since Canadian Prime Minister Justin Trudeaus statement at the House of Commons on September 18 last year that there were credible allegations of a potential link between Indian agents and the killing of pro-Khalistan figure Hardeep Singh Nijjar in Surrey, British Columbia, three months earlier. That led to a rupture in the relationship which has prevented public bilateral engagement between the two countries, as India described Trudeaus statement as absurd and motivated. However, the presence at TIFF was also marred as six officials from the National Film Development Corporation or NFDC, could not participate at the festival as they were either denied visas or the travel documents were processed too late. TIFF had actually partnered with NFDC for the world premiere of a high-quality digital restoration of the Raj Kapoor classic Awara, which was screened on Friday. That film was presented by director Shekhar Kapur, who is also the director of the International Film Festival of India (IFFI). Toronto: The leader of Canadas principal opposition party has condemned as absolutely unacceptable calls from pro-Khalistan elements for Hindus to leave the country and accused Prime Minister Justin Trudeau of dividing communities. Conservative Party leader Pierre Poilievre at the BAPS Swaminarayan Mandir in Toronto this summer (PIerre Poilievre/X) On August 18, a pro-Khalistan group gathered at the venue for the annual India Day Parade, a celebration of Independence Day and were heard shouting, Canadian Hindus go back to India, among other slogans. That demonstration was part of the so-called Khalistan Rally organized by the secessionist group Sikhs for Justice or SFJ, and flyers circulated in the days preceding it called for a Face-off between Khalistan Sikhs and Canadian Hindus. ALSO READ- Canadas study permit system under fire after terror arrest At an interaction with ethnic media in the Greater Toronto Area or GTA on Friday, Conservative Party leader Pierre Poilievre said, Hindus have the right to worship, to raise their families, to live in peace, without intimidation or threat. He also attacked Trudeau, as he said, Anti-Hindu and Hinduphobia agendas have no place (in Canada). I detest how the Prime Minister has divided our people. We were getting along in this country. Now look, everybody is fighting. We need to bring people together around our common Canadian values of faith, family and freedom, of hard work and patriotism, he added. In a statement, the Coalition of Hindus of North America or CoHNAs Canadian units president Rishabh Sarswat thanked Poilievre for recognising Hinduphobia in Canada. This acknowledgment of the challenges faced by Hindu Canadians, including the amplification of hate and attacks on our community, is a crucial step toward addressing these issues effectively, he added. ALSO READ- India sends first official delegation to Canada in a year for TIFF The Canadian Hindu Chamber of Commerce also welcomed the acknowledgement of Hinduphobia by Poilievre. The Chambers president Kushagr Dutt Sharma said, Canadian Hindus are an integral part of Canada, and like all citizens, we have every right to live our lives freely and practice our beliefs without duress. This call for Hindus to leave is not only baseless and harmful but also a dangerous form of exclusion. ALSO READ- Chandigarh blast: Khalistan link emerges as US-based gangster claims responsibility The rise in Hinduphobia in Canada is concerning, and we urge the Canadian Government to address this issue with the seriousness it deserves before it escalates further, he added. PM Trudeau has yet to address the diatribe the Hindu community had to face from separatist elements. ABC moderators Linsey Davis and David Muir have been brutally blasted by critics for being biased towards Donald Trump's Democrat rival Kamala Harris. During the most-awaited first presidential debate the GOP and Democratic candidate, moderators fact-checked Trump five times and did not correct Harris a single time. ABC moderators Linsey Davis and David Muir have been brutally blasted by critics for being biased towards Donald Trump's Democrat rival Kamala Harris.(AP) Later, Trump too thrashed the ABC moderators for alleged bias. It was three to one. It was a rigged deal, as I assumed it would be, the former President told Fox & Friends on Wednesday. Linsey Davis reveals why it was important to fact-check Trump In a post-debate interview, Davis acknowledged that the fact-checking of Trump was impacted by earlier CNN debate, which ended badly for President Joe Biden, leading to his withdrawal from the 2024 White House race. Speaking to the Los Angeles Times over breakfast at the Ritz Carlton in Philadelphia, Davis said she only intended to address worries that Trump's remarks may hang there unchecked by Harris or the moderators just like his June debate against Biden. People were concerned that statements were allowed to just hang and not [be] disputed by the candidate Biden, at the time, or the moderators, she stated. In one the viral debate moments, Davis adamantly informed Trump that there is no state in this country where it is legal to kill a baby after it's born. She corrected the former President after he brought up former Virginia Governor Ralph Northam's comments from 2019 that implied a newborn baby could be killed shortly after delivery following a discussion between the mother and the doctor. Also Read: 'Check your man': Melania Trump warned against hubby Donald's growing closeness with Laura Loomer amid campaign trail Davis shuts off her social media accounts Davis stated that her team planned to fact-check both candidates during the debate, but there were none for Harris. The site reported that Davis closed her social media accounts and simply neglected the accusations that she was aiding Harris. There is a stereotype that I am acutely aware of that I cant be unbiased covering this moment. And the anonymous Instagram people serve as reminders every day, she stated. In a heated exchange on Friday, President Joe Biden snapped at a reporter who pressed him about Vladimir Putins recent threat of war in response to Kyivs use of long-range missiles. The confrontation occurred as Biden began a White House meeting with UK Prime Minister Keir Starmer in Washington. Mr Biden told Sky News US correspondent James Matthews to be quiet and let him finish his statement first. US president Joe Biden addresses the final session of the Democratic National Convention in Charlotte, North Carolina. Reuters/Eric Thayer Joe Biden scolds reporter after being pressed on Putins war threat I say you be quiet until I speak. Okay? Thats what I say. Good idea? scolded the 81-year-old US president, Joe Biden, as he opened a meeting at the White House on Friday. This exchange occurred amid reports suggesting the US might permit Ukraine to use Western missiles deep within Russian territory. The president was being questioned about his stance on Vladimir Putins warning that such actions could lead to a conflict between Russia and NATO. Also read: Trump refuses to criticize Laura Loomer amid concerns from Republican allies about her influence Despite being scolded, the journalist continued, But what do you say to [Russian President] Vladimir Putins threat of war, sir? Its a serious threat. Youve got to be quiet, Biden again repeated. Im going to make a statement here, okay? According to POST, The journalist seemed to be part of the travelling British press, so they probably didn't know about the American way of not asking questions until the president finished talking. What Biden said about Putins threat For months, Ukrainian President Zelensky has been urging his allies to allow his military to use long-range US ATACMS and British Storm Shadow missiles against Russian forces responsible for daily devastation in Ukraine. In response, President Biden said, I dont think much about Vladimir Putin. On Monday, he confirmed that he is considering easing restrictions on Kyivs use of US-supplied weapons against Russia. Were working that out right now, Biden told reporters on the White House lawn, according to the POST. Also read: Stuck-in-space astronauts reflect on being left behind and adjusting to life in orbit This follows Putin's warning that any such US actions would result in Russia being at war with NATO. He said that setting up missiles for the West would need NATO soldiers, which means the group would be directly facing off with Russia. And if this is so, then, bearing in mind the change in the very essence of this conflict, we will make appropriate decisions based on the threats that will be created for us, he said in a statement earlier. The recent debate between Kamala Harris and Donald Trump in Pennsylvania on September 10 drew over 67 million viewers, surpassing Bidens clash by a huge margin. While initial reactions from polls show Harris as the debate's clear winner, with a Reuters/Ipsos poll revealing 53% of viewers backing her performance compared to 24% for Trump, the impact on voter intentions remains uncertain. US Vice President and Democratic presidential candidate Kamala Harris (R) shakes hands with former US President and Republican presidential candidate Donald Trump Kamala Harris or Donald Trump: Who is winning poll post-debate? While the debate winner remains uncertain, Harris is clearly dominating key polls, pulling ahead with a big lead over Trump. Multiple post-debate polls and surveys show a dramatic shift in favour of Harris, who has gained ground after previously trailing Trump when Biden was still in the race. While Harris is making strides in major swing states, Trump remains strong in traditionally red states like Missouri and Alaska. On the flip side, Harris is performing well in traditionally blue or competitive states. Also read: Biden snaps at reporter when asked about Putins war threat to the US: You be quiet and' National Lead: In a survey by Data for Progress from September 11 to 12, Harris is leading Trump by just 4 points across the country, with 50% of people backing Harris and 46% supporting Trump. RMG Research also found Harris in the lead by 4 points, with 51% of people choosing Harris over Trump's 47%. Swing state: The story takes a different turn when we get into the swing states. Take North Carolina, for example, which is a key battleground. A Trafalgar Group survey, which leans towards Republicans, was done from September 10 to 11 and shows Trump just barely ahead of Harris with a 48% to 46% lead. Another survey from Quantus Polls and News shows Trump still in the lead by just 2 points at 48% to 47%. Michigan is turning into a fight zone where Trump is just barely ahead. A poll from InsiderAdvantage from September 10 to 11 shows Trump is leading by just one point, with 49% of people backing him up, while Harris is at 48%. Trump dominates in Missouri and Alaska; Harris shines in New Hampshire In Missouri, a survey by GQR from September 5 to 11 found Trump leading by 11 points, with 55% of people supporting him, while Harris was at 44%. The Alaska Survey Research poll from September 10 to 11 showed Trump with a 5-point edge, at 47% to 42%. Also read: Trump backs far-right activist Laura Loomer despite concerns from Republican allies: Calls her, free spirit Harris is performing well in some traditionally blue or competitive states. In New Hampshire, the most recent poll from St. Anselm, done from September 10 to 11, shows Harris in the lead by 8 points, with 51% backing her compared to Trump's 43%. Who is winning in the Reuters/Ipsos poll post-debate? a Reuters/Ipsos poll conducted from September 10 to 11 gives Harris a 5-point lead, with 47% to Trumps 42%. Around 52% of voters familiar with the debate felt Harris "demonstrated higher moral integrity," compared to 29% who think the same for Trump. Harris or Trump who is ahead in the BBC poll? The latest BBC poll places Harris at 48% and Trump at 45%. The trend shows how these averages have shifted since Harris entered the race. Who is leading in battleground states? The key states are still super close. Nevada is tied, but Trump is just barely ahead by less than 1 point in North Carolina, Arizona, and Georgia. At the same time, Harris is just a bit better in Pennsylvania, with only a 1-point lead, and is 2 points ahead in Michigan and 3 points ahead in Wisconsin. Harris is leading ahead of Trump post-debate According to The Hill shows that Vice President Harris is now leading former President Trump by 5 points after their debate on Tuesday. The Morning Consult survey indicates that Harris is up by 5 points, which is a bigger jump from the 3- and 4-point leads she had in the same poll before debate night. In short, the latest surveys show it's a close fight between Kamala Harris and Donald Trump. On a national level, Harris is just a bit ahead, with a lead of about 4 to 5 points. In important battleground states, the race is still super close, with Trump getting a bit of an edge in the states that usually vote Republican. Even though Harris did better in the polls after the debate and is seen as having strong moral values, the fight to win over the swing states is still really close. September 14, 2024: For over a decade the United States has observed China putting more Remote Sensing satellites into orbit. Currently there are over 300 of them up there. A few dozen of these satellites would be sufficient for commercial use. China has spent billions to put a much larger number of satellites in orbit so that it has an assured network of surveillance satellites that could survive a major attack. China continues to maintain a larger number of these satellites in orbit, must frequently replace ones which become disabled or wear out, and also periodically replaces existing satellites with improved ones with more capabilities and longer use lives. China requires such a large and survivable satellite network to keep an eye on potential naval threats. Its not just the U.S. Navy, but naval forces from South Korea, Japan, Australia, Taiwan and most other countries bordering the Western Pacific. The U.S. government does not want to pay for an equally robust and comprehensive satellite system that can survive a Chinese attack. China has been smart about their threatening satellite network by not talking about it and, when pressed, insisting that all those satellites are for commercial uses, to assist Chinese fishing trawlers and China commercial shipping industry. China is currently the largest shipbuilder in the world, building over half the commercial ships produced each year. The threat to the United States and its allies is real and for over a decade the U.S. Navy has been developing countermeasures. While the U.S. Navy has been quiet about how it plans to defend its ships against Chinese ship-killer ballistic missiles, they have not been idle. The navy has quietly expanded its layered air defense system with the addition of new electronic defenses. The navy is particularly quiet about any efforts to conduct realistic tests of its defenses against Chinese ballistic missiles. After two decades of effort, the Chinese Navy has developed and tested its DF-21D ballistic missile equipped to hit warships at sea and over a thousand kilometers distant. The basic DF-21 is a 15 ton, two stage, solid fuel missile that is 10.7 meters long and 140cm in diameter. Range varies from 1,700-3,000 kilometers depending on model. The DF-21D is believed to have a range of 1,500-2,000 kilometers. While the 500-2,000 kg warhead usually contains a nuclear weapon, there are also several types of conventional warheads, including one designed for use against warships. Some of these conventional warheads are for use against targets in Taiwan. This is because the DF-21, as a longer-range ballistic missile that comes down on the target faster than the 1,200 shorter-range ballistic missiles aimed at Taiwan, is more difficult to intercept. The DF-21D warheads were too fast for the American Patriot Pac-3 BMD (Ballistic Missile Defense) missiles Taiwan was installing around crucial installations a decade ago. BMDs have improved since then, but so has the Chinese ballistic missile arsenal. In 2020 China tested the DF-26B, a longer-range version of the DF-21D. The 20-ton DF-26B has a max range of 4,000 kilometers. This is a larger 14-meter long, 140cm diameter, missile that entered service in 2016 as the conventional DF-26. By this time the DF-21D had been tested and proved that it could work. Why not a larger version that could hit enemy warships, especially aircraft carriers, even farther away? Five years later the DF-26B was tested and in service. By 2022 China had the smaller YJ-21 missile that could be carried by bombers or large (destroyers or cruisers) warships and use the same target detection tech as the DF-21D and DF-26B to hit distant ships. Until 2013 there was no evidence that the complete DF-21D system had been tested. But then satellite photos showed a 200-meter-long white rectangle in western Chinas Gobi Desert with two large craters in it. This would appear to be a target for testing the DF-21D, and two of the inert practice warheads appear to have hit the target. American carriers are over 300 meters long, although the smaller carriers, especially amphibious ships with helicopter decks, are closer to 200 meters long. It appears China is planning on using the DF-21D against smaller warships, or perhaps they just wanted to see exactly how accurate the missile could be. Over the last decade several similar missile testing sites were discovered in the vast desert areas of western China. Some of these test targets portrayed air bases or even larger targets like naval or army supply depots as well as harbors where American warships are regularly found. China was seeking a way to carry out another Pearl Harbor type attack and they appear to have found it. Out in the desert China also found ways to improve the accuracy and reliability of their remote-sensing satellite. Back in 2011 an odd, geometric pattern was spotted in a Chinese desert that was used to calibrate satellite sensors, including those used to locate and identify targets for DF-21D type missiles. Between 2011 and 2013 various components of the DF-21D were tested, but until these satellite photos showed up there was no evidence that there had been any tests of the complete system against a carrier size target, especially a carrier accompanied by escorting destroyers and frigates. So many ships in a carrier task force make it more difficult for a missile to hit the carrier. Since 2012 there have been photos of DF-21Ds on TELs (transporter erector launcher vehicles), and announcements of the first units activated were made in 2019. By 2013 there was evidence of the successful tests. What has not been tested, apparently, is a dress rehearsal test against a large ship (an old tanker or container ship would do) at sea and moving. Another problem China has not yet solved is hitting these targets when they are moving at over 50 kilometers an hour. That might yet happen and it could be detected and monitored by Americans satellites. Before the 2013 tests China put three remote sensing satellites in orbit, moving in formation at an altitude of 600 kilometers across the Pacific. Equipped with either radar (SAR or synthetic aperture radar) or digital cameras, these three birds can scan the ocean for ships, even though the Chinese say their purpose is purely scientific. A typical SAR can produce photo quality images at different resolutions. At medium, 3 meters resolution the radar covers an area of 40x40 kilometers. Low 20 meters resolution covers 100x100 kilometers. This three satellite Chinese posse looks suspiciously like a military ocean surveillance system. This is the missing link for the Chinese ballistic missile system designed to attack American aircraft carriers. China has been developing the DF-21D since about 2002. Most of the development effort was devoted to targeting systems that would enable them to seek out and find aircraft carriers. On the DF-21D warhead itself, sensors would use infrared heat seeking technology for their final approach. This sort of thing had been discussed for decades, but China appears to have put together tactics, sensors, and missile systems that can make this all happen. The key was having multiple sensor systems which would include satellites, submarines, or maritime patrol aircraft that could find the general location of the carrier before launching the ballistic missile. Those sensors were eventually revealed as operational, as was the DF-21D itself. Meanwhile the U.S. Navy has quietly upgraded its ABM defenses that use short range RAM (Rolling Airframe Missile) and long-range SM-2 missiles that have proved very effective against ballistic missiles and, in one case, a space satellite. The navy is more secretive when it comes to its electronic defenses, which involve lasers and other light-based devices as well as a growing assortment of electronic devices that apparently concentrate on disabling or diminishing the terminal guidance systems of the ballistic missiles that make them accurate enough to hit individual ships. The navy and air force are apparently also developing techniques for disabling Chinas remote sensing satellites. Developing multiple attacks on Chinese satellites and ballistic missile sensors is what the layered defenses are all about. This adds a degree of uncertainty about the usefulness of the Chinese anti-ship ballistic missiles. Uncertainty is a goal here and one of the more important aspects of layered defense systems. The Chinese can collect some details about the new American electronic defenses by following announcements in the trade journals, where new features are mentioned, although not always with links to any specific navy system being upgraded. A lot of Chinese espionage, using human agents or hacking, is devoted to sorting out specific new capabilities the Americans have introduced and for what piece of equipment. Meghan Markle has reportedly been labeled Duchess Difficult by US staffers. A source alleges she has a knack for reducing grown men to tears' with her demanding nature and scathing early-morning emails. Reports suggest that Markle's habit of belittling her staff and ignoring advice has contributed to a high turnover rate, leaving many scared of her intense attitude. Despite her recent efforts to overhaul her public image, insiders reveal that the Sussexes still have a hard time making decisions together. US actress Meghan Markle addresses the audience during the "Afro women and power" forum, at the Municipal Theatre in Cali, Colombia, on August 18, 2024. (AFP) Meghan Markle labeled Duchess difficult Recent reports indicate a high turnover rate among staff working for Harry and Meghan as they build their empire in the US with new brand ventures and philanthropic projects. Meghan, in particular, has been criticised as 'Duchess Difficult' and described her as a "dictator in high heels. Josh Kettler, who was the top aide for the Duke and Duchess, quit his job in August after only three months, joining the increasing number of people leaving the team. An insider aware of the situation told Hollywood Reporter, Everyones terrified of Meghan. She belittles people, ignores advice, and both she and Harry frequently change their minds, leading to poor decision-making. Also read: Dave Grohls secret romance with alt porn goddess questioned amid cheating scandal and welcoming baby The publication further reported on how Meghan earned the nickname Duchess Difficult due to her loud tantrums and furious 5 a.m. emails. One source recalled her as 'incredibly demanding,' adding, "She would march around like a dictator in high heels, shouting orders and leaving people feeling intimidated. I've seen her reduce grown men to tears.' Prince Harry is charming While the former Sussexes' aide criticized the estranged royal couple as poor decision-makers, they still praised Prince Harry, describing him as a very, very charming personno airs at all, though noting that he is very much an enabler. On the other hand, Meghan was labelled as just terrible. Also read: NASAs Sunita Williams, Butch Wilmore voting from Space via orbiter ballots: Heres how In 2018, shortly after Meghan and Harry's marriage, the former Suits star faced accusations of mistreating two palace staff members, prompting Buckingham Palace to investigate the bullying accusation. Although the palace never released the outcome of the probe, a leaked email from Harry and Meghans former communications secretary stated: The Duchess seems intent on always having someone in her sights. Meghan dismissed these claims as a calculated smear campaign. Meghan and Harrys staff keep quitting Harry and Meghan are set to debut their new shows on Netflix following the end of their Spotify deal. Meanwhile, Meghan is gearing up to launch her lifestyle brand, although it recently hit a snag with its trademark issue. On top of that, the Sussexes are expanding their philanthropic efforts and touring various countries. However, reports indicate a troubling trend: their staff members are resigning one after another. Lately, Meghan Markle has been portrayed as someone who is full of gratitude and a bundle of joy, thanks to the ideas of Brene Brown. However, this positive image contrasts sharply with the views of those who used to work with her. They describe her as difficult to work with and always demanding more. Lately, a bunch of people working for the Sussexes have moved on, like Toya Holness, who was their press secretary until 2022; Christine Weil Schirmer, who was their PR boss in 2021; and Samantha Cohen, who was Markle's main go-to person and private secretary. Before that, we had Keleigh Thomas Morgan and Catherine St-Laurent, who were in charge of the Sussexes' charity Archewell; Archewell's COO Mandana Dayani; Ben Browning, the head of content; and Fara Taylor, the head of marketing, among others. NASA astronauts Sunita Williams and Butch Wilmore are stuck in space and will likely remain there until February next year. Meanwhile, America will hold its 2024 presidential election in November 2024. Despite being stranded in space after Boeing's solo return, the astronauts will still cast their votes. (FILES) NASA astronauts Butch Wilmore (R) and Suni Williams, wearing Boeing spacesuits, (Photo by Miguel J. Rodriguez Carrillo / AFP)(AFP) Reflecting on their recent challenges, the two described the past few months as trying at times, but Williams noted that the transition to station life was manageable, saying, This is my happy place. I love being up here in space. Stranded astronauts to cast their votes in US elections Since 1997, NASA astronauts have been casting their votes from the International Space Station (ISS). To do so, electronic ballots are transmitted to and from the orbiting laboratory. The process is less complicated than expected: ballots are beamed up to the ISS, where astronauts fill them out. Once completed, the ballots are electronically sent back to Earth. Upon arrival, they're processed by the designated county clerk. Also read: Biden snaps at reporter when asked about Putins war threat to the US: You be quiet and' To ensure security, the ballots are encrypted before being transmitted to NASA's Mission Control Center in Houston, as per the website. From there, they're forwarded to the appropriate county clerk for processing. Sunita Williams and Butch Wilmore send request for ballot "I sent down my request for a ballot today," Wilmore said during a recent press conference according to Fox Weather. As a matter of fact, they should get it to us in a couple of weeks and absolutely, yes, it's a very important role that we all play as citizens to be included in those elections. And that's what makes it very easy for us to do that. So, we're excited about that opportunity. In 1997, the Texas lawmakers made a law that let NASA astronauts vote while they were up in space. David Wolf was the first one to try it out on the Mir Space Station. This setup makes sure that astronauts can vote in elections and keep in touch with their democratic rights even though they're way out in space. Also read: Elon Musk secretly funnelled cash to oust a Texas prosecutor Stranded Astronauts on tough time and happy place Even though these two retired Navy captains and long-time NASA astronauts have always called space their 'happy place,' they recently admitted they've been having a tough time. Boeings Starliner ran into technical issues, leaving them stranded on the ISS. What was meant to be a one-week test mission has turned into several months, and theyll be up there for almost a year before SpaceX, led by Elon Musk, can come to their rescue in February. Wilmore shared, Its been quite a journey over the last three months. Weve been involved in every step of assessing our spacecraft. He added, And it was trying at times. There were some tough times all the way through. Ms Williams found adapting to life on the space station relatively easy, given her previous experience there, and expressed her love for being in space. Mr. Wilmore admitted that Boeing needs to make some changes because of the problems they've run into, but he also mentioned that both Boeing and the team are dedicated to making those changes happen. Boeing's on board with that. We're all on board with that. He continued, "When you push the edge of the envelope again and you do things with spacecraft that have never been done before, just like Starliner, you're going to find some things." Ahead of the November 5 election, a family-owned bakery in Hatboro, Pennsylvania, has once again used cookies to extend their support for the presidential candidates as Americans prepare to vote for either Donald Trump or Kamala Harris. Trump vs Harris: While 5,200 of them have been sold with the sentiment I support former President Trump, just 500 had the sentiment I support Vice President Kamala Harris.(Instagram/Lochelsbakery) The Lochel bakery has seen a surge in sales of the cookies. It is keeping tabs on the sales of their blue Harris and red Trump cookies as supporters of their respective candidates place orders from all over the nation. While 5,200 of them have been sold as of Friday morning with the sentiment I support former President Trump, just 500 had the sentiment I support Vice President Kamala Harris, NY POST reported. Kathleen Lochel says her playful initiative is all about business Kathleen Lochel, the proprietor of Lochel's Bakery, discussed her years-long practice of selling cookies centered around presidential elections with Ross DiMattei of CBS News Philadelphia. Every sale gets marked off. Currently, right now, as you can see, Trump is in the lead significantly. And compared to the 2020 numbers, right now, year to date in 2020, the margin is astonishing, Lochel said. On being asked if the margin is higher greater than it 4 years ago, the bakery owner remarked that the former president is getting support from all over the country. And Montgomery County is a blue county per se, so I wanna get that out there, but at least a third of those cookies have been shipped all over the United States, she added. Also Read: 'Check your man': Melania Trump warned against hubby Donald's growing closeness with Laura Loomer amid campaign trail Lochel further stressed that her attempt is not politically driven but it is entirely about business. Speaking about her out of the box thinking, she mentioned that it is important to get new people to come into your store, and in our case, shipping all over the United States right now. Thats tremendous. Tremendous for us as a small business to produce this and sell this as well, and see the faces that are coming around to buy these from all over, she continued. Meanwhile, several cookie lovers expressed their support to Lochel and urged Americans to support her initiative by buying delicious cookies. In a recent social media post regarding the cookie tally, the bakery clarified that this is a playful initiative, advising people to keep calm... it's a cookie. According to Fox29, the bakery has conducted an informal poll since 2012, accurately projecting the results of three of the previous four elections. In 2020, the final cookie tally gave Trump the advantage with 31,804 cookies over Biden's 5,750. Chinese anti-doping authority issues statement on independent prosecutor final report Xinhua) 10:17, September 14, 2024 BEIJING, Sept. 13 (Xinhua) -- The Chinese Anti-Doping Agency (CHINADA) on Friday slammed certain organizations and media outlets sticking to their "false claims" in the Chinese swimmers' contamination case, and called for target testing on American swimmers as their flushed faces during the Paris Olympics arouse speculations. CHINADA released a statement after Independent Prosecutor Eric Cottier presented the final report on the no-fault contamination cases involving 23 Chinese swimmers to World Anti-Doping Agency's executive committee meeting. "The Independent Prosecutor's final report once again shows the reasonableness of CHINADA's investigation into and decision on the cases, and proves there is no such thing as a 'cover-up' in the cases as deliberately fabricated and unfoundedly accused by certain organizations and media outlets like the United States Anti-Doping Agency (USADA), the New York Times and the German broadcaster ARD," read the statement. "Meanwhile, the full report also demonstrates that the existing anti-doping system is open, transparent and trustworthy to the athletes worldwide." The final report reaffirmed WADA's decision not to appeal cases was reasonable; WADA showed no favoritism or in any way benefited the 23 swimmers; there is no evidence of any intervention or interference with WADA's review as described above, whether internal to the Agency, or external to it, from any entity or institution, including CHINADA or the Chinese authorities. "The report also points out that, in the context of the COVID-19 pandemic, CHINADA had carried out investigation to the best of its ability, and had been collaborative, open and transparent in its active communication with both WADA and FINA (now known as the World Aquatics)," said CHINADA. CHINADA then criticized USADA for its politicalization of the issue and the use of the Rodchenkov Anti-Doping Act overstepping the boundaries of jurisdiction. "In disregard of the basic factual findings by the Independent Prosecutor in his report, USADA instigated the U.S. Department of Justice and the Federal Bureau of Investigation to initiate a 'long-arm jurisdiction' over this case by opening an investigation through the 'jurisdiction' granted by the Rodchenkov Anti-Doping Act," said CHINADA. "Such a 'double standard' behavior of attempting to overstep the boundaries of jurisdiction over other countries while turning a blind eye to its long history of doping problems has aroused widespread concern in the international community." CHINADA went on to call for target testing on American swimmers due to the "purple faces" controversy during the Paris Olympics. "We have also noticed the 'purple faces' of the American swimmers during the Paris Olympic Games that no athletes from other countries had," it said. "There have been various speculations and doubts about the 'purple faces' from media worldwide, such as the use of some prohibited substances that are difficult to detect at present (ITPP for example), which we found not unreasonable." "We therefore strongly call on relevant ADOs to follow up these American swimmers for target testing. To clear up the public doubts, we also call for long-term storage of the samples collected during Paris 2024, more research on targeted detection methods, and further analysis of these athletes' samples or reanalysis of the samples when new detection methods are available." (Web editor: Zhang Kaiwei, Liang Jun) Wait! Before you go Please sign up for our Evening Digest and Breaking Newsletters Success! An email has been sent to with a link to confirm list signup. Error! There was an error processing your request. * I understand and agree that registration on or use of this site constitutes agreement to its user agreement and privacy policy. Governor Files Supplemental Budget to Close Fiscal Year BOSTON Governor Maura Healey filed a supplemental budget to balance the state's Fiscal Year 2024 (FY24) budget and close critical funding gaps for public health, substance use treatment and education, while also building key reserves. The bill allocates $714 million in gross spending, at a net cost to the state of $149 million after offsets, to pay for time-sensitive FY24 budget deficiencies. It also invests $590 million in the state's future stability by making deposits in reserve accounts and streamlines policy implementation through technical improvements to existing statutes. "The supplemental budget will further our efforts to make life more affordable for people in Massachusetts from child care to school meals to rent while also advancing our clean energy economy, supporting public health hospitals, and improving our communities' ability to respond to disasters," said Governor Maura Healey. "We're grateful for the Legislature's partnership to lower costs, grow our economic competitiveness, and ensure a balanced and responsible budget." In FY24, the voter-approved Fair Share surtax generated $1.2 billion in excess revenues beyond what was budget by the administration and Legislature, while all other revenue came in $233 million below revised projections. With this bill, the administration proposes to strategically allocate $225 million of that surtax excess to support education and transportation initiatives such as Commonwealth Cares for Children (C3) grants, universal school meals and MassDOT operations. This will help close the non-surtax revenue gap and is in line with how the administration and Legislature have chosen to use surtax revenues in Fiscal Year 2025. This bill also proposes a limited amount of new spending critical to protecting public health and advancing the state's climate and clean energy goals. This includes an additional $10 million for the Massachusetts Clean Energy Center, $400,000 for mosquito spraying and $11 million to seed the new Disaster Relief and Resiliency Fund. The deposit in the disaster relief fund would ensure immediate access to funding in Fiscal Year 2025, if necessary, and supplement the $14 million earmarked through consolidated net surplus at the end of the year. The bill also includes critical and time sensitive provisions related to clean energy siting, permitting and procurement. Additionally, this budget bill would pay for all newly ratified collective bargaining agreements, provide $2.5 million for iLotterystart-up costs and put $10 million toward the Massachusetts Life Sciences Center. The largest cost covered by the supplement budget would pay for services provided over the past fiscal year by MassHealth. The bill directs $565.4 million gross to MassHealth at a net zero cost to the state as a result of available federal reimbursement. The administration is also proposing to replenish the Transitional Escrow Account, which has proven to be a critical tool to relieving pressures on the budget over the course of a fiscal year. This bill would direct $265 million in excess capital gains to the Transitional Escrow Fund, while still allowing for a $265 million deposit in the Stabilization Fund that will push the balance to approximately $8.8 billion. This bill also allocates: $46 million for a reserve to cover costs accrued by sheriffs $14 million to support treatment for substance and alcohol use disorder $8.7 million for Universal School Meals $7.3 million for Residential Assistance to Families in Transition (RAFT) $5.1 million for support to public health hospitals $1.3 million for Department of Unemployment Assistance caseload $690,000 for the Chief Medical Examiner $622,000 for the Massachusetts Emergency Management Agency for state match to flood victims $200,000 for National Guard death gratuity benefits and support for military suicide prevention programming This bill also includes a number of outside sections that provide for some technical corrections and deadline extensions necessaryfor the effective implementation of policy enacted in recent legislation. These include sections amending the HERO Act to allow veterans to receive specialty license plates without paying an additional fee as intended and granting eligibility to tribes in Massachusetts for the Municipal Vulnerability Preparedness program. Lever Inc. marks 10 years with the supporters and beneficiaries of its programs at Studio 9 on Thursday. Lever Inc. Celebrates Decade of Facilitating Entrepreneurship Brittney Cappiello says Lever helped her become a better business owner and entrepreneur. She launched her online health business with $25,000 won through one of its competitions. NORTH ADAMS, Mass. Lever Inc. has spent the last decade encouraging and guiding innovative startups, building pathways for emerging entrepreneurs and providing new enterprises with access to grants and seed money. Supporters and beneficiaries celebrated the 10th anniversary of the organization's work as an economic catalyst for the region at a dinner at Studio 9 at Porches Inn on Thursday. "I have been running My Core Floor as a side hustle in addition just doing physical therapy in a private practice, and I knew that if I wanted it to go to the next level, I was going to need help, and I was going to need funding," she said. "When I heard about the challenge, I applied, and I was excited to not only get in but to be a finalist in the competition. Over the course of the month leading up to the competition, I spent many hours in the Lever office and with the Lever team, and many Saturdays coming in, meeting with board members, community members and other local business owners that Lever brings in to work with all of us, to try to help us to be better business owners and entrepreneurs." Cappiello said she learned a lot about creating a viable business plan, something that isn't taught in physical therapy school. "It was an incredible experience and an opportunity that I will forever be grateful for. But it was not all sunshine and roses," she said, adding that Lever's Executive Director and co-founder Jeffrey Thomas had made her cry after a practice pitch. "The one thing that no one tells you when you start a business is how vulnerable it is and how hard it is to present your baby to the world, and the fear of rejection was very real for me." Thomas made her realize that she had to show what the value of My Core Floor was if she wanted to win and that was a huge turning point for her. "Jeffrey believed in me, and Lever believed in me, and they believed in what my My Core Floor could be, and I needed to believe in myself, and that really bears what the essence of Lever is to me," she told the gathering. "Yes, they provide businesses like mine with guidance and support and education, and help position you for success. "But for me, most importantly, they helped me to believe in myself and what I could accomplish so that I could help thousands of other women." Cappiello was one of several Lever beneficiaries who talked about how the organization had aided in their success. Williams College student George Taylor said his recent internship was welcoming and valuable for his professional education and development. Lever says it has supported more than 300 entrepreneurs, helped launch 100 companies and grow another 100, and facilitated paid internships for 150. It's also raised more than $30 million in equity investment and created more than 350 jobs. Its business competitions have afforded both funding and guidance and for up-and- coming entrepreneurs, its partnered investors with innovative ideas, and its promoted collaborations with local colleges, businesses and local and state economic agencies. Last year, Lever launched the Massachusetts Founders Network , a statewide one-stop source for networking, collaboration and resources for innovative entrepreneurs. It was awarded $25,000 in seed money by the Massachusetts Technology Collaborative to develop the hybrid program of "digital, remote and in-person" to connect entrepreneurs with like-minded peers and mentors. Board President Stephen Jenks, of managing partner of investor Candescent Partners, said he had been intrigued by Lever's concept of supporting and creating entrepreneurship in the state's far northwest corner. "Entrepreneurship, in my experience, is something that is is critical to every community. It not only builds as we all know, it builds wealth and so forth and so on. But more importantly, it really builds community. Because if you support entrepreneurs, entrepreneurs become leaders in their community, entrepreneurs impact their community," he said. "They attract other people into the community, and you raise the level of the health of the community in ways that are unforeseen when you start." Andrew Fitch, community engagement manager, welcomed the gathering and read a note from Jack Wadsworth, the owner of Porches who has invested in the city's revitalization. "It's hard to believe that Lever only started 10 years ago with business plan competitions at MCLA and Williams," Wadsworth wrote. "This was a truly auspicious, modest undertaking at the time. Who would have guessed that two private equity funds later and the spearhead of the Massachusetts Founders Network, would be in the cards in such a short period of time?" As the party prepared for a meal catered by Mezze, Thomas thought about that path Lever had blazed in such a short time and how it made him more a member of the community and the region. "It's been wonderful. It's been so many things, and it's been 10 years of every day being different," he said. "By orders of magnitude, it exceeded my expectations, but that's perhaps because I really didn't know what to expect when we started this. I've never done anything like this before never running a nonprofit, was new to economic development work. So 10 years of kind of making it up as you go along is what it's been like for me. "But we had so many great people around us that things just keep continuing to work." A plan to selectively harvest timber from the Notch Reservoir watershed has proved controversial, with some residents calling for the forest to be left alone. Residents had plenty of questions about the plan and its possible impacts. PreviousNext North Adams Residents Seek Answers on Forest Management Plan Andre Strongbearheart speaks at Thursday's meeting about conservation and land stewardship. NORTH ADAMS, Mass. Officials say the forest management plan for the Notch Reservoir watershed will improve the forest's resiliency. But residents continue to be concerned about erosion, water quality and logging, and the effects on wildlife and the popular Bellows Pipe Trail. The plan includes selective and salvage harvests because of infestations of the emerald ash borer, patch cuts on the red pine plantations, and enrichment plantings of resilient species. The project aims to reinvest income into the forest and watershed, with a focus on best management practices in collaboration with Mass Audubon and the state and federal forestry services. The initiative is part of Mass Audubon's Forest Climate Resilience Program in conjunction with the Woodlands Partnership of Northwest Massachusetts, of which the city is a member. Two demonstration forests in the partnership are eligible for three-year U.S. Forestry Service grants. It will focus on 70 acres of the more than 1,000-acre woodland to the west and north of the reservoir off Pattison Road. The management plan has been approved by the state Department of Conservation and Recreation but further permitting will be required from the Conservation Commission, for the cutting operation and for endangered species clearance. "It's an opportunity to harvest trees, open up the understory and replace them with resilient species, part of the climate change initiative here," said Gary Gouldrup, vice president of New England Forestry Consultants. "So the whole purpose is to go above and beyond the typical forest management practices that have been done in the past." What won't be included is the use of chemicals to eradicate invasive plant species. The Conservation Commission was strongly opposed to their use so near the reservoir and Mayor Jennifer Macksey and Andrew Randazzo, a forest ecologist for Mass Audubon, confirmed on Thursday that chemicals are off the table. Mechanical means pulling the invasives out by their roots will be used instead. Randazzo said this will take longer, cost more and lengthen the project's timeline. More than three dozen people attended the public session on Thursday night to ask questions about the 10-year management plan adopted in 2022. They quizzed the consultants over seasonal use, water protections, cleaning of equipment, types of equipment, stream crossings and physical impacts. Gouldrup said many of the factors they raised could be part of the bid prospectus for the harvesting. Deborah Raber of Notch Road said previous logging on private property near her home has created erosion issues, turning her road "into a river every time it rains." Commissioner of Public Services Timonthy Lescarbeau acknowledged the problems with runoff and blocked culverts. "I can agree with you 100 percent on that. That is because of the private landowner that stripped the whole property up there," he said. "This is what I'm trying to avoid when we started this plan. We want to do it right." Randazzo said a hydrological study hasn't been done and that Gouldrup is on the project because of his experience. "This harvest will be done well ... the key to ensuring that impact is mitigated is the timing of the harvest, and that will, as Gary mentioned, be within the bid prospectus for this job," he said. But Gouldrup's experience in commercial forestry didn't sit well with some. "I also really don't know if I can trust him when it comes to working for what's best for the citizens and the residents of North Adams," said Michaela LaPointe, part of a group of residents who have formed Friends of the Notch Reservoir and Bellows Pipe Trailhead. "This is a project that should have been made more transparent with the residents, and it was not. There was only one meeting in 2022 to address this, and if I had known about it, then I would have been there. We pay taxes, and we should actually have gotten a say about something that is happening near our water source." The friends group presented the mayor with a petition to stop the logging plan signed by more than 1,300 people, most of whom are North Adams or area residents. LaPointe also pressed Macksey on why she had not responded to numerous emails to the mayor's office over the past weeks. The mayor said she wanted to get through the public session meeting first and would be taking everyone's comments into consideration. LaPointe said one of the things they had requested was the city meet with William Moomaw, the well-known professor of environmental policy at Tufts University. She said Moomaw had told them he was for protecting the watershed at all costs; Randazzo that Moomaw recently said some forests should be protected but others managed to make them less vulnerable to disruption. Residents also questioned how much the city expected to gain from forestry. Gouldrup put the amount at about $40,000 but added it that would depend on the bids. Macksey said the revenue had been higher up in priority on the fact sheet but that has changed. "My priority is to preserve our watershed and the forest," she said. "Any income that is made we're hoping to reinvest back into this project, or the forest, or into the watershed." Randazzo said it was likely to be neutral since the costs for chemical application was estimated at $20,000 and using mechanical means will likely be more. There will also be grant funding anticipated for other aspects, such as replantings and seedings, and the installation of culverts that were long part of the plan. "The recommendation was to do what we're going to call a patch cut with reserves, harvesting the red pine, the spruce, leaving whatever hardwood we can hickory, in particular, sugar maple and the red oak would be retained as a seed source within those stands, we have also made recommendations for enrichment plantings," said Gouldrup. "We're looking at planting oak, hickory in particular, they're going to require some fencing protect them from deer browsing, etc. ... "But that's part of the transition of these forests that are in decline is that we're replacing them with a species that is going to be very resilient over time and into the future." He said he couldn't say how the area would look when the project was done but pointed out other municipalities are doing active forest management, such as the around the Quabbin Reservoir, to protect that resource and promote diversity. "I'm going to look at it and say, that looks good. I love it. I love what's happened here. And others are going to look at it and say, This is a change that I don't like. I don't like the look of it," Gouldrup said. Dickon Crane of Dalton, chair of the Woodlands Partnership, said the results might not look good to humans but there is wildlife that will love it. Jennifer Albertine of the Mount Grace Land Conservation Trust, in response to comments about Quabbin, said the selective tree harvesting there has been beneficial. "It was red pine that was dying, and it looked awful. There was no biodiversity. There was no undergrowth. And since the harvest occurred, there is wonderful biodiversity coming back, including trees, understory, medicinal plants, all kinds of things. The wildlife loves it," she said. Albertine said she'd come to speak about "the illusion of preservation." Most everyone lives in a wooden house but wood has to come from somewhere, she said, and about 80 percent of the wood used in southern New England is coming from Maine. "We have an ethical and moral responsibility in the face of climate change to produce our own wood here on site," she said. "And so yes, sometimes it looks awful when we harvest the wood a woodlot, but you know, what comes back is diversity and beautiful, and we are contributing to having a better impact on the climate and all of our lives." Andre Strongbearheart Gaines Jr., a member of No Loose Braids, a Nipmuc-led conservation and cultural organization, who said he was speaking on his own behalf for the land, asked if they had considered what the land had looked like hundreds of years ago. He asked if there had been an inventory or acknowledgement of the cultural, medicinal, wildlife and water, because the land wasn't just the trees. "You say you want to stand and fight for these trees that are here right now. But these weren't the trees that were here when my ancestors walked," he said. "It seems to me that these people here are trying to move forward in a healthier way, not the way that conservation has happened here for just the past couple 100 years." Gaines said Mass Audubon had brought indigenous peoples into discussions on land stewardship (No Loose Braids is a partner of the Woodlands Partnership) and wondered why the Stockbridge-Munsee Band had not been asked for input on their ancestral lands. "There's a lot of ways that land stewardship, through an indigenous viewpoint, is going to help you realize that there's a whole different mindset in what beautiful looks like," he said. "I see people really upset and really turned up. But I think that this is what's happening here right now. I think that there's already being a place and a stage set for people to voice these things, but it needs to be in a good way. There's no way that fighting with each other is going to be the answer for health in the future." Saturday 14 September 2024, 09:33 - Last updated: 10:37 ALL RIGHTS RESERVED This article is automatically translated Sentenced to life imprisonment for the murder of her daughter Diana in July 2022, Pifferi is facing a difficult existence inside the Vigevano prison, where she is held in isolation. 'Alessia Pifferi has lost weight, she is unwell: she confesses and goes to mass.' This was reported by the woman's lawyer, Alessia Pontenani, on 'Mattino Cinque News' in the episode of Friday, September 13. Pifferi's lawyer, who was sentenced to life imprisonment by the Milan Court of Assizes for letting her two-year-old daughter Diana die of starvation in July 2022, spoke about her client's condition. Pifferi was transferred from San Vittore prison in Milan to the Vigevano penitentiary in July last year. The Transfer A transfer that, according to Pontenani, further affected her client: 'She is unwell, just as she was unwell in San Vittore,' said the woman's lawyer. 'Also because now in Vigevano she is always in isolation, in her cell. Previously, she was with another lady in the room who helped her, now she is alone again. So imagine a person who has been alone all her life finding herself again 24 hours a day in front of the TV screen. She told me that she only watches certain channels, not all, she does not follow the news because she fears they will talk about her. She does not talk to anyone, except the guards and the chaplain. The only thing she does is go to mass and confess. She tried to socialize but gave up because she received threats, so she got very scared and never goes out. She no longer has relationships with anyone because she is afraid.' Saturday 14 September 2024, 16:30 - Last updated: 15 September, 20:31 ALL RIGHTS RESERVED This article is automatically translated Two Russian military aircraft were intercepted over the Baltic Sea. This was reported by the Air Force on X (formerly Twitter). A squad of German Eurofighters took off from Laage Airport (Rostock district). The MV aviators were supported by fighter jets from Lielvarde, Latvia. According to reports, the Russian aircraft was a Tu-142 Bear, with an escort (Su-30 Flanker-H). They were traveling without a flight plan or transponder. The alerted fighter jets from Laage and Latvia escorted them across the Baltic Sea. What Happened According to NATO data, Alliance aircraft flew more than 300 times last year to intercept Russian military aircraft approaching NATO airspace. Most of these incidents occurred over the Baltic Sea. In early August, a Russian reconnaissance aircraft flew over the Baltic Sea off Rugen, prompting the deployment of several NATO fighter jets. The Alarmrotte from Laage was also involved. Violations Russia uses international airspace for military flights in accordance with applicable aviation regulations. According to previous information from the Bundeswehr, Russian military aircraft almost always fly without identification signals ('transponders'), making them invisible to civilian air traffic control. During flights, these aircraft approach NATO airspace without violating it. It is assumed that this also tests the reaction to such flights. Considering the past year, NATO's statement at the end of December stated that the vast majority of encounters between NATO aircraft and Russian military aircraft were 'safe and professional.' Violations of NATO airspace by Russian military aircraft are rare and usually of short duration. Get our free weekly email for all the latest cinematic news from our film critic Clarisse Loughrey Get our The Life Cinematic email for free Get our The Life Cinematic email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the The Life Cinematic email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} James McAvoy says he was nearly cast as the major villain in the Harry Potter film series in the early years of his career. Appearing on the latest episode of Josh Horowitzs Happy Sad Confused podcast, the 45-year-old Scottish actor shared that he had auditioned for the role of Tom Riddle, who later becomes known as the evil wizard Voldemort (played by Ralph Fiennes). I remember it was right at the beginning of my career, McAvoy recounted. I auditioned for it and I think they wanted to put me on a retainer. Id hardly done any work and me and I think ten other actors, they wanted to put us in a retainer so that they could hold us and keep us to choose later. It was a really strange thing, he said of the process. And they offered quite a lot of money. For me at that time, it was a ton of money. It was like 40,000 or something like that. Id done very little work and I wouldnt be able to do any work for about seven months, I think. After speaking with his agent, who told him: Absolutely not. Dont do that. Were gonna go do something else, he recalled he went on to do a play where he got booed by a homophobic gentleman. I did that instead and got paid I think 275 a week, McAvoy shared, adding that he has no regrets. It was part of the making of me, he said. I was actually learning and doing all that. British actor Christian Coulson would go on to portray Tom Riddle in the quick flashback scene in the second movie, Harry Potter and the Chamber of Secrets (2002). Fienness nephew, Hero Fiennes Tiffin, later played the younger version of Riddle in the sixth sequel, Harry Potter and the Half-Blood Prince (2009). James McAvoy said he was offered a ton of money to play a major Harry Potter villain ( Getty Images ) The eight-movie adaptation of author JK Rowlings best-selling book series about the Boy Who Lived is currently in the process of being turned into a TV series for HBO. Watch Apple TV+ free for 7 days New subscribers only. 8.99/mo. after free trial. Plan auto-renews until cancelled Try for free Watch Apple TV+ free for 7 days New subscribers only. 8.99/mo. after free trial. Plan auto-renews until cancelled Try for free On Monday, HBO launched an open casting call for a new trio of actors to play the next Harry Potter, Ron Weasley and Hermione Granger, with filming likely scheduled to begin in April 2025. A decade-long TV adaptation was confirmed last year, with the aim of it being a faithful adaptation of the beloved Harry Potter book series. The series is expected to release in 2026, featuring an entirely new cast from the film franchise, which originally starred Daniel Radcliffe, Rupert Grint and Emma Watson as the leading trio. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Michaela DePrince, one of the ballet worlds brightest stars, has died. She was 29. An orphan of war in Sierra Leone, DePrince moved to the United States while still an infant and went on to perform with the Dance Theatre of Harlem, the Dutch National Ballet and the Boston Ballet. She rose to fame after being featured in the 2011 documentary First Position, and was also known for appearing in Beyonces visual album Lemonade and performing on Dancing with the Stars. Her death was announced in a statement from her team on her official Instagram page. With pain in our hearts, we share the loss of star ballerina Michaela Mabinty DePrince, whose artistry touched countless hearts and whose spirit inspired many, leaving an indelible mark on the world of ballet, and beyond, it read. Her life was one defined by grace, purpose, and strength. Her unwavering commitment to her art, her humanitarian efforts, and her courage in overcoming unimaginable challenges will forever inspire us. She stood as a beacon of hope for many, showing that no matter the obstacles, beauty and greatness can rise from the darkest of places. No cause of death has yet been announced. open image in gallery Michaela DePrince attending the American Ballet Theatre Gala in New York in June 2022 ( Bryan Bedder/Getty Images for American Ballet Theatre ) DePrince was born Mabinty Bangura in Kenema, Sierra Leone on January 6, 1995. She grew up as an orphan from the age of three after her father was reportedly shot and killed by the Revolutionary United Front and her mother starved to death. At the age of four she was adopted by an American couple, Elaine and Charles DePrince, and moved to Cherry Hill, New Jersey. DePrince went on to study classical ballet in the US, and was one of the stars of the 2011 documentary First Position, which followed six young dancers as they competed for a place at an elite ballet school. She made history by becoming the youngest principal dancer at the Dance Theatre of Harlem, before moving to the Netherlands to dance with the Dutch National Ballet. She then returned to the United States to dance with Boston Ballet. In 2012 she appeared on Dancing with the Stars, and in 2016 she choreographed her own dance moves to accompany the song Freedom on Beyonces visual album Lemonade. She said I looked like I was a creature from another planet, DePrince told the Wall Street Journal about meeting the pop superstar. She walked up to me and said, Its such an honor to have you here. I was really cheesy and said, The honor is mine. I was on cloud nine. Her 2014 memoir, Taking Flight: From War Orphan to Star Ballerina, which she co-authored with her adoptive mother has been published in 12 countries. In 2018 it was announced that Madonna was attached to direct a film adaptation. Boston Ballet paid tribute to DePrince following her death. Were sending our love and support to the family of Michaela Mabinty DePrince at this time of loss, said their statement. We were so fortunate to know Mabinty; she was a beautiful person, a wonderful dancer, and she will be greatly missed by us all. MBABANE - When Judge Maxine Langwenya pronounced Zwelibanzi Simelanes 25-year sentence yesterday, it was too much for his sister. She could not wait until Judge Langwenya completed the sentence, I sentence the accused to 25 years imprisonment without an option of a fine, and she let out a loud cry and ran out of the courtroom. Judge Langwenya continued to read the rest of the judgment. Sharons relatives hugged each other after the pronouncement of the sentence. The sentence comes after the court convicted Simelane on August 16, 2024 for the murder of Sharon Neves, who was employed by the National Emergency Response Council on HIV/AIDS (NERCHA) in Nhlangano. She was killed in her rented flat at Nkhanini in Nhlangano on February 8, 2022 by her lover, Simelane. Judge Langwenya said there is a need to declare gender-based violence (GBV) a national disaster. This call has been made in other sectors previously. According to Judge Langwenya, Simelanes case was clearly one of GBV. The community, according to the judge, deserves to live in a better world than this. A world in which a woman can speak her mind to her romantic partner without fear of being murdered; a world in which a womans life is valued by all and her fate is not in the hands of a man who crept into her life guised as a romantic partner; one who will one day decide when her time on earth is over and that she should die. Destiny A world in which a woman can determine her own destiny and put her fate in the hands of the Creator according to her beliefs. Sadly, that world remains a dream and far-fetched as long as cruel and heartless murderers like the accused (Simelane) are still free to roam the streets and mingle with other unsuspecting human beings. On face value, such murderers look like other human beings, because they show no signs of the inhumanity they harbour in their appearances. Society demands that when such murderers are unmasked, they should be removed from society for a long time and be allowed to rejoin society when the element of humanity has been restored in their characters, said Judge Langwenya. The judge cited a previous case, in which the court said domestic violence has become a scourge in our society and should not be treated lightly. It has to be deplored and also severely punished. Hardly a day passes without a report in the media of a woman or a child being beaten, raped or even killed in this country. Many women and children live in constant fear for their lives. This is in some respects a negation of many of their fundamental rights such as equality, human dignity and bodily integrity. Judge Langwenya said she could not agree more with the sentiments expressed by the court in the quoted judgment. She said in the country, hardly a day passes without reports in the news about incidents of domestic violence and GBV in Eswatini. The judge said the courts must play their roles in fighting the scourge of GBV and domestic violence by meting out appropriate sentences to perpetrators. Violent The assault by the accused on Neves, according to the judge, was savage and violent. The judge said Neves was unarmed when she was assaulted with a pickaxe handle repeatedly all over her body, which was an aggravating factor. The deceased died in the hands of someone who professes to love her. If the accused loved the deceased, he would have much rather protected her than subject her to the brutal assault and death. Ms Neves feared for her life as she was heard by Mr Mabuza, their neighbour, apologising to the accused during the incident. She had earlier intimated to friends that she did not want to sleep at her house that night because of issues between herself and the accused. She was unhappy with the accused using her car that day, said the judge. Offender Despite the accused being a first offender, said the court, his personal circumstances and interests simply do not measure up to the gravity of the crime committed and the interests of society. In the circumstances of this case, reformation as an objective of punishment becomes a less consideration. The court went on to sentence Simelane to 25 years without an option of a fine. The sentence was backdated to February 9, 2022 - the date Simelane was taken into police custody. Simelane was represented by Mlindi Mthethwa. However, Sandile Shabangu joined the matter at the sentencing stage. The Crown, on the other hand, was represented by Principal Crown Counsel Thabo Dlamini and Mandla Dlamini. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Jack Whitehall joked that someones getting fired after his new show accidentally aired footage of a picturesque harbour in the Caribbean, instead of one in Falmouth, Cornall. Fatherhood With My Father, the Netflix series starring the comedian and his father, Michael, made the blunder while the duo were visiting the advanced robotics studio Engineered Arts in the second episode. After meeting Ameca, regarded as the worlds most cutting-edge humanoid robot, Jack announced that it was time to go to the pub: As Cornwalls rave music and chemsex scene is pretty sparse. As his voiceover aired, various shots of Falmouth were shown... including an aerial shot of the lush green hills, blue waters and yachts of Falmouth Habour on the island of Antigua. As a resident of Falmouth I feel slightly misrepresented by Mr Whitehall and his team, one viewer complained. Whitehall, 36, responded: Oops. Someones getting fired in the morning. Using shots of Barbados and trying to pass them off as Cornwall. open image in gallery Falmouth Harbour in Antigua ( Getty ) open image in gallery Falmouth in Cornwall, England ( Getty/iStock ) Malcolm Bell, chief executive of Visit Cornwall, told The Times: Wee can have azure seas and glorious days too, but its the number of palm trees that is the difference. He said that the tourism trade in Cornwall this year has been depressed and sluggish, following a report in October last year that suggested Brits holidaying abroad could hit record numbers in 2024. However, he doubted that many would be offended by the episode error: Any news about Falmouth is good news to be talked about and people will then see images of the real Falmouth. Watch Apple TV+ free for 7 days New subscribers only. 8.99/mo. after free trial. Plan auto-renews until cancelled Try for free Watch Apple TV+ free for 7 days New subscribers only. 8.99/mo. after free trial. Plan auto-renews until cancelled Try for free He added: In these quite worrying days its nice to have something to laugh about. open image in gallery Jack Whitehall in a scene from Fatherhood With My Father ( Netflix ) In Jack Whitehall: Fatherhood With My Father, the comedian prepares for a future of parenting by exploring how people around the world approach the topic of aging and mortality. Whitehall and his partner Roxy Horner welcomed their first child, a daughter named Elsie, in September 2023. In a two-star review of the show in The Independent, Katie Rosseinsky criticised what she deemed as yet more generation-gap banter as Whitehall and his father take part in a series of increasingly bizarre activities, with an increasingly tenuous link to parenting. The format doesnt give them space to actually cover much emotional mileage either, she wrote. Both men are too tied up with their japes to ever really get stuck into a proper chat about what makes a good parent; Roxy and Jacks mother, the likeably no-nonsense Hilary, are often reduced to rolling their eyes at the silly men from the sidelines. She concluded: The most profound it gets is during an episode all about longevity when Michael suggests that his son would be better off just spending time with his child rather than messing around with biohacking in an attempt to prolong his life. Its hard not to draw similar conclusions from the show overall. Surely the best way to learn about parenting is to, well, do some, rather than squabbling in the metaverse with your elderly dad. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy At least 15 Hong Kong journalists and their families have been facing harassment and intimidation from self-proclaimed pro-China patriots in recent months, the citys largest journalist association has said. The systemic and organised attacks involved death threats, and threatening and defamatory complaint letters sent to the individuals since June, the Hong Kong Journalists Association (HKJA) said. Those targeted include 15 journalists, their families and associates including landlords, charities, schools and private businesses who have received anonymous complaints by email or letter, HKJA chairperson Selina Cheng said in a press conference. She said HKJAs executive committee and 13 media outlets including the Hong Kong Free Press, Hong Kong Inmedia, HK Feature and two journalism education institutions, have also faced intimidation. I think this is the largest scale harassment against reporters in Hong Kong that we have thus far known of, Ms Cheng said. We are making a loud call today to say that we do not accept such behaviour. open image in gallery Selina Cheng, chair of the Hong Kong Journalists Association, shares intimidating Facebook posts against some of the city's journalists at a news conference in Hong Kong ( AP ) She said the associations members faced a severe wave of trolling and harassment between June and August when emails and letters were sent to workplaces, social media accounts and home addresses. It has forced some people to give up their profession or union positions, she said, succumbing to pressure tactics. This type of intimidation and harassment, which includes sharing false and defamatory content and death threats, damages press freedom in Hong Kong and we should not tolerate it. HKJA and I believe all journalists in Hong Kong welcome criticism and debate. This is not it, she said. Ms Cheng stated that the primary form of harassment consisted of anonymous complaints with similar wording, often from individuals who identified themselves as patriots. open image in gallery Cheng, chairperson of the the Hong Kong Journalists Association (HKJA), in Hong Kong ( Reuters ) It comes at a time when the citys once largely free media has come under increased pressure, following a government crackdown after the mass pro-democracy protests in 2019. Journalists have faced trials under the new national security law, with major independent outlets such as Apple Daily and Stand News shut down by the authorities. The Hong Kong government urged any journalists who felt harassed to report it to the police. Michael Cheuk, the under secretary for security, told the media: Hong Kong is a society governed by the rule of law, and no one should be intimidated, insulted, or so-called harassed. Reporters Without Borders (RSF) condemned the harassment of journalists and called on the international community to take action. We strongly condemn this harassment campaign led against the independent media outlets that managed to survive the previous waves of government repression. We urge the international community to intensify its pressure on the Chinese regime so press freedom is fully restored in the territory, said Cedric Alviani, RSFs Asia-Pacific bureau director. Tom Grundy, director of Hong Kong Free Press, told Reuters his landlord and local property agencies received anonymous letters making wild claims and threatening unimaginable consequences and collateral damage unless he was evicted. Inmedia told Reuters one of its employees had received harassment messages. HK Feature said it was shocked by the varying degrees of harassment of our journalists and their relatives. We hope the public will take journalism work seriously and support our work so that reporting can be free from fear, it said. At least 28 journalists and press freedom defenders have faced trial since the passing of the 2020 National Security Law. Out of those, 10 are currently in detention, including British citizen and Apple Daily founder Jimmy Lai. On 29 August, two former editors-in-chief of the now-defunct Stand News were found guilty of producing seditious publications. It was the first sedition case involving the media in modern Hong Kongs history. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy The elderly father of an Indian woman on death row in the UAE has made a desperate appeal for mercy to the Gulf states ruling family and his own countrys leaders in a last-ditch attempt to save her life. Shahzadi Khan, 33, was allegedly deceived by a fraudster and trafficked to Abu Dhabi before being implicated in the death of an infant, a crime she vehemently denies committing. Imprisoned in the Al Batwa jail, she could be executed any day after 20 September, her father Shabbir Khan told The Independent. Mr Khan is now appealing to president Droupadi Murmu and prime minister Narendra Modi to intervene and secure clemency for his child. My daughter is innocent, please help save her, Mr Khan, 67, said. Her ordeal began in 2021, during the Covid pandemic, when she befriended a man named Uzair on Facebook, Mr Khan, a resident of Goira Mughlai village in northern Uttar Pradesh states Banda district, he said. Her father said Shahzadi was promised treatment for the burns on her face and body she sustained as a child after boiling hot water accidentally fell on her. Uzair told her he would be able to get her better medical attention in the UAE and, circumstances permitting, even a job, Mr Khan said. He told her his uncle and aunt lived in Abu Dhabi and they could help with a tourist visa. And she could work for them while she was there. Uzair, from Agra in Uttar Pradesh, claimed to be a social worker and businessman, Mr Khan said. Shahzadi was hesitant, he added. Uzair reassured her, The visa is only for 90 days and you can return after that. The Independent was unable to contact Uzair. Mr Khan claimed that after arriving in the Gulf country in November 2021 his daughter discovered that her visa was for six months and she had been sold to a married couple as a bonded labourer, apparently for a hefty amount. She was tasked to care of the couples four-month-old baby. The baby died in February and Ms Khan was falsely implicated to shield the couple from blame, her father claimed. She was trapped and forced to sign a false confession by the babys mother, leading to her wrongful conviction, Mr Khan claimed. But she didnt do it. My daughter is innocent, he said, lamenting his inability to ensure effective legal representation for her due to a lack of resources. He had made peace with his daughters situation as a bonded labourer, Mr Khan said, because they at least gave her food and shelter. But when I did not get a call from her for ten days, I grew concerned, he said. I would call her daily but there was no response. Mr Khan eventually sought help from a local police station that connected him to authorities in the Gulf nation. That is when I learnt she has been imprisoned in a case of murder. According to Abu Dhabi court documents, Ms Khan asphyxiated the infant on the spur of the moment. She was angry with her employers over mistreatment and nondelivery of salaries, the court was told during the trial, and she took out her frustration on their child. The doctor who testified at the trial, however, said the infants family did not let him do a postmortem, so he could not conclusively declare asphyxiation as the cause of death. The baby had received a vaccine shot that morning and was running a fever, the court was further told. How could my daughter be blamed when there was not even an autopsy? Mr Khan asked. If she had good legal representation, she would not have been convicted. The Independent contacted the victims mother by phone but did not receive a response. In July, Mr Khan filed a police complaint against Uzair for allegedly duping and trafficking his daughter. After the Allahabad High Court allowed Uzair to apply for interim bail, Mr Khan wrote to the Indian president, prime minister and ministries of home and foreign affairs, pleading for their help to save his daughters life. He also sought a swift investigation into what he alleged was a conspiracy to implicate his daughter for the infants death. In the letter, Mr Khan demanded Uzairs arrest. He claimed Uzair was on the run after the high court declined to quash the case against him while giving him permission to apply for bail before a lower court. My daughters death sentence was initially scheduled for 2 May 2023, he said. It was postponed after the UAE was struck by floods and a member of the royal family, Sheikh Hazza bin Sultan bin Zayed Al Nahyan, died, leading to a period of mourning. But an officer told my daughter earlier this month that she could be hanged anytime after 20 September, Mr Khan said. As the days tick by, the familys hope is dwindling. "I hope there will be justice. I ask the government to help me save my daughter, who has been entrapped in this case. Please do something to save her, to stop her execution, Mr Khan said. "We tell her to have faith in God, that He will save her, he said, recalling his last conversation with his daughter. But its hard to keep the faith when youre facing death." Stay ahead of the curve with our weekly guide to the latest trends, fashion, relationships and more Stay ahead of the curve with our weekly guide to the latest trends, fashion, relationships and more Stay ahead of the curve with our weekly guide to the latest trends, fashion, relationships and more SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Priya Ahluwalias latest runway show knitted together homely British comforts with the rich tapestry of her Indian and Nigerian heritage. Ahluwalia is an independent designer known for her patchwork techniques, transforming deadstock denim into fresh fashion. Sustainability is central to the designers mission, which has won her the Leader of Change gong at the Fashion Awards three years in a row. Her spring/summer 2025 show was entitled Home Sweet Home, inspired by a trip Ahluwalia took to Jamaica over Christmas. Home is both a physical and emotional concept for the designer, and the collection took inspiration from her Nigerian-Indian roots. Its a very sentimental collection and its very important to me, Ahluwalia told PA Media. Im really excited for people to see our elevation of eveningwear, weve got some amazing pieces that are new territory for us. Through a palette of burnt umbers, greens and ultramarine blues, Ahluwalia mirrored the eclectic colours of her dual heritage, while weaving in elements of her upbringing in England. Watching on in trepidation was Ahluwalias mother, who prefers not to give her name. She told PA Media before the runway began: I never see anything before the show even backstage I walk past the clothes and dont look at them so Im excited to see how shed adapted this personal concept. Im incredibly proud of her. A leaf motif was printed onto knee-high boots and skintight dresses, which came from the wallpaper in Ahluwalias grandparents first English home. Patterns taken from rugs in the designers childhood home were also emblazoned onto pieces throughout the collection. While literal references were employed, Ahluwalia also incorporated more conceptual homely motifs such as deconstructed and contorted fabrics. In two of the womens dresses, the fabric was stretched, pulled and tied in knots. According to Ahulwalia, this was a reference to her grandmothers experience of still feeling an emotional pull back to her home in India, no matter how long shes lived in the UK. The abundance of layering was not only clear in Ahluwalias message, but on the runway adorned with several kilim rugs, which some models found difficult to navigate. Ahluwalia also introduced some glamorous evening ensembles, which havent really been seen in the designers previous collections. The garments were adorned with beaded vests and matching wigs constructed entirely from chunky multicoloured beads, which rustled noisily as models took to the runway. In Nigerian homes its very common to have beaded curtains through the entryways, explained Ahluwalia design intern Arielle Uno Ekwang. Its incredible to see how Priya has brought that in as a design detail, not only in the vests and wigs but also in the embellishments on trousers and skirts. Jewels and beads scattered the runway beyond the ensembles, as Ahluwalia collaborated with the Danish jewellery brand, Pandora, for the collection. Celebrities including model Leomie Anderson, Bridgerton star Charithra Chandran and musician Ghetts have all worn Ahluwalias designs in the past, and this season there was a familiar face on the runway, too British rapper Wretch 32 walked the show in a sharply tailored contrast suit coat and trousers. The make-up looks were also inspired by Ahluwalias Nigerian and Indian roots. I really wanted to think about the people that actually live in Priyas home countries and what they actually look like, said MACs key make-up artist, Mata Marielle. Those countries can get incredibly hot, so sun-kissed was the first thing that came to mind. We gave the models a dewy tan [through MACs luminous lift concealer], the girls a warm outlined lip mimicking a sultry sunset, and added plenty of bronzer and blush to all models, to imbue a homely warmth. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Edward J. Slattery, a retired Catholic bishop who apologized for reinstating a priest who later admitted to sexually abusing an Oklahoma boy, has died, the Diocese of Tulsa and Eastern Oklahoma said Saturday. He was 84. Slattery died at his home in the Tulsa area late Friday following a series of debilitating strokes, Vicar General Fr. Gary Kastl said in a statement from the diocese. In 2002, amid a Catholic Church sex abuse scandal involving clergy, Slattery apologized for reinstating the Rev. Kenneth Lewis in 1995 following allegations against him. Slattery said at the time that when allegations emerged in 1994, Lewis was initially removed from the ministry but was reinstated after receiving psychiatric treatment. I have made mistakes along the way, and I feel terrible about it, but I do not feel guilty about it, Slattery told the Tulsa World. The mistakes are probably part of a culture of trying to protect the church. Lewis was later accused of sexually abusing a 13-year-old Oklahoma boy during a 2001 trip to Illinois. Lewis was removed from the ministry and resigned in 2007. He eventually pleaded guilty to one count of sex abuse. In 2009, the Tulsa Diocese was found out of compliance with standards set by U.S. Catholic bishops following the clergy sexual abuse scandal, but by 2010 had implemented training and other abuse prevention programs. Slattery was also among the bishops who objected to the University of Notre Dame's invitation to then-President Barack Obama to speak at its 2009 graduation ceremony and to present him with an honorary doctorate. Slattery was born in Chicago and was ordained a priest in 1966. He was ordained as bishop in 1994 and shortly afterward installed as bishop of the diocese in Tulsa. He oversaw an expansion of Catholic Charities of Eastern Oklahoma and creation of a new campus for the charity in north Tulsa as well as creation of a tuition assistance fund for Catholic families. He resigned in 2016 after reaching his 75th birthday, as required under church law. Slattery is survived by four sisters and one brother. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A Georgia county's emergency call center was overwhelmed by calls on Sept. 4 about a school shooting at Apalachee High School, records released Friday by Barrow County show. Local news organizations report many of the 911 phone calls were not released under public record requests because state law exempts from release calls recording the voice of someone younger than 18 years old. That exemption would cover calls from most of the 1,900 students at the school in Winder, northeast of Atlanta. Calls spiked around 10:20 a.m., when authorities have said that 14-year-old Colt Gray began shooting. Many calls were answered with automated message saying there was a high call volume," WAGA-TV reported. One man called 911 after receiving text messages from a girlfriend. He was put on hold for just over 10 minutes because of an influx of calls at the time of the shooting, The Atlanta Journal-Constitution reported. She hears people yelling outside, so I dont know if thats officers in the building or thats I dont know, he said, adding that she was eventually evacuated out of the school. Other adults also called 911 after their children contacted them. My daughter calling me crying. Somebody go boom, boom, boom, boom, one mother said. The 911 operator responded: Maam we have officers out there, OK? Parents of students at an elementary school and middle school neighboring Apalachee also flooded 911 seeking information. Sir, my daughter goes to school next door to Apalachee. Is there a school shooter?" one caller asked. We do have an active situation (at) Apalachee High School right now," the operator responded. "We have a lot of calls coming in. More than 500 radio messages between emergency personnel were also released Friday. Active shooter! an officer yells in one audio clip while speaking with a dispatcher, CNN reported. Another officer responds, Correct. We have an active shooter at Apalachee High School. The shooting killed teachers Richard Aspinwall, 39, and Cristina Irimie, 53, as well as students Mason Schermerhorn and Christian Angulo, both 14. Another teacher and eight more students were wounded, with seven of those hit by gunfire. Colt Gray is charged as an adult with four counts of murder, and District Attorney Brad Smith has said more charges are likely to be filed against him in connection with the wounded. Authorities have also charged his father, Colin Gray, alleging that he gave his son access to the gun when he knew or should have known that the teen was a danger to himself and others. The 13,000 students at Barrow Countys other schools returned to class Tuesday. The 1,900 students who attend Apalachee are supposed to start returning the week of Sept. 23, officials said Friday. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Iran launched a satellite into space Saturday with a rocket built by the country's paramilitary Revolutionary Guard, state-run media reported, the latest for a program the West fears helps Tehran advance its ballistic missile program. Iran described the launch as a success, which would be the second such launch to put a satellite into orbit with the rocket. There was no immediate independent confirmation of the launchs success. Footage later released by Iranian media showed the rocket blast off from a mobile launcher. An Associated Press analysis of the video and other imagery later released suggested the launch happened at the Guards launch pad on the outskirts of the city of Shahroud, some 350 kilometers (215 miles) east of the capital, Tehran. The launch comes amid heightened tensions gripping the wider Middle East over the ongoing Israel-Hamas war in the Gaza Strip, during which Tehran launched an unprecedented direct missile-and-drone attack on Israel. Meanwhile, Iran continues to enrich uranium to nearly weapons-grade levels, raising concerns among nonproliferation experts about Tehran's program. Iran identified the satellite-carrying rocket as the Qaem-100, which the Guard used in January for another successful launch. Qaem means upright in Iran's Farsi language. The solid-fuel, three-stage rocket put the Chamran-1 satellite, weighing 60 kilograms (132 pounds), into a 550-kilometer (340-mile) orbit, state media reported. The rocket bore a Quranic verse: "That which is left by Allah is better for you, if you are believers. A state-owned subsidiary of Iran's Defense Ministry and experts at the Aerospace Research Institute built the satellite with others to test hardware and software systems for orbital maneuver technology validation, state media said, without elaborating. Gen. Hossein Salami, the head of the Guard, praised the launch in a statement and said scientists successfully overcame the atmosphere of extensive and oppressive international sanctions." The U.S. State Department and the American military did not immediately respond to requests for comment over the Iranian launch. The United States had previously said Irans satellite launches defy a U.N. Security Council resolution and called on Tehran to undertake no activity involving ballistic missiles capable of delivering nuclear weapons. U.N. sanctions related to Irans ballistic missile program expired last October. Under Irans relatively moderate former President Hassan Rouhani, the Islamic Republic slowed its space program for fear of raising tensions with the West. Hard-line President Ebrahim Raisi, a protege of Supreme Leader Ayatollah Ali Khamenei who came to power in 2021, has pushed the program forward. Raisi died in a helicopter crash in May. It's unclear what Iran's new president, the reformist Masoud Pezeshkian, wants for the program as he was silent on the issue while campaigning. The U.S. intelligence communitys worldwide threat assessment this year said Iran's development of satellite launch vehicles would shorten the timeline for Iran to develop an intercontinental ballistic missile because it uses similar technology. Intercontinental ballistic missiles can be used to deliver nuclear weapons. Iran is now producing uranium close to weapons-grade levels after the collapse of its nuclear deal with world powers. Tehran has enough enriched uranium for several nuclear weapons, if it chooses to produce them, the head of the International Atomic Energy Agency repeatedly has warned. Iran has always denied seeking nuclear weapons and says its space program, like its nuclear activities, is for purely civilian purposes. However, U.S. intelligence agencies and the IAEA say Iran had an organized military nuclear program up until 2003. The launch also came ahead of the second anniversary of the death of 22-year-old Mahsa Amini, which sparked nationwide protests against Iran's mandatory headscarf, or hijab, law and the country's Shiite theocracy. ___ Gambrell reported from Dubai, United Arab Emirates. The best of Voices delivered to your inbox every week - from controversial columns to expert analysis Sign up for our free weekly Voices newsletter for expert opinion and columns Sign up to our free weekly Voices newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Independent Voices email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} NASA astronauts Sunisa Suni Williams and Barry Butch Wilmore have spoken out for the first time in two months following weeks of hullabaloo over their extended trip to space. Its been quite an evolution over the last three months, said Wilmore. And, it was trying at times. There were some tough times all the way through. The pair Boeing Starliners test flight crew launched to the International Space Station on June 5. They were expected to return within just a matter of days. Following issues with their spacecraft, NASA and its commercial partners made a decision to have the pair to return to Earth in February of next year on a SpaceX Dragon. The capsule is expected to launch later this month, with fellow NASA astronaut Nick Hague, Roscosmos cosmonaut Aleksandr Gorbunov, and two empty seats. Of course, that means Williams and Wilmore will have to miss a couple of things back on Earth. NASA astronauts Sunisa Suni Williams and Barry Butch Wilmore on the space station will be stuck on the ISS until Februray next year - and have spoken about the experience for the first time. ( NASA ) Theyll be voting in the 2024 presidential election from low-Earth orbit. Wilmore said he had sent his request for an election ballot today. In the back of my mind, theres folks on the ground who said some plans, right? Like my family, Williams said. I think I was fretting more about that. Like, the things that we had sort of all talked about and planned for this fall and this winter. And, I think I was a little bit nervous, to be honest with you, to say, like Okay, Im not coming home for it. But, that fret went away real quick, with the support they felt, and the reality of the situation. She said she knows her family understands, and that the up part is theyre in space with their friends and have got a ride home. The astronauts watched the empty Starliner land in New Mexicos White Sand Missile Range a week ago. It took six hours from its departure to touch down. They were in contact with their control team as it made its descent. Seeing its parachutes open provided relief. NASA astronauts Sunisa Suni Williams and Barry Butch Wilmore smile next to each other as the International Space Station orbits the Earth in Low Earth Orbit on Friday. The pair will be voting in the 2024 presidential election from the orbiting laboratory. ( NASA ) We were up in the cupola, and we were watching our spaceship fly away at that point in time, Williams recalled, noting that as members of the US Navy theyre not surprised when deployments are changed. "I was so happy it got home with no problems," she added. Both also reacted to questions about what had happened with Starliner and behind-the-scenes decisions. A report from The New York Post in August detailed tense discussions between NASA and Boeing. Neither organization confirmed the reporting to The Independent at the time. Williams and Wilmore painted a different picture, with discussions that were thorough, passionate and compassionate. There were some disagreements, but Williams said she was impressed with NASAs leadership. Wilmore said that neither of them felt let down by Boeing, but called questions about Starliners shortcomings fair. He noted that going into space is a very risky business and that before its launch they thought they would find issues. NASA astronaut Sunisa Suni Williams Barry talks into a microphone as Butch Wilmore does a flip while onboard the space station on Friday. The pair reaffirmed their commitment to NASA. ( NASA ) "And, sometimes the actual unexpected goes beyond even what you could think that could happen. Ultimately, Wilmore believes they simply ran out of time to fix problems, and found some things that [they] just could not get comfortable with putting [them] back in the Starliner when [they] had other options." He said they were very fortunate to have the space station. "Eight days to eight months or nine months or 10 months were going to do the very best job we can do every single day. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Medics have warned that a prisoners lengthy incarceration under an indefinite jail term is creating impermeable barriers to his recovery as they called for him to be moved to hospital. Thomas White has developed severe mental health problems in prison after serving more than 12 years for stealing a mobile phone under an abolished imprisonment for public protection (IPP) sentence. Earlier this summer, The Independent revealed he had set himself alight in his cell in desperation as he lost hope of ever being freed. Now fresh medical reports, shared exclusively with this publication by his heartbroken family, have laid bare the devastating toll the cruel sentence has taken on the father of one. His sister Clara has begged the Ministry of Justice to move her brother to a hospital to get the care he needs amid fears it is only a matter of time before he makes another attempt on his life. White with his sister Clara and mother Margaret, in Manchester in 1985 ( White family ) IPP jail terms were introduced under New Labour in 2005 and saw offenders given a minimum tariff but no maximum. They were scrapped in 2012 over human rights concerns but not for people already detained leaving almost 3,000 prisoners like White languishing in prison with no release date. The sentences have been branded psychological torture by a UN human rights expert after at least 90 IPP prisoners have taken their own lives. An assessment by an independent consultant forensic psychiatrist last month found 40-year-old White, who has paranoid schizophrenia, has been suffering panic attacks and pacing in his cell at HMP Manchester as he struggles with psychosis and religious delusions. Dr Deepu Thomas said: He stated that he often felt very depressed and would stay in bed for long periods. Over the three months prior to the interview, he had been pacing his cell frequently. In the period leading up to our meeting, Mr White reported feeling more anxious and experiencing symptoms of panic attacks when thinking about his imprisonment. Mr White expressed feeling depressed about his long imprisonment without a set release date, citing this as the reason for his previous suicide attempt. Clara White turned to David Blunkett for help in the fight for Kayden to visit his father Thomas ( White family ) The expert was commissioned ahead of a scheduled parole hearing but this had to be deferred because of Whites declining mental health. Dr Thomas also noted Whites frustration over the upcoming hearing, which he believed would not lead to his release. He emphasised that he had never harmed a person and expressed deep remorse for the theft he had committed, he added. He stated he had confessed to God and been forgiven, questioning what more he could do. Dr Thomas concluded he should be moved to a medium secure unit where he will have a better staff-to-patient ratio, a more therapeutic environment and specialised resources. In a previous report last year, the same psychiatrist said that the indefinite jail term was the probable cause of Whites poor mental health. A second report last month from a chartered clinical psychologist also recommended that he should be transferred to hospital. Dr Karyn Mannix said: In my view, Mr Whites mental health will need to be stabilised before any work can be meaningfully attempted. I also wonder whether Mr Whites lengthy incarceration, his negative experiences of this and sustained feelings of hopelessness create impermeable barriers to this work being completed in a custodial setting. Based on my recent assessment of Mr White, I recommend that he is again assessed for a transfer to a secure hospital for treatment of his complex mental health needs. Clara White said her brother was a healthy-minded and handsome 27-year-old when he was handed an IPP sentence with a two-year tariff for robbery just four months before the sentences were outlawed. Watching him deteriorate in prison while his son Kayden now 14 grows up without his father, has taken a toll on Clara and her mother Margaret, who are campaigning for justice for all IPP prisoners. Its making me ill. My mum is ill with it. I am not even asking them to release him, I am asking them to place him in a hospital for however long it takes, she said. I want to get my brother his right to healthcare and for them to right the wrong of whats happened to him. Alice Jill Edwards, UN special rapporteur on torture, has urged the government to resentence IPP prisoners ( UN Human Rights Council screengrab ) She has been battling for him to be moved but he was last year turned down for a bed after hospitals claimed he would be better off in a familiar prison environment. How can you compare a psychiatric hospital to a prison where somebody is kept in 23 hours a day? Hes just fed medication like an animal that keeps him sedated and keeps him quiet, she said. Hes not taken one step forward to progress and I feel like it will be too late for Thomas soon. They have a duty of care to help mend him. They have a duty of care to help undo what they have done. Earlier this month, Labour peer Lord Woodley introduced a private members bill to help prisoners trapped in the torture sentences. He said keeping almost 3,000 prisoners locked up under an abolished jail term during a prison overcrowding crisis makes no sense at all. The bill comes after The Independent has repeatedly called for all IPP prisoners to have their sentences reviewed. A Ministry of Justice spokesperson said: It is right that IPP sentences were abolished. The lord chancellor is committed to working with organisations and campaign groups to ensure the appropriate course of action is taken to support those still serving IPP sentences. The Prison Service continues to provide additional support to those still in custody, including improving access to rehabilitation programmes and mental health support. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A man freed from prison under the governments early release scheme is alleged to have sexually assaulted a woman at a train station just hours after he was released. It is understood Amari Lindon Ward, 31, was released as part of the policy, which aims to ease prison overcrowding, on its first day on Tuesday. He is alleged to have reoffended in Sittingbourne in Kent on the same day he was released, according to information provided by the Crown Prosecution Service. He was later arrested at an address in south London. He appeared at Croydon Magistrates Court on Thursday charged with sexual assault, and is due to appear at Maidstone Crown Court on 10 October. He has been recalled to prison. A Ministry of Justice spokesperson said: The government inherited prisons in crisis and on the brink of collapse. Had that happened, the courts would have been unable to hold trials and the police to make arrests. We had no choice but to introduce emergency measures, releasing some prisoners a few weeks or months early, while excluding a number of offences and imposing strict licence conditions. While we cannot comment on the details of any single case, those who break their licence conditions or commit further crimes will be punished. The policy sees the proportion of sentences which some inmates must serve behind bars temporarily cut from 50 per cent to 40 per cent amid government warnings jails were being pushed to the point of collapse. Around 1,750 prisoners were freed early on Tuesday from jails across England and Wales. Pictures emerged of an inmate being sprayed with bubbly by friends at the prison gates as they were released while ministers faced accusations that serious career criminals were among those walking free when the policy was launched. Criminals serving prison sentences of less than four years for violent offences, including manslaughter, are among those eligible to be freed under the scheme. Despite government efforts to prevent domestic abusers from leaving prison early, the restrictions will not apply to all criminals with a history of such violence as the policy only excludes particular offences such as stalking. Attackers convicted of other crimes such as threats to kill or actual bodily harm could still qualify for early release. Rioters involved in this summers unrest are also not specifically excluded from early release. They will only stay behind bars for longer if they are serving more than four years for a violent offence. Terrorists and sex offenders are excluded and will not be freed early. MBABANE You are the worst! The above bold statement was directed to the Prime Minister (PM), Russell Mmiso Dlamini by The Nation Magazine Editor Bheki Makhubu, during the Eswatini Editors Forum breakfast meeting held at the Mountain View Hotel, yesterday. It happened after the PM had given a response that had an unpleasant tone directed at Times of Eswatini Managing Editor (ME) Martin Dlamini. The PMs unpleasant tone came in the form of him saying that questions posed by the ME were just an opinion, yet most of them were issues of public interest and touched on service delivery. Also, some of the questions were focused on issues that have been raised in other forums, including Parliament and Sibaya. Martin first acknowledged the PM for presenting the Government Policy Statement and then posed his questions. Dragged Among other things, the ME expressed his loss of confidence in the arrests currently taking place within the Anti-Corruption Commission (ACC), stating that only the small fry were being arrested, not the big fish. Also, he said he had no confidence in the Judiciary itself, since cases dragged for too long. He then asked if the PM will make it a priority that the Judiciary issues are sorted. The ME said the PMs government is creating high expectations, especially reading from the Policy Statement and that the country is from an era where the nation gathered at the Sibaya and demanded that actions needed to be louder than words. Expectation Also, the ME said there was an expectation from a promise of excellence in terms of service delivery, but that he is extremely disappointed about the implementation. He made an example of schools opening, saying government knew the date, but did not provide all the necessary resources and commodities needed, including electricity and food for them to operate smoothly. Furthermore, the ME said he acknowledged that the ministries had come up with strategies, but that there is a need for timelines. The ME asked to know how far government has gone in terms of solving the drugs shortage in public hospitals and whether those who were found to have contributed to the challenge had been taken to task. When it was time for the PM to respond to the ME, he first asked to know which is better between looking down upon oneself and believe they are useless or to create hope for the country and point her towards the right direction. Disappointed He said he would rather government ignite confidence and pride in the country such that the nation would be determined to be the best. In fact, I am very disappointed, I must say. Maybe, that will come towards the end of my response. It is extra ordinary important that all of us when we come out of this place, we come out jubilant and excited that government has good intentions. In fact, if you look at the old strategies that people have in most organisations, it is just a documentation of what has been done, what needs to be done, whether you sleep or wake up, whether there is a useless leader or not, it has to be done. Is that what you expect us to do? No. We want our plans to be ambitious, he said. He said in any case, the plans he spoke of are not thumb sucked, but are a mandate from concerns raised by the people at the Sibaya. If you think that creates high expectation, too bad. That is our mandate and we will pursue them, otherwise we will have to sleep and not come to the office. Regarding the issue of the ACC arresting only the small fish, the PM said that was just an opinion. The PM then accused the Times Group of Newspapers of always focusing on the negative and that he did not expect anything positive from them. I am saying this because I mean, you have to get what you give me. In terms of arrests, if you think the ACC is not doing its job, it is your own opinion, it is negative. Disappointed by the lack of a proper plan to schools, it is your own opinion, take it, said the PM. Failed Reacting to a question whether the Performance Management System (PMS) would work this time around having failed in the past, the PM spoke about the need to change the mindset and to think before they asked questions or believed what is reported in the local media, as it is negative. The PM shared that a colleague told him how he boarded a shuttle from Johannesburg to Eswatini and was shocked at the level of negativity in the country, such that he could not believe that there is anything positive in the country. He said he hope that the editors remember what he told them when they had their first meeting, which was to contribute to changing the mindset of the country. As if that is not enough, the PM said he is utterly shocked that the King had been busy touring business entities, but that none of the media people had even recognised, since all they look for is negativity. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A man in his sixties died after he was struck by a police vehicle responding to an emergency call in Warwickshire. The crash happened on the B4113 Coventry Road in Nuneaton, Warwickshire, on Friday at around 8.45pm. The man suffered a serious head injury when he was hit by the vehicle. A Warwickshire Police spokesperson said: Despite the best efforts of paramedics from the ambulance service, he sadly died at the scene. His next of kin has been informed. The collision took place between the junction of Gipsy Lane and the Griff Roundabout. Officers are appealing for witnesses and have requested that anyone with dashcam footage or information about the incident come forward. "Police want to hear from anyone who witnessed the crash, which happened between the junction of Gipsy Lane and the Griff Roundabout," the force stated. Neither of the police officers in the vehicle were injured in the crash. The police department has expressed condolences, with a spokesperson saying: Our thoughts remain with the mans family and friends at this time. The incident has been referred to the Independent Office of Police Conduct (IOPC) for investigation, a standard procedure in cases involving police vehicles. The IOPC will review the circumstances of the collision and the actions of the officers involved to ensure a thorough and impartial investigation. As is standard practice with any death following police activity, this has been referred to the Independent Office of Police Conduct (IOPC), who have begun their independent investigation to establish the circumstances surrounding the incident, the Warwickshire Police spokesperson added. If you witnessed the incident, have dashcam footage of it, or information that could help with enquiries, please report this to us either via our website or by calling 101, quoting incident number 359 of September 13. Close Prince Harry laughs as young boy pulls his beard at WellChild awards in London Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} King Charles is set to temporarily pause his cancer treatment while taking part in a high-profile overseas visit after getting the go-ahead from doctors, according to reports. The monarch, 75, who will make the nine-day visit with Queen Camilla, was first diagnosed with an undisclosed form of cancer earlier this year after a routine procedure to treat an enlarged prostate. It is understood that the monarch will continue his treatment upon his return from Australia and Samoa, following 11 days of travelling, The Mirror reports. Planned engagements for the trip include a visit to the Australian War Memorial and a fleet review of the Royal Australian Navy at Sydney Harbour. Meanwhile, the Duchess of Sussex, 43, has debuted a new look during a surprise appearance at a childrens hospital in Los Angeles over the weekend. Meghan, 43, stepped out at the Childrens Hospital Los Angeles 2024 Gala on Saturday (3 October) in a red dress she previously wore to the New York Salute to Freedom Gala in 2021. She paired the dress with a never-before-seen beach waves hairstyle typically achieved by letting hair dry into its natural curls. The Independent has reached out to Buckingham Palace for comment. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Larry the Downing Street cat is a little sh**, and the most miserable animal youll ever meet in your life, the Scottish secretary said. Labour minister Ian Murray made the joke about the 17-year-old feline as he spoke to business leaders in Glasgow on Thursday. The animal has served under six prime ministers as No 10s official chief mouser and has become a much-loved figure in British politics. Speaking about being appointed in his new role, Mr Murray quipped that he and his colleagues were more excited to get a picture with Larry than meet the prime minister - but the fanfare did not meet expectations. Upon encountering Larry, he said: Without putting too fine a point on it, Larry the cat is a little sh**. So none of us got a picture with Larry the cat. The Scottish secretary joked Larry the cat - No.10s chief mouser - was a little sh** ( Copyright 2024 The Associated Press. All rights reserved ) Larry the cat is the most miserable animal youll ever meet in your life. Im not surprised with who hes had to live with for the last ten years. Former prime minister Boris Johnson once branded Larry a thug who mauled his Jack Russell-cross Dilyn after he tried to steal the tabbys food. Earlier this month, it was revealed Sir Keir Starmer had introduced a new Siberian kitten to Downing Street as part of an agreement with his children. The kitten will be the third in Downing Street after Sir Keir and his family moved into No 10 when he became prime minister with their family rescue cat, named JoJo. Sir Keir revealed earlier this month that a Siberian kitten would be joining Downing Street. File photo. ( Getty ) Asked what the reaction had been from Larry to the news of a second new addition to Downing Streets feline population, Sir Keir revealed the problems of living with pets in the high-security environs of No 10. The problem weve got, which is the same for JoJo the cat, is that the only door out of our new flat is bomb-proof, he said. Therefore, getting a cat flap in is proving a little bit difficult. But our daughters persuaded us that the problem isnt any bigger for two cats than one. And therefore, were now getting this kitten. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Prince Harry has shared his excitement at turning 40, revealing his emotions are in complete contrast to the anxiety he felt as he neared his 30th milestone. The Duke of Sussex has experienced a turbulent decade: he fell in love, married, dropped his royal duties, left the UK for a life in California, fell out bitterly with his brother, fathered two children, penned an bombshell memoir, helped found the Invictus Games, created a Netflix documentary series and gave that Oprah interview. Now, as he turns 40, there are few signs he intends to slow down. He continues to lock horns with the British press in court his case against the publisher of the Sun is due January 2025 and his new Netflix project POLO will air in December. Its understood the Duke will celebrate his birthday on Sunday at home in California before heading off on a getaway with a group of close friends on a lads holiday. Harrys trip is the latest in a long line royal 40ths, ranging from wholesome to extravagant. Now, as the prince hits the big 4-0, The Independent spotlights how some other key Windsors celebrated the milestone. King Charles III King Charles - then the Prince of Wales - made an official visit to France the week before his 40th birthday in November 1988. The five-day trip, at the invitation of the French President Francois Mitterrand, was the first time Charles and Diana went to France together. The tour included a visit to the famous Chateau de Chambord in Loire Valley. Here Charles and Diana enjoyed a slap-up meal of oysters, scallops sauteed with foie gras, wild mushrooms and roast pigeon filet, washed down with famous Loire Valley white wines. Charles looked dapper on his official trip to France in 1988 (Getty Images) ( Getty Images ) Prince William William turned 40 in June 2022 - six months after his wife - and followed Kates lead by releasing three portrait photos. While Kate was pictured well-groomed in a studio, Will was snapped out and about selling The Big Issue in London. Homelessness is an issue on which the Prince has long campaigned - he even spent a night on the streets in 2009. William spent the actual day of his 40th at home with his family, shunning any major celebrations. The Royal Mint celebrated Williams 40th by producing a ceremonial 5 coin - and released only 300 worldwide. You can buy one of the coins, the first to feature Wills portrait, online for 2,000. ( Getty Images ) Queen Elizabeth II The late Queen celebrated her 40th birthday on April 21, 1966. The next day, she made a speech at the state opening of parliament. April 21 was the Queens actual birthday, but her official birthday celebrations always took place on the second Saturday of June - because April was too cold for Trooping the Colour. This tradition was started by King George II in 1748, and has been continued by King Charles III. The Queen wore the Imperial State Crown and Robe of State for the opening of parliament in 1966 (Corbis/Getty Images) ( Corbis via Getty Images ) Kate Middleton - The Princess of Wales Kate delighted the public on her 40th, January 9 2021, by releasing three stunning portraits by photographer Paolo Roversi. A touching message accompanied the pics: Thank you for all of your very kind birthday wishes, and to Paolo and the National Portrait Gallery for these three special portraits. C. On the day itself, Covid-19 pooped the party by forcing Kate to scale back celebrations. She marked it with family and close friends at a relaxed fete at the familys Norfolk estate. Kate modestly told the Mail she didnt want anything flashy anyway. ( Getty Images ) Princess Anne Anne hosted a night of revelry at her residence of Gatcombe Park in August 1990 with guests turning up dressed as sailors, pirates and tarts, according to The Sunday Times. A particular moment of high-jinx came when actor pal Anthony Andrews arrived dressed as Commander Tim Laurence - whose racy love letters to the married Princess Anne caused scandal when they were leaked to the tabloids. Anne had split from her husband Mark Phillips by the time of the party, and he was a notable absentee. Princess Anne, pictured here at 40, is the second child and only daughter of the late Queen Elizabeth II (PA) ( PA ) ( Getty Images ) Prince Andrew The Duke of York took his family for a spin round the London Eye to mark his 40th in February 2000, complete with champagne and cheering crowds. The Princes birthday was marked again with a huge royal blowout at Windsor Castle in June 2000, which also celebrated Princess Annes 50th, Princess Margarets 70th and Prince Williams 18th. The bash, hosted by the late Queen, began with dinner for 80 guests before 700 joined later for drinks and dancing. Fellow royals from Spain, Belgium and Norway made the cut, alongside a slew of celebs. Jeffery Epstein and Ghislaine Maxwell were in attendance at the Dance of the Decades. In his infamous interview with Newsnight, Andrew claimed Epstein only came along as Maxwells plus-one. Andrew invited the pair to a shooting weekend at Sandringham later that year. Prince William famously missed the big party as his History of Art A-level exam was the next day. ( PA ) Meghan Markle Meghan celebrated her 40th by releasing a global appeal to help women on her Archewell Foundation website. Coined as the 40x40 initiative, Meghan encouraged people around the world to commit to giving 40 minutes of their time to support women going back to work. She kicked off the movement by asking 40 of her close friends to act. Princess Eugenie was one of the first to publicly take up the challenge. The day itself was low-key, as Meghan hunkered down at home with Harry and the kids. Meghan marked her birthday with an understated video from her living room - in true working-from-home style (Archewell) ( Archewell ) Prince Philip Prince Philip celebrated his 40th birthday on June 10, 1961, with a private party at Balmoral Castle in Scotland. The celebration was a more low-key affair compared to some royal events, reflecting Philips preference for understated festivities. There was a small gathering of family and close friends, and the occasion was marked by a relaxed and intimate atmosphere. Queen Elizabeth's husband, the Duke of Edinburgh turned 40 on June 10, 1961. He died on April 9, 2021 at nearly 100 years old. ( Bettmann Archive ) Queen Elizabeth, the Queen Mother Born at the turn of the century on August 4, 1900, Queen Elizabeth, the Queen Mother, turned 40 on Aug. 4, 1940. Queen Elizabeth celebrated her 40th birthday during the height of World War II. Given the wartime circumstances, her birthday that year was relatively subdued. As Queen alongside King George VI, she was heavily involved in boosting morale and supporting the British people during the war. Her 40th birthday likely involved some small, private acknowledgment within the family, but larger public celebrations would have been out of place during the ongoing conflict. At the time, the royal family was residing at Buckingham Palace, which had been bombed by the Germans, adding to the gravity of the period. Pictured is the Queen Mother lounging outdoors with one of her dogs that year ( Getty Images ) King George VI Born December 14, 1885, Queen Elizabeth II's father, King George VI, turned 40 in 1935, taking the throne the following year after his brother, King Edward VIII's abdication. His brother Edward VIII had not yet abdicated the throne and occurred during a period of significant change for the monarchy. His father, King George V, was in poor health, and the nation was beginning to prepare for a transition of power. ( Corbis via Getty Images ) Zara Tindall Tindall is Princess Annes daughter, and the late Queens eldest granddaughter. She celebrated her 40th in May 2021 with her husband Mike Tindall, two months after giving birth to the couples third child. She attended the Royal Ascot a month later - a regular date in the renowned equestrians diary. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A national academy chain with 35,000 students has become the first in England to ban smartphones at its schools. The Ormiston Academies Trusts chief executive said the move was triggered by concerns about the link between the poor mental health of teenagers and using social media and mobile phones in general. The national academy chain, which runs 44 state schools, has already started gradually banning phones, with eight secondary schools launching new rules this term and the rest to follow suit. Tom Rees, the chains chief executive, said that the current rules in the majority of schools that enable students to have their phones with them fail to tackle the harm phones are having on pupils education and mental health. We are seeing huge and real concerns around mental health, post-pandemic. These are not just self-reported, were also seeing real concerns about self-harm, attempted suicide, A&E admissions these are facts from across the world involving young people and adolescents, Mr Rees told The Guardian. Were seeing a clear correlation between that and mobile phone and social media use, in particular. Not all mobile phone use is equal and the relationship between that and adolescent mental health, we think, is overwhelming. He argued schools have a responsibility to make it more difficult for pupils to access inappropriate content through the school day and restrict the draw of social media. Mr Rees explained that student phone access was already barred at Ormistons primary, special needs and alternative provision schools. He said: Theres evidence that tells us that even if your phone is in the same room, it could be in your bag or pocket, your brain is leaking attention, still thinking about it and being drawn to it, wondering if there has been a notification on it and what it might be. That is impacting young peoples ability to learn, to retain information, to concentrate, to focus. An increasing distraction is catastrophic for the process of learning, and thats true both at school and at home. It comes as a minister suggested children in the UK could potentially be barred from using social media due to the damage it is inflicting on both their physical and mental health. Technology secretary Peter Kyle pledged to take a close look at proposals being floated in Australia, where the government plans to introduce legislation stopping children from using social media networks like Facebook and Instagram. Prime minister Anthony Albanese said a consultation would be conducted on an age limit of between 14 and 16 as social media was effectively extricating children from real-life experiences with loved ones. Increasing numbers of parents are voicing concerns about social media usage among children with bereaved families whose childrens deaths have been linked to the material they saw on the internet launching campaigns for reform. Peter Kyle says he is open-minded about a crackdown on phones ( Sky News ) Concerns have been raised that algorithms feed children damaging misogynistic content as well as material about violence, suicide, eating disorders and bullying. It comes after recent research, exclusively shared with The Independent, found 95 per cent of young people polled have heard of Andrew Tate a social media personality with links to key figures in the organised far-right and conspiracy theory circles. Researchers at the leading anti-fascism charity Hope not Hate, who polled more than 2,000 people across the UK aged between 16 and 24, discovered 41 per cent of young men support Mr Tate, while just 12 per cent of young women do. The Independent contacted Ormiston Academies Trust for comment. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Children in the UK could potentially be banned from using social media because of the harm its causing to their health and mental health, a minister has suggested. Technology secretary Peter Kyle has vowed to look closely at what happens in Australia, where the government plans to introduce a bill barring children from using platforms such as Facebook and Instagram. Prime minister Anthony Albanese said a consultation would be carried out on an age limit of between 14 and 16, because social media was taking children away from real-life experiences with friends and family. Peter Kyle says he is open-minded about a crackdown ( Sky News ) The government of the state of South Australia has proposed forcing social media companies to ban children aged 13 or younger or face fines. Mr Kyle told BBC Radio 4 he was open-minded about introducing similar restrictions in the UK. A backlash by parents against widespread use of social media has been building up, particularly after bereaved families whose childrens deaths were linked to what they had seen online began campaigning for curbs. Many are concerned that algorithms show children harmful content about subjects such as suicide, violence, eating disorders and bullying. Esther Ghey, the mother of murdered teenager Brianna, has blamed social media for contributing to a mental-health crisis. In Australia, an age-verification trial begins its final phase this week. Mr Kyle said: Im really interested in the measures that prime minister Albanese is looking at. We dont know what the nature of the pilot is yet, and Im looking very closely. You know, there is considerable evidence that social media is harming vulnerable young people. Its making some young people increasingly vulnerable. But he said there was little evidence about what action made a big difference, adding: So Im looking very closely at the Australian experience, and Im open-minded. Of course, Im going to keep everything on the table going forward, because I would do anything to keep young people safe, but it has to be measures that are enforceable and actually make the impact that were driving towards. The Online Safety Act, passed only last year, was supposed to give authorities greater powers to crack down on illegal content shared on the internet. Instead, it is largely dormant as details continue to be hashed out before its laws are implemented. London mayor Sadiq Khan has said the bill is not fit for purpose. Mr Kyle said the government was constantly reviewing the powers it already has. The online world is moving very, very fast, he said. Its very hard to accept the principle you might have to start legislating before the powers of the previous Act have come in force. Thats the big fundamental challenge I have inherited. In particular, he said he was trying to stop social-media giants from allowing revenge porn to be published. Any uploading of this sort of material is going to be a criminal offence, he promised. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the View from Westminster email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Boris Johnson described Tory backbenchers as spineless chicken s*** for repeatedly criticising his top adviser Dominic Cummings, the former head of the 1922 Committee of Conservative MPs has claimed. In a memoir about his time leading the powerful committee of backbenchers, Sir Graham Brady has laid bare the backroom deals behind the most turbulent decade in Tory history. In a telling extract about the former prime minister, Lord Brady has detailed how Mr Johnson was so irritated by criticism of Mr Cummings infamous lockdown-breaking Barnard Castle trip that he dubbed Conservative MPs spineless chicken s***. When challenged that no sane person would have driven their family to test their eyesight, as Cummings claimed, Johnson replied, hes not sane ( Getty ) Lord Brady claimed he said: I think backbench MPs have been contemptible! They have been spineless chicken s***. They need to develop some backbone. He said when he told Mr Johnson no sane person would drive their wife and small child 30 miles to test his eyesight, the then PM replied: Hes not sane. Lord Brady added that Mr Johnson repeatedly railed against anti-Covid measures, including criticising the stupid f***ing two-metre rule and f***ing scientists. His book, Kingmaker, also reveals several attempts by Tory MPs to oust sitting prime ministers, including Jeremy Hunt, Michael Gove and Sajid Javid trying to get rid of Theresa May during Brexit negotiations. Mr Hunt then went on to tell Lord Brady that Liz Truss had to quit, shortly after he was appointed as her chancellor. Ms Truss, the shortest-serving prime minister of the UK, was gone six days later after a brief talk with Lord Brady. In the book, being serialised by The Daily Telegraph, Lord Brady also claimed that during a series of scandals involving MPs in 2014, David Cameron told him: The fact is, a lot of politics is just s***: its choosing the least bad option. Life would be easier if colleagues paid their expenses on time and didnt snort coke and sodomise each other. And Lord Brady claimed that David Cameron and George Osborne had contempt for those who did not share their backgrounds. Brady was at the very heart of the backroom intrigues that felled the last five prime ministers ( PA ) Through his 14-year stint as chairman of the 1922 Committee, Lord Brady saw the departures of five Tory prime ministers, and was responsible for telling prime ministers when they had lost the confidence of the Tory parliamentary party. He was the MP for Altrincham and Sale West from 1997 until Julys general election, and is now a member of the House of Lords. Current 1922 chairman Bob Blackman is overseeing the ongoing Tory leadership contest. Lord Bradys book also denied speculation Rishi Sunak was forced to call a general election to avoid a vote of no confidence being forced by Tory MPs. A rumour had emerged that Lord Brady had received around 50 of the 53 letters of no confidence required to trigger a ballot, but, in an extract published in The Telegraph, he said: A rumour spread that Rishi had called the election because I had told him that he was about to face a confidence vote. I had given no such indication. As we headed off towards the smoke of battle, there were 10 letters sitting in my safe. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Sir Keir Starmer has insisted he is serious about his post-Brexit reset but admitted there was a long way to go. Speaking to The Independent on a flight to Washington DC to discuss the Russia-Ukraine war with US president Joe Biden, Sir Keir said he had put the UKs relationship with Europe at the top of his agenda since Labour swept to power. Im very serious about it, he said. I think thats clear enough from the work weve been doing in the last few weeks since the election. I made this very clear initially at the Nato summit in Washington with European colleagues then at the summit with the European political community, which we hosted. And then obviously, as youve seen, Ive been twice to Berlin, twice to Paris. I was with the taoiseach [Simon Harris] last Saturday. Were very serious about that. Obviously, we have a long way to go. The prime minister met with German chancellor Olaf Scholz in Berlin in August to start talks for a bilateral deal ( PA Wire ) Mr Bidens administration has said it sees the reset as critical in strengthening its relationship with the UK. One way to reopen talks with the EU is the resurrection of free movement for under-30s between the EU and the UK, a scheme that features high in a shopping list of demands sent to the prime minister to improve Boris Johnsons flawed Brexit deal. Last week, former prime minister Sir Tony Blair told The Independent that he supports adopting the idea, adding: Obviously, in Europe, I think we should say were going to repair that relationship now. On Friday, Sir Keir again rejected the plan, which he had previously discussed with German chancellor Olaf Scholz, saying: We have no plans for the youth mobility scheme. He went on: I want to be ambitious about the synergy within EU reset. That does not mean going back to the single market, customs union or freedom of movement. So they are the red lines within our framework. He insisted that the negotiation needs to be done in the proper way. The Independent has seen a letter to European affairs minister Nick Thomas-Symonds who is heading the talks to improve relations with the EU. In the missive, on behalf of independent all-party pressure group the European Movement, former defence minister and current chairman of the group Sir Nick Harvey listed six demands: Commission an independent forward-looking inquiry into options for the future UK-EU relationship. This can provide space for calmer reflection and take ideological heat out of the debate Help keep food prices down by negotiating a UK-EU veterinary agreement to reduce border red tape Negotiate a wide-ranging defence and security pact with the EU Give our young people back life-enriching opportunities by rejoining Erasmus+ and entering negotiations with the EU on a youth mobility scheme Negotiate reciprocal cultural touring visas to save British music, dance, theatre, and supporting industries Prevent further unnecessary trade barriers by aligning UK goods and services regulations with EU rules, except if there is an unanswerable case otherwise In his letter, Sir Nick said: For over 75 years, the European Movement UK has upheld the principles of cooperation and peace in Europe. We welcome your governments stated intention to reset our relationship with the EU. However, rapid progress is needed if our economy is to grow and if we are to restore lost opportunities to British people. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} If another referendum on the UKs membership of the EU was held today it would result in Brexit being reversed, according to a new poll. A clear majority of all voters say they would opt to rejoin the EU. Strikingly, support for overturning the UKs decision to cut its ties with Brussels among Generation Z who were too young to take part in the 2016 referendum is by a two-to-one margin. The public thinks Brexit has made almost everything worse, from the economy to immigration, cost of living, NHS, wages, exports and Britains standing abroad to hopes of keeping Scotland and Northern Ireland in the union. While Brexit has slipped in the league table of public concerns, a second referendum is seen as likely at some point. The findings of a survey by Redfield & Wilton follow moves by Sir Keir Starmer to boost Britains connections with the EU. Despite having been a strong opponent of Brexit, the prime minister has made it clear he has no intention of reviewing the decision based on a 52-48 majority in the referendum eight years ago. Speaking to reporters in Wales, two days before Julys general election, Sir Kier said: Ive been really clear about not rejoining the EU, the single market, or the customs union, or returning to freedom of movement. Ive been equally clear that I do think we can get a better deal than the botched deal we got under Boris Johnson on the trading front, on research and development, and on security. Sir Keirs supporters say a second referendum would be divisive and a distraction from his main target of repairing public finances and public services. Other prominent Labour figures have been more outspoken. In an interview with The Independent editor-in-chief Geordie Greig, former prime minister Sir Tony Blair said Brexit had failed and triggered unprecedented mass immigration. Former PM Tony Blair told Independent editor-in-chief Geordie Greig the UK has weakened itself with Brexit ( Independent ) The Redfield & Wilton survey gives fresh ammunition to those who say Brexit has not been a success. A total of 56 per cent say they would vote to rejoin the EU if another referendum were to be staged today. This includes nearly one in four (23 per cent) of those who voted Leave in 2016. A total of 44 per cent say they would vote to stay out. This includes 17 per cent who voted Remain eight years ago. In fact, the number wishing to rejoin has been even higher in the past. It has fallen by five per cent since June, before the election took place. Among 18- to 24-year-olds, which includes Gen Z, a total of 61 per cent would vote to rejoin. Only 28 per cent would vote to stay out. There is similar backing for re-entering the EU among 25- to 44-year-olds. Support for staying out of the EU is most prominent among the over-55s. More than half (52 per cent) of voters want another Brexit referendum within five years, including 73 per cent of those who backed Remain in 2016. A total of 34 per cent are opposed to a second referendum. Among Gen Z, 61 per cent would vote to rejoin the EU ( PA Archive ) For the first time since Redfield & Wilton began conducting such polls in 2021, voters think another referendum is likely in the next 10 years. A total of 34 per cent said they expect a second referendum within a decade; 31 per cent disagreed. Regardless of whether people want another referendum, they overwhelmingly believe things in Britain have deteriorated as a direct consequence of Brexit right across the board. Asked whether the economy is stronger or weaker than it otherwise would be had Brexit not occurred, 43 per cent said it is weaker; 22 per cent said it is stronger. When questions were couched in similar terms on a wide range of other issues, it produced the same picture of a Brexit-induced decline: 39 per cent said immigration is higher because of Brexit against 21 per cent who said it is lower 58 per cent said the cost of living is higher against 18 per cent who said it is lower 31 per cent said wages are lower against 18 per cent who said they are higher 41 per cent said it had made it harder for Britain to sell goods abroad against 17 per cent who said it had made it easier 40 per cent said Britain had less influence on the world stage against 21 per cent who said it had more By a small margin, voters think Brexit has made it more likely that Scotland will become independent ( PA Archive ) Revealingly, the survey suggests voters believe they were misled by Brexiteers like Boris Johnson, who famously toured the nation in a red bus emblazoned with a slogan stating the NHS would get an extra 350m per week once the UK left the EU. A total of 45 per cent say the NHS has got worse thanks to Brexit against just 13 per cent who say it has improved. Asked whether Brexit had had a negative or positive effect on the UK so far, 34 per cent said it was negative against 31 per cent who said it was positive. By a small margin, voters think Brexit has made it more likely that Scotland will become independent at some stage and that Northern Ireland will join the Republic of Ireland. Notwithstanding the mainly downbeat poll verdict on Brexit, 35 per cent said it had given the UK more say over its affairs against 30 per cent who said the country had less say, in line with the Brexiteers take back control mantra. And fewer than one in four regard Brexit as an extremely important issue. Furthermore, although its current impact is viewed as negative, 38 per cent said they believe Brexit would have a positive outcome for Britain in future against 33 per cent who said it would be negative. A total of 44 per cent said they viewed the 2016 referendum as the last word on Brexit against 40 per cent who said they did not believe it settled the matter. Philip van Scheltinga, of Redfield & Wilton, said: The poll numbers are certainly favourable for rejoin but they do not suggest a slam dunk. While voters are mostly disappointed by Brexit, they have other priorities in mind. Its up to the government to judge whether seeking to change the UKs relationship with the EU is aligned with those higher priorities. Mr Van Scheltinga questioned whether Sir Keir would want to use his hard-earned political capital on reopening the Brexit debate and running the risk of getting seriously bogged down by it. Redfield & Wilton interviewed 2,000 adults in Britain online on 19 August. In the event that the UK did vote to rejoin the EU in a second referendum, the EU would need to agree for it to be implemented. British voters believe by a margin of nearly two to one that the EU would give its consent in such circumstances. A total of 42 per cent said Brussels would give the go-ahead to letting us back in; 22 per cent said it would not do so. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the View from Westminster email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The Liberal Democrats have called for freedom of movement for young people within the EU, piling pressure on Labour to reconsider such a scheme. The party, now Britains third biggest after a surge in Julys general election, said the idea was a common sense move to improve the prospects of young people in the UK. With Sir Keir Starmer embarking on a reset of relations with the European Union, the Lib Dems have joined a growing chorus of campaigners calling for a youth mobility scheme. The party is calling for the extension of an agreement Britain already has with countries including Australia and Japan, which lets 18 to 35-year-olds move and work freely between countries for up to two years. Ed Daveys party is piling pressure on Labour to pursue closer ties with the EU ( PA Wire ) A motion passed at the partys conference in Brighton calls for negotiations to extend the scheme to EU countries. It also calls for Britain to return to the Erasmus Plus programme, negotiate passport and visa-free school trips between the UK and EU, and to take action against roaming charges in place since Britains departure from the EU. Labour have so far resisted pressure to agree a free movement deal for young people, with Downing Street saying it is not under consideration. Lib Dem foreign affairs spokesman Layla Moran said: The Conservative government abandoned young people and our economy by trashing our relationship with Europe. A new agreement on youth mobility between the UK and our European neighbours is just common sense to help young people work and travel around the continent. Young people already have the chance to use similar schemes for many other countries across the globe. Theres simply no reason why we shouldnt look to expand it to our European neighbours, which will offer amazing opportunities for generations to come. Layla Moran said the last government abandoned young people by trashing our relationship with Europe ( PA Archive ) The Liberal Democrats are committed to fixing our broken relationship with Europe and pushing for the fair deal young people deserve. The Lib Dem scheme would also extend the length of the visa scheme from two to three years. It comes after Sir Keir used a visit to Washington DC to say he was very serious about his post-Brexit reset with the EU, after years of acrimonious relations under the Conservatives. I think thats clear enough from the work weve been doing in the last few weeks since the election, he told The Independent. Sir Keir Starmer said during a visit to the US that he is very serious about pursuing a reset with the EU ( PA Wire ) He added: I made this very clear initially at the Nato summit in Washington with European colleagues then at the summit with the European political community, which we hosted. And then obviously, as youve seen, Ive been twice to Berlin, twice to Paris. I was with the taoiseach [Simon Harris] last Saturday. Were very serious about that. Obviously, we have a long way to go. But, asked whether Labour would consider a youth mobility scheme with the EU, the government has said it prefers bilateral agreements rather than seeking a deal with the bloc as a whole. A government spokesman has said: The Government has not proposed any plans for a youth mobility scheme with the EU. We are clear that there will be no return to freedom of movement, re-joining the single market or customs union." Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the View from Westminster email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Former Archbishop of Canterbury Rowan Willams has warned it may be impossible for Christians and people of other strong religious views to represent the Lib Dems after the party appeared to officially cast out two of the giants of its recent history. Lawyers acting for the party in a dispute with a former candidate, who was deselected over his Christian beliefs, have stated in their defence document that the party of former leader Charles Kennedy and Baroness Shirley Williams was over. Both Baroness Williams and Mr Kennedy were religious and held conservative views on issues such as abortion. The stunning statement has caused ructions behind the scenes among Lib Dem MPs, many of whom are practising Christians, including leader Sir Ed Davey who had promised to end attacks on Christian beliefs. Shirley Williams, who died three years ago, opposed abortion ( PA Archive ) The row has erupted over the deselection of former award-winning BBC journalist David Campanale over his traditional Christian beliefs. Luke Taylor, the local councillor alleged to be at the forefront of forcing him out of Sutton and Cheam, the neighbouring constituency to Sir Eds Kingston seat, would subsequently be selected as the candidate and win the seat in the election in July. Mr Campanale claimed that Mr Taylor had told him his Christian beliefs were incompatible with the Lib Dems. He further claimed that when he pointed out that Williams and Kennedy were also Christians with similarly conservative views on issues like abortion, Mr Taylor said the day of the party of Williams and Kennedy was over. The party has now accepted that claim to be true and said Mr Taylor was correct. Charles Kennedy, who died in 2015, was a former Lib Dems party leader ( PA Archive ) The late Shirley Williams and Charles Kennedy were beloved figures in the party who were crucial to it being founded after the merger of the SDP and Liberal Party in 1988. Both had formerly been in the Labour Party and helped create the SDP before forming an alliance with the Liberals. At the time of the merger, SDP leader David Owen had opposed joining up with the Liberals and it was Williams and Kennedy who helped get the deal over the line, along with the late Lord Roy Jenkins. In the legal defence submitted to the high court, the Lib Dem document stated: It is admitted that Mr Taylor said words to the effect that the party of past prominent Liberal Democrat with Christian beliefs, such as Shirley Williams and Charles Kennedy, was over. That was a statement of fact reflecting the current policy platforms and public political stance of the Liberal Democrats as shaped democratically by the decisions of its membership. Ironically, the Sutton and Cheam Lib Dems are based in Kennedy House, named after the late Charles Kennedy. Traditionally, issues of conscience such as abortion have not been whipped on party lines and left to MPs personal beliefs. However, the Lib Dems now argue that the preamble to their constitution makes holding socially conservative Christian beliefs incompatible with representing the party. Mr Campanale is disputing this. Mr Campanale spoke at an event in conference today about the Bolshevik tendency within the party on issues of conscience. He was joined by activist Natalie Bird who has been allowed back into conference for the first time since 2018, after winning another legal case against the Lib Dems over her treatment on gender-critical issues. The Campanale row had previously drawn in Philip Mounstephen, the Bishop of Winchester, who had noted that another historic Liberal great, William Gladstone, would no longer be welcome. Rowan Williams, seen meeting Pope Benedict, queried whether it is indeed impossible even to hold dissenting views in politics ( AP ) Now former Archbishop of Canterbury Rowan Williams has also intervened. He said: The problem that David Campanales case brings into focus is this. Is it now impossible for someone who holds certain moral views to be a candidate for a particular political party, even if they are committed to abiding by their partys discipline and the results of democratic debate, not campaigning against the partys position? The Liberal Democrat Partys response to Campanales legal challenge has been to say that reservations about for example abortion or same-sex marriage are in conflict with fundamental values held by the party (values not policies, notice; a significant leap in the argument). It is not enough to preserve ones private conscientious judgement, it seems; total agreement in private and in public is demanded. All this despite assurances given months ago by Ed Davey that conscientious reservation would be respected. If it is indeed impossible even to hold dissenting views, this ought to make it impossible for Orthodox Jews and most Muslims as well as Catholics and other Christians to represent the party. Is this really what the Lib Dems are saying? You may or may not agree with the personal beliefs of David Campanale (I share some but by no means all of them). But the precedent is a worrying one, especially in a case where the candidate seems initially to have won the confidence of local people aware of his position. If the Lib Dems insist on the conformity that their argument implies, they are within their rights, no doubt. But clarity is needed, given that this is not what has previously been said by the party leadership. A Liberal Democrat source said: This is a case about a candidate who was dishonest and behaved badly towards local volunteers and we will not be gaslighted by him. The Liberal Democrat leader and a large number of our MPs are practising Christians, including many who were elected for the first time in July, and we refute this cherry-picking from a 34-page legal document. This is not the first time that the Lib Dem treatment of members with Christian values has come under question. Tim Farron was forced out as leader in July 2017 because of what was believed to be a backlash against his evangelical Christian views. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the View from Westminster email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Former defence secretary Ben Wallace has said he is disappointed by the tug of war discussions between US President Joe Biden and PM Sir Keir Starmer about Ukraine amid an apparent delay on a decision on the use of long-range missiles. Sir Keir has said the UK and US have come to a strong position in their quest for a resolution to the conflict in Ukraine following his meeting with President Biden on Friday. The prime minister described his discussions with Mr Biden as long and productive, but he would not be drawn on what the pair had decided regarding Ukraines potential use of Storm Shadow weapons against Russian targets. Sir Keir and Biden met in the Blue Room at the White House on Friday ( Stefan Rousseau/PA ) Russian president Vladimir Putin has warned Nato will be directly at war with Moscow if the US and UK allow Kyiv to use the weapons. However, Sir Ben Wallace, a former defence secretary under Boris Johnson, said the wrangling over Ukraines use of long-range missiles in Russia was only benefiting Vladimir Putin. For the latest on the Ukraine war, follow our live blog by clicking here Speaking to BBC Radio 4s Today Programme, the former army officer said: Im just disappointed that its yet again another tug of war around another capability. It goes on and on. It started with anti-tank missiles and anti-air missiles, then tanks. All of that delay, all of that tug of war favours Russia and allows Putin to insert, in the delay, threats and new red lines and efforts to divide and rule in the international community, he added. Former defence Secretary Ben Wallace ( Kirsty OConnor/PA ) He said Mr Putin was a bully, and for a bully to succeed all he needs to do is intimidate people, all he needs to do is get people to pause and thats how he gets us to change our behaviour. Sir Ben added: I think it is really important, do we want Ukraine to win and and Russia to fail in Ukraine? Yes, we do. I understand that is consistently the policy of both the last government and this government in the United Kingdom. Following the meeting with Mr Biden, Sir Keir told reporters in the Blue Room at the White House in Washington DC: We had a wide-ranging discussion about strategy in Ukraine, of course, in the Middle East and other parts of the world. This wasnt a meeting about a particular capability. That wasnt why we got our heads down today. Sir Keir added he was very pleased with the discussions. The meeting came as Ukrainian President Volodymyr Zelensky expressed his frustration at the continued restrictions on the use of Western weaponry against Russian targets. Mr Zelensky said: We are now in the third year of a full-scale war. After so much death, destruction, and countless Russian war crimes, Putin can still afford to destroy life in Ukraine as he pleases, buy and produce missiles, bombs and artillery, and issue ultimatums to the world. He expects the world to fall for his madness. We need air defence systems like Patriots, which are sufficient in the world and which we have long expected from our partners. Yet, when we ask for these systems, we repeatedly hear, We are working on it. Time passes, but Russian missiles and Iranian drones continue to terrorize our skies and our people. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} US president Joe Biden scolded a British journalist in a fiery exchange during a bilateral meeting with Sir Keir Starmer in Washington. Mr Biden told Sky News US correspondent James Matthews to be quiet as he shouted a question about Vladimir Putins threat of war over Kyivs use of long-range missiles. The US president and UK prime minister met at the White House on Friday amid reports they could allow Ukraine to launch Western missiles deep inside Russian territory. When asked what he thought about Mr Putins warning that doing so would bring Russia into conflict with Nato, Mr Biden snapped back: You be quiet while I speak, OK? The veteran reporter asked a second time what he made of Mr Putins remarks, to which Mr Biden again replied: Youve got to be quiet and I am going to make a statement here, OK. Sir Keir travelled to Washington on Thursday where he met US president Joe Biden to discuss the war in Ukraine, as well as the ongoing conflict in Gaza ( AP ) Mr Zelensky has pleaded with allies for months to allow his military to fire long-range US ATACMS and British Storm Shadow missiles at Russian targets used to launch devastating daily attacks on Ukraine. But in a message apparently timed for when Sir Keir and his entourage were over the Atlantic on their way to the US on Thursday, president Putin warned such a move would mean Russia would be at war with Nato. The Russian president claimed the programming of Western missiles would have to be done by Nato military personnel - bringing the organisation into direct confrontation with the Kremlin. On Thursday, it was reported US president Joe Biden was considering lifting restrictions on Kyiv using the British missiles inside Russia. When asked about the possibility of long-range missiles being used inside Russia on Thursday, Mr Biden said his administration was working that out now. Zelensky has pleaded with Western allies to lift restrictions on missiles like the British Storm Shadow - allowing his military to strike targets with them inside Russia ( AP ) Mr Putin told Russian state media on the same day: It would mean that Nato countries, the US, European countries, are at war with Russia. If thats the case, then taking into account the change of nature of the conflict, we will take the appropriate decisions based on the threats that we will face. Britain has supplied Ukraine with Storm Shadow missiles, which have a range of about 155 miles, three times the range of the missiles Ukraine has used up to now, but it cannot use them to fire at key targets inside Russia. Meanwhile, the US has provided Ukraine with the longest-range version of ATACMS, a ballistic missile that can travel 190 miles. But it has remained hesitant over allowing the firing of long-range missiles into Russia over fears Moscow could respond by deploying hypersonic nuclear weapons. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} When young Kobe Jones discovered the festive decorations, two trash bags filled with water balloons and a water slide, hidden at his mothers house, he knew she was planning exactly what he had hoped for a splash birthday party. But the water loving boy never got his celebration. On August 28, 2003, two weeks before Kobe turned six, his mother dropped him off at school and he never saw her again. Dymashal Cullins, a 32-year-old real estate loan officer, spent that day working and running errands in the Atlanta area and then had dinner with a friend, according to phone calls she had with her mother and her estranged husband that day. But that night, she never returned home. I remember there was this energy she gave off that day, Kobe told The Independent, recalling the last time he saw her. She knew something was wrong. Told me and my brother to look out for each other. Everything about that day was weird. Two weeks later, Kobes birthday came and went, and there was still no sign of his mother. Thats when I knew something was wrong, he said. Because she wouldve been there. Dymashal Cullins, a 32-year-old mother of five, pictured with her children before she went missing ( Family handout ) The 1994 red Jeep Grand Cherokee that Dymashal was driving was found three weeks after her disappearance, abandoned in an apartment complex parking lot, along with her purse. That was 21 years ago. There have been few tips and leads in that time. This week, Kobe will celebrate his 27th birthday. His mother is still missing. But he has never given up hope that she will be found alive. In 2010, Dymashal was declared dead and her case grew cold. But her family believes there was not enough done by law enforcement and they have pushed for the police to keep investigating. Earlier this month, their determination paid off. The Atlanta Police Department announced they were reopening her case giving the family a sense of hope. We got the case reopened so thats something, thats big, Kobe told The Independent. Now its time to get answers. Its time to find my mom. Dee Dees 2003 disappearance It was the end of a hot, humid Georgia summer in 2003 when Dymashal, known as Dee Dee to her loved ones, seemingly vanished without a trace. She was 32 years old at the time and a dedicated mother to five children, including twin girls. Dymashal and the father of the twins were married but separated, although in early 2003, they considered reconciling. He moved back into her house in August the same month she vanished despite Dymashal telling her mother just a month prior that she wanted to file for divorce. She was dating a new guy, Dymashals mother Viola Corbitt told Essence. She was laughing and happy when she called me. Their conversation took place on August 28, 2003 around 7pm. It was the last time Viola spoke to her daughter. Dymashal Cullins disappeared on August 28, 2003. Her case went cold, but is now being reopened ( Family handout ) While Dymashal was out running errands that day, she also allegedly called her estranged husband, who was home with the children, at 11.30pm, to tell him she had just dropped a friend off and would be home shortly. But Dymashal never returned home that night or the next morning. Her concerned husband rang Viola, who, along with her family and friends, began trying to contact Dymashal but their calls went unanswered. Viola had a gut feeling something was wrong and filed a missing persons report with Atlanta police. The friend she was with that night later told police, according to the report, that he also tried to call her the next morning, but her phone was off. The friend, whose name was not released, told police he last saw Dymashal at 11.50 pm on August 28, 2003. Theyd spent several hours having dinner together, he said. ( Supplied ) She then left in a 1994 red Jeep Grand Cherokee with Georgia Wildlife tag 11 HE9, and her last known location was near the 600 block of Mayland Avenue, in Atlanta. Dymashals mother told police the Jeep belonged to her coworker, Allen Jameson, who confirmed at the time that he had let Dymashal borrow it. She needed a vehicle, and I had two, Jameson told 11Alive last month. These are things you do for friends where I come from. A clue in the mail Three weeks after Dymashal was last seen, Viola received her daughters drivers license in the mail and alerted the detective on the case. This led to the discovery of the missing Jeep which was found abandoned at an apartment complex in Decatur, just outside of Atlanta. A man who said he noticed the Jeep at the complex found the ID on the ground next to it and mailed it to the address. He was interviewed by police at the time, but was cleared of any involvement with her disappearance, according to Viola. There was red dirt on the drivers side of the car and police found Dymashals purse and a bloodstained blanket inside the vehicle, according to her mother. She said the police never said anything else about the blood stain. No leads, no answers As the 21st anniversary of Dymashals disappearance approached this August her mother once again pleaded for answers. You could lay there and think that somebody has her, torturing her, or she could just be somewhere that they threw her away like she wasnt anything, Viola tearfully said in an interview with 11Alive. And you have those nights, you have those days, to where you say, God, just please give me some peace. Let me have her one way or the other. I dont care how you give her to me, just give her back. Dymashal Cullinss mother Viola Corbitt is pleading for answers ( 11Alive ) Viola claimed there were many aspects of the case that police never investigated. Through the years, she alerted police to clues she thought to be suspicious. But they always brushed her off, she said. I told my detectives a lot of things, Viola said. He [one of the detectives] told me to stop watching 48 hours. I wanted them to do their job and find my daughter, but they didnt, Viola said. I felt like they [the police] didnt do a lot of what they could have done. I felt like they closed the case early. I didnt give up on the case. Even years after, we would still go out and pass out flyers. Dymashals case has been closed since 2010, her son Kobe wrote in a GoFundMe campaign. Her family hopes reopening the case will lead to answers ( Atlanta Police Department ) The account was created last month to help raise money for their search efforts, to hire a private investigator and ultimately to reopen her case unaware that investigators were going to reopen it themselves just weeks later. Kobe wrote that the funds will go to support not only his mothers case, but also used to support other missing women in the Atlanta area. It will be an honor to get answers and finally be able to get justice, he wrote. And the lack of police attention is part of a wider systemic issue, her mother noted. Im sorry to say it, they do not look for Black women the way they look for white women, Viola said. Its just another person whos missing to them. According to statistics from the Black and Missing Foundation, thousands of people are reported missing every year in the U.S. and while not every case will get widespread media attention, the coverage of white victims versus minority victims is far from proportionate. New investigator, renewed hope Dymashals family still takes to the Atlanta streets and hands out fliers to the community with the hope that someone will have information that will lead them to her. We try to do something every year, Kobe said, adding that social media has helped get his mothers story out. For so many years, it didnt seem like people cared, he said. But I see now that they do. Last week, the family finally received some hopeful news. Atlanta police announced that Dymashals case was being reopened and a new investigator would be assigned. Son Kobe Jones hangs a missing poster in August ( Supplied ) Her family hopes this is the key to finding her. I pray to God that something will come out of this, Viola said in a recent interview with 11Alive. But theres still hard work to be done. I dont know what made them reopen the case, maybe the interviews, but they did it, Kobe said. We cant slow down now. Dymashal, who would be 53 years old if found alive now, has been described by her loved ones as a dedicated daughter, a caring friend and a loving mother. At the time of her disappearance, her black hair was colored light brown, according to the flier. The 5ft 6in woman with brown eyes has a tattoo on the right side of her neck of a dove and a rose with the name Dee Dee written underneath. She also has pierced ears and another tattoo of a rose on her right shoulder blade. I believe shes still out there, the son said. I say that is because of how strong she was as a person. Thats me keeping the hope alive. Anyone with information that could lead to the whereabouts of Dymashal Cullins is urged to call the Atlanta Police Department at 404-546-5602. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Wisconsin police have confirmed that a set of human bones found on a property in Twin Rivers are the remains of Elijah Vue, a three-year-old who went missing from the community in February. "This is not the outcome we had hoped for," Two Rivers chief of police Benjamin Meinnert said during a Friday press briefing, according to the Manitowoc Herald Times Reporter. "The family is devastated. Our community is devastated." I never met Elijah, but I watched that 3-year-old boy bring out the best of an amazing community, he added. I cant thank the public and our businesses enough for all their assistance and support through this tragedy. Vues bones were found on September 7 by a deer hunter clearing land on his private property. The local sheriffs office and Department of Justice assisted with removing the remains, which were tested for DNA at the Wisconsin Crime Lab, police said. Elijah Vue, 3, was last seen in Two Rivers Wisconsin on February ( Two Rivers Police Department ) Vues body was found about three miles northeast of where he was reported missing. The three-year-old was last seen at the home of his mothers boyfriend, Jesse Vang, 39. Prosecutors allege that Katrina Baur, 31, left the boy there to learn to be a man. Vang reported Vue missing on February 20, saying Vue disappeared while he was taking a nap. Baur was arrested on February 21 and charged with being a party to child neglect, after reportedly changing her story about her whereabouts while being interviewed by investigators. Vang was arrested the same day and charged with child neglect. In March, the local district attorney announced updated charges of chronic child neglect. Both Baur and Vang have pleaded not guilty. Vue endured mistreatment under the pair, according to officials. The three-year-old allegedly was forced to take cold showers, stand for hours during time-outs, and was once photographed with a blindfold over his eyes and bruising on his face as he lay in bed, according to prosecutors. Meinnert said Friday the investigation into the death will continue. During the search for Vue, police chided online sleuths and conspiracy theorists on multiple occasions for spreading false information about the missing child. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A Florida anesthesiologist faces nearly a dozen charges after police say he uploaded more than 100 files of child sexual abuse online. David Rerko, 61, was arrested on Thursday by the Broward County Sheriffs Office. Rerko has been a licensed physician in the state since 2006, and worked as an anesthesiologist at Broward Health North, according to WPLG . He is also licensed to practice in Mississippi. The National Center for Missing and Exploited Children alerted authorities that Rerko had uploaded abuse material to his account, according to the report. Yahoo! Had tipped off the center about illicit images and videos. Detectives checked the account and found Rerko emailed himself 122 files of child sexual abuse and 117 files of child erotica, according to the report. His name was a signature at the bottom of the emails. David Rerko, 61, an anesthesiologist in Florida, is accused of uploading child abuse images online using his email ( Broward County Sheriffs Office ) The victims in the files were between the ages of 8 and 13. The physician was arrested on 11 felony counts and is being held on $110,000 bond, according to WPLG. In a statement, a spokesperson for Broward Health told the outlet, We were dismayed to learn that an anesthesiologist provided to our system by a third-party contractor has been arrested. Upon learning the nature of the charges, we immediately notified his employer that the physicians privileges were revoked and that he is not permitted on any of our properties. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A youth counselor at a foster care facility is accused of performing sexual acts on a teen and now faces criminal charges. On Friday, the Hillsborough County Sheriffs Office in Florida announced the arrest of Katherine Stricklin, 28. She was charged with lewd or lascivious touching of a minor - 16 or 17 years of age by a person 24 years of age and older, along with three counts of unlawful sexual activity with a minor. On September 11, sheriffs detectives began an investigation into the alleged misconduct by Stricklin. A child at A Kids Place of Tampa Bay said Stricklin started a conversation with him via Snapchat. In the chat, she sent explicit images and discussed wanting to perform sexual acts on him. Katherine Stricklin is accused of performing a sex act on a teen at the Florida foster care facility where she worked. ( Hillsborough County Sheriffs Office ) The minor blocked Stricklin on social media, but she continued to pressure him into sexual activities, according to authorities. She even went into a mens bathroom while he was alone and began to perform a sex act on him, investigators said. "The crimes committed by this woman are vile and cruel," said Sheriff Chad Chronister. "She should be ashamed of taking advantage of someone she was trusted to care for and mentor. I hope he is able to begin healing knowing this woman is behind bars. Stricklin is now being held in jail without bond. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A man tampered with an airplanes overhead oxygen masks and shouted this airplane is going down! in the latest wild scene aboard an aircraft. Charles Angel Salva, 30, was charged with interference with flight crew members and attendants in connection to the incident. He faces 20 years in federal prison if convicted. The incident happened on a September 9 Frontier Airlines flight from Orange County, California, to San Francisco, according to federal prosecutors. Shortly after takeoff, flight attendants saw oxygen masks were out of the overhead compartment in one of the rows. They investigated and discovered Silva had his hand in the overhead bin. A witness said Salva appeared claustrophobic and seemed like he wanted to get off the plane. The suspect then pulled the oxygen masks down and got his hand stuck in the process. A passenger helped free him, according to prosecutors. Then, Salva yelled obscenities at the flight attendant. He said, we are all going to hell and this airplane is going down! authorities said. A man caused a commotion on a Frontier Airlines flight this week by pulling down oxygen masks and saying he was going to kill everyone on board, prosecutors say. ( AP ) He grabbed at a fellow passenger and ran to the rear of the plane as the crew worked to restrain him. Salva then tried to choke a flight attendant and left marks on her neck. Salva pushed another crew member and said he was going to kill everyone on the plane. Other passengers helped restrain Silva, who eventually broke out of the flex cuffs and had to be restrained by a seatbelt, officials said. During the incident, Salva kicked one attendant six times, causing bruising and swelling. The flight was diverted to Ontario because the crew did not feel safe trying to put Salva back in his seat, according to prosecutors. Salva was arrested on Wednesday in connection to the case. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} When Jennifer and James Crumbley, the parents of a Michigan school shooter, were found guilty earlier this year of manslaughter, the prosecutor sent a powerful message to parents across the country: secure your firearms or you may be held responsible if your child uses them. But its not one that Colin Gray, father of the Georgia high school suspected shooter, apparently received. On the surface, the two shootings are so many aspects in common that a Michigan prosecutor called them eerily similar. Both involved teens who were gifted guns by their parents, despite having had a reported history of mental illness. Both school shootings tragically ended with four people killed. And both involve parents accused of being partially responsible. Colt Gray is the 14-year-old suspected of opening fire at Apalachee High School, his school in Winder, Georgia earlier this month. He is now accused of killing two teachers and two students Mason Schermerhorn, 14, Christian Angulo, 14, Richard Aspinwall, 39, and Christina Irimie, 53. His father is accused of supplying him with the alleged murder weapon. A memorial is seen at Apalachee High School on Saturday, Sept. 7, 2024, in Winder, Ga. ( AP Photo/Mike Stewart ) Earlier this spring, Jennifer and James Crumbley were each found guilty of four counts of involuntary manslaughter, marking the first time in US history that parents were convicted for their role in a mass school shooting perpetrated by their child. They have each been sentenced to 10-15 years in prison. Prosecutors accused the Michigan parents of gifting a gun to their son Ethan despite warning signs he was not mentally stable. Not only had their son allegedly complained about hearing voices, but school officials had also contacted the parents after finding their child searching online for ammunition. Still, the parents took their 15-year-old to a shooting range. Four days after receiving the firearm, the high school sophomore opened fire at Oxford High School in November 2021, killing four: Madisyn Baldwin, 17, Hana St Juliana, 14, Tate Myre, 16, and Justin Shilling, 17. The teenager was sentenced to life in prison in December 2023 the same month that Colin Gray gave an AR-15-style gun to his son as a Christmas present. The present came mere months after Jackson County Sheriffs Office deputies showed up at the Grays doorstep to look into an FBI tip that the teenager had allegedly threatened to shoot up a school on the social media platform Discord. During the interview with the deputies, the then-13-year-old denied knowing anything about the alleged threat while his father admitted that he had firearms in his house and that they were accessible to his son. Police closed the investigation after not finding evidence to substantiate that either of the Grays were behind the Discord account. After the deadly rampage more than a year later on September 5, the elder Gray was charged with four counts of involuntary manslaughter, two counts of second-degree murder, and eight counts of cruelty to children. When Karen McDonald, the Oakland County, Michigan prosecutor who brought the unprecedented charges against the Crumbleys, heard reports that the suspected Georgia shooter was given a firearm by his father, she told Newsweek: It felt like I was being punched in the stomach. McDonald added that parts of the cases were eerily similar, namely the mental health component of both teens. The Michigan shooter had texted his friend, months before committing the deadly shooting, that he had asked his parents for help getting his mental health in check after hearing voices, but his parents had dismissed him. He texted: I actually asked my dad to take [me] to the doctor yesterday but he just gave me some pills and told me to suck it up. Jennifer and James Crumbley appeared in court, Feb. 8, 2022, in Rochester Hills, Michigan. ( Associated Press ) Similarly, the suspected Georgia shooters aunt told the Washington Post that he had pleaded for months for mental health support. A week before the shooting, according to the Post, his grandmother had met with a school counselor, and texted his aunt after: [he] starts with the therapist tomorrow. Days later, the aunt expressed concern about her nephews mental health in combination with his close proximity to guns in the home. She fired off a text: He has been having homicidal and suicidal thoughts, he shouldnt have a gun, and he shouldve been in THERAPY months ago. The adults around him failed him, she told the outlet. As well as the high school students apparent mental health struggles, both have also been described as being fixated on violence. The Michigan shooter drew violent illustrations, wrote disturbing journal entries detailing his plans, and made a video the night before the rampage eerily declaring that he is going to be the next school shooter. Even after he pleaded guilty, he couldnt restrain himself from searching online for torture, murder, and other violent content. At his sentencing, the judge said: He has an obsession with violence. This act involved extensive planning and research and he executed every last thing that he planned. When authorities searched the Georgia suspected shooters home, they discovered he had an interest in mass shootings and that he was particularly obsessed with the 2018 Parkland school shooter, the New York Times reported. A year earlier, a Discord account came to the attention of the police after writings that threatened a school shooting and mentioned the 2012 Sandy Hook school shooter. The then-13-year-old denied the account belonged to him. This obsession with violence is especially worrisome when paired with access to firearms, the leading cause of death for children and teens. Federal law stipulates that Americans only have to be 18 to purchase shotguns and rifles. However, a Washington Post analysis found that the median age of school shooters is just 16 years old. Perhaps this dichotomy is explained by the fact that 76 per cent of youth school shooters get their firearms from the home, according to Brady United Against Gun Violence. The gun safety group found that 4.6 million US children live in homes with unlocked and loaded firearms. Advocates have touted safe storage laws as one method to prevent firearms from getting into the hands of kids a responsibility that lies with the gun owners. If people simply locked up their firearms, we would not be putting parents behind bars for this reason. And we would not be digging as many graves, Kris Brown, president of Brady, said in a statement. A memorial outside of Oxford High School on December 03 2021 in Oxford, Michigan. ( Getty Images ) The fact that Mr Gray bought his son a weapon of war as a present months after being investigated for making threats to shoot up a school is a complete and utter dereliction of responsibility, both as a gun owner and a community member, Nick Suplina, Everytown for Gun Safetys senior vice president for law and policy, said in a statement. Beyond the Crumbleys, there has been a trend of trying to hold the parents of mass shooters to account. But this strategy hasnt always been successful. In Texas, relatives of the eight students and two teachers killed in the 2018 Santa Fe High School shooting sued the 17-year-old suspects parents in a civil suit. The plaintiffs lawyers argued that his parents made firearms too accessible in their home by putting them in a display case in their living room and ignored their sons deteriorating mental health. After a three-week trial, a jury found that the parents were not liable. McDonald, who successfully prosecuted her case against the Crumbleys, told Newsweek that she is still in touch with the families of those killed and injured at Oxford High School. The Georgia shooting was difficult for them to see, she said: Watching this play out and the trauma brings up feelings again and again and again for people who went through that and will never be completely healed from it. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} For nearly two decades, a smoldering trash bag containing human remains that was found dumped on a street in Atlanta has remained a mystery. The remains were partial, as the hands, feet, and head were missing leaving investigators with more questions than answers and the case quickly went cold. A closer look at the case and advancements in DNA technology finally led to a breakthrough in 2023. Investigators were able to identify the remains as Nicole Alston, a 24-year-old woman from Manhattan, New York, who left the Big Apple in 2007 with her friend Angel Marie Thompson for a new life in Atlanta, Georgia. Her family said the last time they heard from her was Thanksgiving of that same year. In a bizarre twist, for eight years after the body parts were found in 2007, Alston appeared to be alive and well according to the use of social security benefits, food stamps and, at one point, Section 8 housing. Turns out, it wasnt Alston. Instead, it was her good friend Angel Marie Thompson, who she had begun her Atlanta adventure with years earlier. Authorities say she had been assuming Alstons identity and collecting her benefits from 2007 to 2015, totalling $200,000. A social security card ( Associated Press ) In August 2024, Thompson was arrested and charged with concealing the death of Alston. Prosecutors allege that Thompson, after the discovery of Alstons remains, "began identifying herself as Alston and was collecting Alstons (social security) benefits, food stamp allotment, acquiring Section 8 housing all as Nicole Alston, according to a news release. But the Troup County Sheriffs Office added that it "has not been determined who actually killed Alston and the investigation continues. So the question remains who killed her? A gruesome discovery A suspicious black bag left smoldering on an Atlanta street horrified locals when it was found. Deputies responded to the intersection of Whitfield Road and Stitcher Road on December 6, 2007, where they discovered the burning bag. Inside were partial human remains. It was determined that the remains were of an adult Black woman, but no identity was made. Meanwhile, Thompson allegedly took over her friends identity after she died. The charade ended in 2015 when the Social Security Administration tried to contact Alston to have her requalify for benefits, the sheriffs office said. At that point, Thompson took back her own identity. But investigators began to connect the dots and link the two cases for the first time when DNA in the 2007 human remains case was sent in early 2023 to labs in Virginia and Houston for analysis as part of a renewed focus on cold cases. Angel Marie Thompsons mug shot following her arrest ( Troup County Sheriffs Office ) "Those results were then sent to the GBI crime lab for comparison, they said. On December 13, 2023 our office received word that a positive DNA match was made and the identity was that of Nicole Alston whose last known residence was Manhattan, New York and she was 24 years of age at that time. This led to investigators speaking with Alstons family, who said they lost contact with her around Thanksgiving 2007 after she moved to Atlanta with Thompson. Investigator Clay Bryant determined that following Alstons death, Thompson began identifying herself as Alston and was collecting her benefits. On August 19, Thompson was arrested and charged with concealing Alstons death. At this time, we cant really say 100% if this person was the killer or not, Sgt. Stewart Smith with the Troup County Sheriffs Office told local ABC affiliate WSB. We dont believe the actual homicide took place in Troup County. We believe it took place somewhere else, and it [Alstons remains] was just discarded there on the side of the road. Thompson was released from county jail on a $15,000 bond. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Gun violence was the leading killer of children and teens across the US for the third year in a row, according to a new Johns Hopkins University analysis. Guns killed 2,526 children between the ages of 1 and 17, an average of seven youths slain a day, according to an analysis of 2022 data released by the Johns Hopkins Center for Gun Violence Solutions. The problem is even more accurate for children of color. More than half of black teens aged 15 to 17 were killed by a gun in 2022, and Black children and teens overall had a gun homicide rate 18 times that of their white peers. Black, Hispanic, and Latino youth also had rates of gun suicide multiple times higher than white children. Overall, between 2013 and 2022, the youth gun rate doubled. Guns continue to kill U.S. children at alarming levels, with effects felt particularly by Black and Latino youth The center called for evidence-based solutions like safe gun storage, licensing requirements for private gun sellers, removing guns from at-risk people, investing in community programs, and regulating carrying guns in public. The ongoing crisis of gun violence is preventable, Johns Hopkins researchers wrote in their report. We must address this crisis through a public health approach pushing for equitable, evidence-based gun violence solutions. Shortly after the 2022 analysis was published, the CDC released its 2023 data, which showed little improvement. The overall number of gun deaths was down by about three percent, but at 46,728, was still the third-highest number ever recorded in the US, and gun deaths remained the leading cause of death for children and teens aged one to 17, a 2,566 increase over 2022. The data arrives not long after another major school shooting in the U.S. Earlier this month, Colt Gray, 14, allegedly brought an assault-style rifle to school and killed two students and two teachers, a weapon his father Colin allegedly bought the teen as a Christmas present. There is no minimum age requirement to possess a rifle under federal and state firearms law in Georgia, according to the Giffords Law Center to Prevent Gun Violence, but individuals must be 18 or older to buy one. The military-style AR rifle allegedly used at the high school is a high-powered weapon that has been used in shootings across the country, including the recent assassination attempt against Donald Trump. The assault rifle has been used in an estimated 10 of the 17 deadliest mass shootings since 2012. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} After Republican vice presidential candidate JD Vance helped supercharge a false, racist rumor that Haitian refugees in small-town Ohio were stealing and consuming peoples household pets, the fiction was duly parrotted by running mate Donald Trump during a nationally televised debate against Democratic opponent Kamala Harris. In Springfield, theyre eating the dogs, the former president insisted , wildly, at the Tuesday evening event. The people that came in. Theyre eating the cats. Theyre eating, theyre eating the pets of the people that live there. And this is whats happening in our country, and its a shame. A day earlier, the Trump-Vance campaign issued a press release baselessly accusing unvetted Haitians of consuming not only domestic animals, but hunting and eating local wildlife, such as ducks and geese, as well. The compounding myths, which the leader of notorious neo-Nazi group Blood Tribe gleefully took credit for having helped popularize , were swiftly debunked by, among others, the Springfield mayor, city manager, and police department. But the claims have not only inflamed existing tensions in Springfield, they have also managed to further traumatize a group of people who fled civil war and ceaseless gang violence for the sleepy Rust Belt town of 58,000. Its creating so much panic in the community, Springfield resident Viles Dorsainvil told The Independent. ... The words that come out of their mouths matter. They are looking for the highest office in America. They have the obligation to do better, because words are powerful. In Springfield, theyre eating the dogs, Trump angrily and falsely claimed at this weeks presidential debate, painting Haitian refugees as unwelcome invaders ( AP ) Dorsainvil, 38, emigrated to the US from Haiti in 2020 and moved to Springfield in 2021. He works for the county, processing peoples applications for public assistance, and last year founded the Haitian Community Help and Support Center, a tiny all-volunteer nonprofit, as a resource for new arrivals. In the days since Vance and Trump seized upon the false narrative about Haitians feasting on cats and dogs, Dorsainvil said he has heard from parents afraid to send their children to school, new homeowners who want to sell and move out of state, and people too frightened to leave their residences. One Haitian-born business owner in Springfield told a local reporter that her landlord was now trying to evict her from her commercial space, chalking the effort up to anti-Haitian sentiment. They call the center to know how it is out there, if it is safe for them to come out, Dorsainvil said. And we let them know, when they are going out, to be careful. Simmering hostilities toward Springfields Haitians boiled over last August, when a Haitian man driving without a valid license crashed into a school bus, killing 11-year-old Aiden Clark. The driver, who was not under the influence of alcohol or drugs at the time of the accident, was subsequently sentenced to a minimum of nine years in prison, on charges of involuntary manslaughter and vehicular homicide. In December 2023, 22-year-old Springfield resident Izaye Eubanks, who is Black, was sentenced to 20 years in federal prison for hate crimes against at least eight Haitians in the area. Trumps running mate JD Vance touched off the anti-Haitian vitriol with inflammatory remarks on social media ( REUTERS ) In August, a dozen masked neo-Nazis from Blood Tribe marched through Springfields downtown, carrying assault-style rifles and swastika flags. Angry residents appeared at a town council meeting two weeks later to loudly decry the new stresses the rapid addition of up to 20,000 Haitians over the past three to four years very quickly boosting the citys population by as much as one-third has placed upon schools, healthcare providers, and social services. They aired grievances about the federal government dumping immigrants on them, claiming, without any evidence, that Haitians are bringing crime and scaring the females here in town. One man, who the mayor had removed from the premises following his remarks, said he was a Blood Tribe member and that he had come to bring a word of warning. Stop what youre doing, before its too late, said the man, who identified himself using a pseudonym. Crime and savagery will only increase with every Haitian you bring in. On Thursday morning, Springfield City Hall and other locations in the area were evacuated following a bomb threat sent to multiple city agencies and media outlets. On Friday, a pair of emailed bomb threats forced evacuations at two elementary schools and a middle school, which shut down for the day. No explosives were found at the schools or at any of the other locations listed in the threat, which included City Hall, the Bureau of Motor Vehicles, and a third elementary school, Springfield authorities said in a news release. All of this rhetoric needs to stop immediately Springfields Haitian residents, almost all of whom are in Springfield legally, in various immigration categories, feel a mixture of sadness and surprise about having become targets of the outrage , according to Springfield NAACP President Denise Williams. I want JD Vance to hear my voice I need him to apologize to the city of Springfield, Ohio, Williams told The Independent. It is absolutely racist, up close and personal. That comment should have never been made at that level, without investigating it first Please put this in bold letters, with quotation marks around it: All of this rhetoric needs to stop immediately. Ohio Lt. Gov. John Husted, who in January endorsed Trumps bid to retake the White House, squarely blamed the Biden-Harris administration for the overwhelming influx of immigrants into the Buckeye State over the past four years. In 2019, Ohio governor Mike DeWine wrote to Mike Pompeo, Donald Trumps secretary of state, asking him to send more refugees ( Copyright 2023 The Associated Press. All rights reserved. ) How did they get here? Husted mused earlier this week in a series of posts on X. In fact, it was his boss, Gov. Mike DeWine his own 2022 re-election endorsed personally by Trump who invited them. Dear Secretary Pompeo: The State of Ohio has a long and successful history of welcoming and assimilating refugees from all corners of the globe, DeWine wrote in a December 2019 letter to Mike Pompeo, Trumps then-secretary of state, as he and Husted wrapped up their first year in office. Ohio also has a well-developed support network to welcome and assimilate refugees, primarily lead [sic] by our faith-based communities. Given our ability to successfully welcome and assimilate legitimate refugees, and the administrations stringent vetting process, I consent to the placement and/or resettlement of refugees within the State of Ohio, DeWines letter concluded. Five years prior, Welcome Springfield, a program helmed by an evangelical pastor and lifelong conservative Republican named Carl Ruby , was launched. It was an attempt to breathe new life into the city, and included a resolution declaring Springfield a community welcoming of immigrants, and immigrant-owned businesses. In the aftermath of Trumps wild claims, Springfield has endured bomb threats, targeting schools, city buildings, and other local institutions ( REUTERS ) Initially, the new residents were mostly South Americans, Ruby told The Independent. Haitians began arriving in significant numbers around 2019 or 2020, he said. The population of Clark County peaked in 1971, and has been on the decline ever since. Businesses in Springfield closed, factories shuttered, and home prices cratered. The opioid epidemic hit the region particularly hard. Young adults moved elsewhere for opportunity, further depressing Springfields economy, according to Kathleen Kersh, an attorney at the nonprofit Advocates for Basic Legal Equality (ABLE) in nearby Dayton. According to the most recent figures available, immigrants make up 5.1 percent of Ohios Congressional District 8, which includes Springfield, as compared to the national average of 13.6 percent . When you hear rhetoric that the people who are coming here are coming from mental institutions and jails, the people I meet with on literally a weekly, if not semi-weekly basis, are electricians, are doctors, are attorneys, are teachers, are human rights activists, are successful businesspeople, Kersh, who provides free legal services to Springfields Haitian community, told The Independent. Springfield city manager Bryan Heck (left), seen here with mayor Rob Rue, promised this week to oversee construction of 2,000 new residential housing units ( REUTERS ) There are also many blue-collar Haitian immigrants equally important to Springfield, according to Kersh, who pointed to a number of farms that have gone under in recent years because of the difficulty in attracting workers to do these really difficult, strenuous jobs. To support the population increase, and to counterbalance the commensurate increase in the cost of housing, Springfield is now working with developers to increase its supply of residential stock, a trend not seen in decades, Springfield City Manager Bryan Heck said in a video address on Wednesday . Over the next few years, Heck went on, Springfield plans to add some 2,000 new residential units. While we are experiencing challenges related to the rapid growth of our immigrant population, these challenges are primarily due to the pace of the growth, rather than the rumors being reported, Heck contended. The city has appealed to the Biden administration for financial aid. At the same time, Ohio Attorney General Dave Yost announced he has directed his office to research legal avenues to stop the federal government from sending an unlimited number of migrants to Ohio communities. Immigration has helped spur revitalization in Springfield, which had been withering for years ( AP ) A coalition of community providers have monthly brainstorming sessions about how best to coordinate their efforts, Kersh said. Faith-based groups, as DeWine noted in his 2019 letter to Mike Pompeo, and as evidenced by Rubys efforts, are a large piece of the puzzle. Orchard Alliance, a Christian nonprofit headquartered in Colorado Springs, last year helped finance a move to a new facility for a Haitian church in Springfield. These Haitian immigrants face an uphill battle, often receiving public criticism for their presence in the city and accusations of criminal behavior despite employers and city leaders acknowledging them as kind-hearted, hard-working members of the community, Orchard Alliance exec Peter Burgo told The Independent. A spokesman for the Springfield Police Department said he found it sad that opportunists had seized upon outright falsities to spread hate and spread fear. We get these reports the Haitians are killing ducks in a lot of our parks or the Haitians are eating vegetables right out of the aisle at the grocery store, Officer Jason Via told NPR . And we havent really seen any of that. Its really frustrating. As a community, its not helpful as we try to move forward. Nathan Clark, whose 11-year-old son died when a Haitian driver crashed into his school bus, spoke out following Vances and Trumps comments, telling local politicians that the intense hatred now aimed at Haitians made him wish the driver involved had instead been a 60-year-old white man. ( AP ) Basil Fett, who retired last year as choral director for the Springfield Symphony Orchestra, has lived in town since 1977 and said he felt Republicans were exploiting the Haitian community for political gain. If they can get these people whipped up and all fearful, they can maybe get people to vote for them, Fett told Cleveland.com . Instead of, Ive got answers for your problems, no, all theyre offering is fear, fear, fear. And Nathan Clark, whose son died in last years bus crash, spoke out following Vances and Trumps comments, telling local politicians that the intense hatred now aimed at Haitians made him wish the driver involved had instead been a 60-year-old white man. Using Aiden as a political tool is, to say the least, reprehensible for any political purpose, Clark said at a recent City Commission meeting. They can vomit all the hate they want about illegal immigrants, the border crisis and even untrue claims about fluffy pets being ravaged and eaten by community members. However, they are not allowed, nor have they ever been allowed, to mention Aiden Clark from Springfield, Ohio. On Thursday, Carl Ruby and a group of fellow pastors held a press conference to call for unity and to denounce racism and bigotry, which Dorsainvil said he found heartening. All that we can do is, lets stay in solidarity, Dorsainvil told The Independent. Lets work together. Lets pray for each other. Lets walk with each other. Lets listen to each other. Lets have good and meaningful conversation. Lets raise our voice on behalf of the minority and the vulnerable. If we conjugate our force, our strength, and keep moving forward, that would be very great. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Vice President Kamala Harris gave her first solo interview since she was selected as the Democratic nominee for president, pitching herself as a new generation of leadership. In the exclusive interview on Friday, Harris sat down with 6ABC during her campaigning in the swing state of Pennsylvania where she discussed the economy, gun control, and reaching undecided voters. Harris told Action News Brian Taff that she has a plan for bringing down prices for Americans and making life more affordable starting with helping small businesses and first-time homebuyers. When I talk about building an opportunity economy, it is very much with the mind of investing in the ambitions and aspirations and the incredible work ethic of the American people, and creating opportunity for people, for example, to start a small business, she said. So my opportunity economy plan includes giving startups a $50,000 tax deduction to start their small business. It used to be $5,000. Nobody can start a small business with $5,000. Harris gave a solo interview on Friday night in the swing state of Pennsylvania ( 6abc ) Harris also explained her plan to bring back the American Dream by giving first-time homebuyers a $25,000 down payment. Opportunity economy means, look, we dont have enough housing in America. We have a housing supply shortage, and what that means, in particular, for so many younger Americans, the American Dream is elusive, its just actually not attainable, she said. To help people who just want to get their foot in the door, literally, and so giving first-time homebuyers a $25,000 down payment assistance. Fridays interview also touched on a major issue in the election gun control. When asked where she draws the line in America on gun ownership and gun use, Harris insisted that she is not taking anyones guns away, but that there should be a ban on assault weapons and universal background checks. I am a gun owner and Tim Walz, my running mate, is also a gun owner. Were not taking anyones guns away. I support the Second Amendment and I support reasonable gun safety laws, Harris said. I feel very strongly that it is consistent with the Second Amendment and your right to own a gun to also say we need an assault weapons ban. Theyre literally tools of war. Harris continued with one of her solutions: I say we need universal background checks. The majority of NRA members support that. Why? Its just reasonable. You just might want to know. In less than 53 days, Harris will go head-to-head with Donald Trump as Americans cast their votes in the 2024 election. Donald Trump and VP Kamala Harris on the debate stage on Tuesday ( Copyright 2024 The Associated Press. All rights reserved. ) When asked how she differed from Biden, Harris repeated a line from the debate, saying she is obviously not Joe Biden. She added that she would offer a new generation of leadership. Harris also touched on how she plans to reach undecided voters. I, based on experience, and a lived experience, know in my heart, I know in my soul, I know, that the vast majority of us as Americans have so much more in common than what separates us, she said. Harris then took a swing at Trump, explaining why voters would prefer her over her rival. And I also believe that I am accurate in knowing that most Americans want a leader that brings us together as Americans and not someone who professes to be a leader who is trying to have us point our fingers at each other. Trump posted about Harriss interview on his social media platform Truth Social on Saturday morning, writing that it was a world salad, a real mess! ( Truth Social ) Trump posted about Harriss interview on his social media platform Truth Social on Saturday morning, writing that it was a world salad, a real mess! Fresh polls show that Harris has seen a boost among voters, after the candidates faced off in the presidential debate in Philadelphia, the first since Biden stepped down from the Democratic ticket in July. The former president has since said he will not debate her again, claiming he was the winner. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A viral photo of a man carrying two geese in Ohio fueled Donald Trumps wild, now-debunked conspiracy that Haitian migrants are eating pets in the state but turns out it was a wild goose chase. The Ohio Division of Wildlife told TMZ that the man was picking up the two geese that had been hit by a car in Columbus, which is about 45 minutes from Springfield, where Trump had previously claimed that migrants were chowing down on the birds. In order to collect a carcass, people need documentation from a county sheriff or wildlife officer, the wildlife organization explained, but according to the Franklin County Wildlife Office, this is not required for geese, meaning the man had a right to them. TMZ reported that there is no evidence that the man is Haitian, an immigrant or that he even intended to eat the geese. At a rally in Tucson, Arizona, this week, Trump dragged geese into his narrative. A recording of 911 calls show that residents are reporting that the migrants are walking off with the towns geese, Trump said. Theyre taking the geese. You know where the geese are? In the park, in the lake. And even walking off with their pets. Trump once again offered no evidence to support his claims. The Ohio Division of Wildlife told TMZ that the man was picking up the two geese that had been hit by a car in Columbus, which is about 45 minutes from Springfield ( isitmeyourelooking4x/Reddit ) The claims about geese come just days after the former president wildly pushed the false narrative about Haitian migrants eating pets as he faced off against Kamala Harris on stage in Philadelphia, Pennsylvania, on Tuesday for their first and likely only presidential debate. Local authorities had already debunked the lies even before Trump peddled the narrative on the debate stage, with Springfield police saying there is no credible evidence to support the allegations. Theyre eating the dogs. Theyre eating the cats. Theyre eating the pets of the people that live there, he said on Tuesday as Harriss expression flitted between shock and amusement. Haitian refugees in Springfield, Ohio say Donald Trumps inflammatory claims earlier this week while debating VP Kamala Harris have helped create a terrifying day-to-day reality for them ( AP ) Extreme, the vice president quipped in response, as 67 million ABC viewers watched on television. The rumors stemmed from a bizarre anecdote posted by Springfield local Erika Lee on a local Facebook group, where she alleged that a cat owner found her dead pet hanging from the tree ready to be skinned, butchered and eaten in a house said to be occupied by Haitian immigrants. Lee has since told NewsGuard that it was a tale that she heard fourth-hand, coming from a neighbors friends daughter whom she had never met. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The Omaha, Nebraska, police department has suspended the use of some no-knock search warrants, after a SWAT team officer fatally shot an unarmed Black man in August during one such raid. The department plans to suspend its use of standard entry (limited penetration) no-knock raids, in which police breach a door without warning and then make loud verbal announcements about a search, pending a full review and assessment of best practices. My feeling is, there will be times where a limited penetration is necessary, just because of the extreme circumstances, but were going to look at whether we can do other methods on certain cases to try to bring that risk down, deputy police chief Scott Gray said on Friday, according to the Omaha World-Herald. I dont think that means that (standard entry warrants) are going to completely go away, but maybe we use one of the other tactics. Or maybe we develop something new that is going to work better. Omaha police officer Adam Vail shot and killed Cameron Ford, 37, as officers served a no-knock warrant on August 28 while investigating Ford for allegedly selling fentanyl. After being inside Fords home for about 12 seconds, and making repeated announcements about the search, Vail said Ford came charging at him without his hands visible. Vail was wearing a body camera, but footage of the encounter was obscured by the officers ballistic shield. No-knock warrants came to national attention in 2020, when officers in Louisville killed Breonna Taylor, an unarmed Black woman who wasnt accused of any crimes, during a raid on her boyfriends apartment. Taylors killing, alongside the murder of George Floyd and other deaths of Black people at the hands of police, helped inspire nationwide Black Lives Matter protests in 2020. After Taylors killing, the Omaha PD made changes to its no-knock warrant policies, requiring such warrants to get the approval of a captain or deputy chief, as well as be served by a SWAT team once above a certain threat level. Earlier this month, the Douglas County attorney declined to charge Vail, who told investigators he feared for his life. Critics have called for an independent investigation into the shooting and an end to no-knock warrants. The use of no-knock warrants has too often led to avoidable violence and heart-wrenching loss, Wayne Brown, president and CEO of the Urban League of Nebraska, told the Associated Press on Saturday. It is time to reevaluate these tactics and replace them with strategies that prioritize the well-being of both the officer and the residents. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A 14-year-old girl was left stranded after an airline removed her from a flight over a weight imbalance. Camryn Larkan boarded a Porter Airlines flight from Toronto, Canada to Victoria on August 30 after visiting family, the CBC reports. However, after she took her seat, a flight attendant said she had to exit the aircraft. I was kind of just like really confused ... I thought I was coming back to my seat. I thought that they were just going to take my bags, Camryn told the CBC. As soon as I got off the plane and I saw that the door had closed, thats when I started to, like, get really anxious. Thankfully, Larkans father, who dropped her off at the airport, was able to go pick her up. Im just glad that my dad was there because if he wasnt I would have been alone, Larkan added. She was stuck in Toronto overnight and rebooked a flight for the next day. Porter Airlines removed Larkan from the flight due to a weight imbalance but staff did not know she was an unaccompanied minor, a spokesperson said. Our team asked for volunteers to travel the following day to Victoria. When none came forward, passengers were selected based on their fare type. It was not known to our team at the time that Camryn was a minor, spokesperson Robyn van Teunenbroek told the CBC. Parents can purchase an unaccompanied minor plan for their kids over 12 for $100, the CBC reports. The service is required for children ages 8 to 11. The plan ensures kids cant be deplaned. However, Larkans parents did not know about the service which means Larkan was treated as an adult by the airline. Her mother, Catherine Larkan, said the service should be automatically provided to kids 12 and older. They put my child in imminent danger, Catherine Larkan told the CBC. It was completely negligent and it shouldnt happen to any other minor. Theyre providing a service saying we know these people are at-risk and theyre saying if you dont [pay for] the service, youre treated as any other adult passenger traveling, she added. Its just absolutely ludicrous. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Two hospitals in Springfield the Ohio city at the center of Trumps false pet-eating claims were placed on brief lockdowns after receiving bomb threats Saturday morning. Both medical centers have resumed normal operations after police and hospital security found nothing suspicious during their search. The safety protocols we have in place for these instances allow us to work quickly with local law enforcement to investigate threats thoroughly and ensure the safety of our patients and staff, Kettering Health Springfield said in a statement. The other alleged target was Mercy Healths Springfield Regional Medical Center, which went into lockdown after officials heard of the alleged bomb threat around 6am. One of our most important responsibilities is the safety and security of our patients, visitors, associates and physicians, a spokesperson for the Springfield Police Division said. Haitian refugees in Springfield, Ohio say Donald Trumps inflammatory claims earlier this week while debating VP Kamala Harris have helped create a terrifying day-to-day reality for them ( AP ) Springfield has endured bomb threats targeting schools, city buildings, and other local institutions after the Ohio town was thrust into the spotlight earlier this week when former President Donald Trump brought up a racist conspiracy during the presidential debate that Haitian migrants were stealing and eating the pets in the community. Theyre eating the dogs. Theyre eating the cats. Theyre eating the pets of the people that live there, he said on Tuesday as Kamala Harriss expression flitted between shock and amusement. Local authorities had already debunked the lies even before Trump peddled the narrative on the debate stage, with Springfield police saying there is no credible evidence to support the allegations. The latest headlines from our reporters across the US sent straight to your inbox each weekday Your briefing on the latest headlines from across the US Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Ukraine made a new call Saturday on the West to allow it to strike deeper into Russia after a meeting between U.S. and British leaders a day earlier produced no visible shift in their policy on the use of long-range weapons. Russian terror begins at weapons depots, airfields, and military bases inside the Russian Federation, Ukrainian presidential adviser Andriy Yermak said Saturday. Permission to strike deep into Russia will speed up the solution. The renewed appeal came as Kyiv said Russia launched more drone and artillery attacks into Ukraine overnight. Ukrainian officials have repeatedly called on allies to greenlight the use of Western-provided long-range weapons to strike targets deep inside Russian territory. So far, the U.S. has allowed Kyiv to use American-provided weapons only in a limited area inside Russias border with Ukraine. Discussions on allowing long-range strikes were believed to be on the table when U.S. President Joe Biden and British Prime Minister Keir Starmer met in Washington D.C. Friday but, no decision was announced immediately after the meeting. Ukrainian President Volodymyr Zelenskyy has been pressing the U.S. and other allies to allow his forces to use Western weapons to target air bases and launch sites further afield as Russia has stepped up assaults on Ukraines electricity grid and utilities before winter. He did not directly comment on the meeting Saturday morning, but said that more than 70 Russian drones had been launched into Ukraine overnight. The Ukrainian airforce later said that 76 Russian drones had been sighted, of which 72 were shot down. We need to boost our air defense and long-range capabilities to protect our people, Zelenskyy wrote on social media. We are working on this with all of Ukraines partners. Other overnight attacks saw one person killed by Russian artillery fire as energy infrastructure was targeted in Ukraines Sumy region. A 54-year-old driver was killed and seven more people were hospitalized, Ukraines Ministry of Energy said. A KAB aerial bomb also fell on a garage complex in the eastern city of Kharkiv, said regional Gov. Ihor Terekhov. No injuries were reported. Meanwhile, officials in Moscow have continued to make public statements warning that long-range strikes would provoke further escalation between Russia and the West. The remarks are in line with the narrative the Kremlin has promoted since early in the war, accusing NATO countries of de-facto participation in the conflict and threatening a response. Russian Deputy Foreign Minister Sergei Ryabkov told state news agency TASS Saturday that the U.S. and British governments were pushing the conflict, which began when Russia invaded Ukraine in February 2022, toward poorly controlled escalation. Similar comments of Russian President Vladimir Putin on Thursday, where he said that allowing long-range strikes would mean that NATO countries, the United States and European countries, are at war with Russia. were brushed off by Biden Friday. Asked what he thought about Putins threat, Biden answered, I dont think much about Vladimir Putin. Elsewhere, Russias Defense Ministry said that 19 Ukrainian drones had been shot down over the countrys Kursk and Belgorod regions. No casualties were reported. The crowds at Trumps political rallies are used to surprises, but earlier this summer there was an appearance which caused a palpable frisson to ripple through the crowd. Although he didnt address the audience directly, the 6ft 9in figure of 18-year-old Barron Trump stood tall at the Florida event in July. The youngest of Donald Trumps offspring stood up from his seat, turned on his feet, and began shaking his fist in the air, waving, and making his fathers trademark thumbs up gesture. He didnt need words, just stepping out of his fathers shadow with such previously unseen vim, was enough for the crowd to go wild and respond with a standing ovation. Look at him, Trump bellowed proudly from the podium. Thats the first time hes done it. Looking down from the stage at Barron, he continued: Thats the first time, right? Youre pretty popular. He might be more popular than Don and Eric. Hey, Don we gotta talk about it, huh? But this wasnt an impulsive show of support from the only child he has had with his current wife Melania. A month later, there were hints that this was all part of the Trump masterplan to appeal to Gen Zs when Trumps former White House chief of staff, Mick Mulvaney, revealed that Barron was now being asked for advice on attracting support from younger voters. Barron is said to have been behind Trumps on-camera interview with social media influencer Adin Ross a 90-minute conversation at Mar-a-Lago which was live-streamed on the Kick platform. Ross had previously been kicked off Twitch for using homophobic language and displaying racist messages; now he had an audience with the former president and was giving him a Rolex and Tesla Cybertruck. Trump had previously appeared on YouTube personality Logan Pauls podcast all part of the plan for him to reach young male voters. Men, who have been so influential in the rise of the far right in Europe. How far Barron and Melania are behind this new political role to reinvigorate Trump senior for a younger generation is open to question. In early September, he was very much in student mode and photographed arriving on campus at New York University in Manhattan. It was his first day at the Stern School of Business and he emerged from an SUV wearing a white polo shirt, black trousers, and Adidas trainers, surrounded by secret service agents. His first port of call was the office of the business schools interim dean, JP Eggers. Embarrassingly, it has since emerged that Eggers was one of 20 faculty members who back in 2020 had signed an open letter to business leaders sounding the alarm about the threat of a second Trump presidency. Trump, the letter said, denigrates science, peddles in lies, incites violence, attempts to delegitimize the press, politicises everything from the justice department to the CDC to the postal service, and seeks to undermine the integrity of American elections. How much awkwardness this has caused for Barron is unknown, but if Trump is asking his youngest son to step into the ring in the last two months of the campaign, he will be asking him to put allegiance to his fathers career ahead of his own college demands. Following his graduation from Oxbridge Academy, Barrons next educational stop is New York University ( Getty ) In desperation, it seems like Trumps capable of trying just about anything to see what will stick to the wall, one political strategist told me. It seems like Barron is an extremely private person, and theres no reason to think that he would want to take on that role, or that he would be particularly good at it. Hed be better off leaning into conservative influencers like Adin Ross. Justin Till, a Republican county attorney in west Texas and former county Republican chair, said it would depend on Barrons message. The Democrats currently have the ground game and social media is wildfire for [Kamala] right now. I just dont know if sending Barron out on the stage at a rally is going to do it. In Europe, it has been noted how young educated men who are driving the rise of the far right drawn to its emphasis on masculinity and nationalist politics. There is evidence that this is a crucial constituency for the Republicans too especially when they are looking for more youthful energy to distract from the senior energy of the man at the top. Dr Jim Henson, who directs the Texas Politics Project at the University of Texas, said that there is a deeper issue here is what is driving young men, particularly young white men of voting age, in this MAGA reactionary populist direction? Henson said both Republican and Democrat campaigns are trying to move relatively small numbers of people in swing states. If he (Donald) was willing and Melania was willing and they thought it would help the Trump campaign, I can see them probably giving it a try. The old cliche of "every vote counts has become somewhat accurate. I think the male members of the Trump family have shown a lot of interest in there being something akin to a Trump dynasty if they can manage it Dr Jim Henson, director of the Texas Politics Project Until recently, the former first lady has been notably absent from her husbands presidential campaign trail yet she has recently shared a flurry of videos on social media to plug the publication of her tell-all, self-titled memoir: Melania which is out this week. While the first focused on delivering her truth, the second centred on her perceived challenges about her husbands free speech, and the third demanded answers over the attempt on his life in July - it was to Barron who she turned for her fourth video posted on X/Twitter. Sharing a sepia photo of her holding Barron as a baby, she gushes The challenges and rewards of motherhood from sleepless nights to joyful milestones, bring immense fulfilment which only a mother understands. Another archive, black and white photo in the video shows Melania placing her head on her infant sons cheek as she concludes: The lessons Ive learned from these experiences are profound and they have shaped me in ways that I could have never imagined. Melanias heartfelt post about Barron growing up on X/Twitter ( Melania Trump/X ) In her coming memoir, she also breaks her silence over the rumours that Barron is autistic which were sparked in 2016 when TV personality Rosie ODonnell who has a long-standing feud with Donald Trump reshared a video of Barron which speculated that he was displaying signs of the condition. Barron was aged 10 at the time and Melania details how the ordeal left her son with irreparable damage, and hints he was bullied in real life as a result. There is nothing shameful about autism (though ODonnells tweet implied that there was), but Barron is not autistic, Melania writes, accusing ODonnell of sheer malice. After shielding their son from the public eye as much as possible it is now clear that both mother and father see Barron has a crucial role to play in shaping the narrative for both, but democratic strategist David Logan said their views may differ about how. In May the former first lady effectively spurned an invitation for Barron to serve as a delegate at this years Republican convention. A statement issued at the time read: While Barron is honored to have been chosen as a delegate by the Florida Republican Party, he regretfully declines to participate due to prior commitments. Melania Trump is notoriously protective over her son. When he was younger, he spoke with a noticeable eastern European accent, despite never living in Slovenia. He spends most of his time with me, she explained to a CNN reporter at the time. Trump and Melania with baby Barron back in 2007 ( Getty ) And most of that time was spent in luxury. After he was born in 2006, TV host Ellen DeGeneres sent the Trumps a gold pushchair; Barrons own suite in Trumps Manhattan penthouse which Melania apparently referred to as Barrons living room boasted a kitchen, living room, and quarters for the nannies tasked with looking after him. Later, when his dad became president, the young Trump had free rein of The White Houses private cinema, bowling alley, and swimming pool. His own room there was apparently stocked with his favourite snacks, as well as the specific toiletries he liked to use. In August, Melania posted on X: New York City captivated my heart the moment I arrived 28 years ago today ... This electrifying town isnt just my home; its a colorful canvas where dreams come alive." Was it a cryptic nod to her sons decision to go to university there? Or, as The Daily Beast wondered, could it have been a dig at Donald Trump, who seems to hate current-day New York as much as New Yorkers hate him? But both will be aware of another reason why, sooner or later, Barron needs to come into the family business: dynasty. Back in 2019, Donald Jr hinted in an Instagram story that he might run for president in 2024. In the end, his father decided he wanted another bite at that cherry. But it would surprise nobody if Junior ran for office in future. He is his fathers son. A central role for Barron Trump further ensures the Trump political legacy is complete. I think the male members of the Trump family have shown a lot of interest in there being something akin to a Trump dynasty if they can manage it, Henson says. But political dynasties are complicated. If we look at the Bushes and the Kennedys, those families were pretty rife with internal tensions and rivalries. Skys the limit: Barron with his parents at the Republican National Convention in July ( Getty ) The Trumps are, with their fingers in multiple business pies, perhaps more akin to The Roy family in Succession. David Logan thinks theres a wing of Trumps voting base that loves to fetishize the idea of a Trump monarchy. President Trump, followed by his eldest kid in power for eight years, and Barron to wrap it all up. But if Trump loses I dont think Trump Jr. will get anointed. Itll go to a JD Vance- type instead. Dynasties tend to flame out, said Justin Till. And from Trumps perspective, his legacy is solely about him anyway. He wants statues to himself. And if he doesnt build one, the RNC will." Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Inside Washington email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} With Donald Trump looming as one of two possible occupants of the White House come January, the stakes for Ukraines future could not have been higher as US President Joe Biden and UK Prime Minister Sir Keir Starmer met in Washington for the second time in the last three months. It added a new level of intrigue to Fridays face-to-face between Starmer and Biden. The two allies gathered at the White House to talk about Ukraine policy, but in just a few months, Starmer will have to work with - or oppose - a new US leader. Biden, who announced nearly two months ago that he would stand down from his bid for re-election, will be leaving office on January 20. The person who replaces him might be Trump, who has all but declared that his approach to Russias war on Ukraine will be to cut off American aide, let Russia win, and perhaps go as far as pulling America out of the NATO alliance. Democrat nominee and Vice President Kamala Harris, meanwhile, has adopted the polar opposite stance, and reaffirmed American commitment to Ukraine if she is elected. Starmer wont know who he is dealing with until November at the earliest, and they wont take the office until January. In Washington, at Bidens invitation, the Prime Minister has found himself at a crossroads as Kyiv pushes to be permitted to use its British-built Storm Shadow missiles to attack targets deep in Russias interior as a way of pushing back on the continued war Vladimir Putins army is waging. Its a decision that Starmer cant make on his own. Biden and Starmer meet at the White House as questions over Ukraines use of long-range missiles grow. While they met, Starmer will be face-to-face with a new US leader in just a few months. ( Getty Images ) Though Starmer could conceivably authorize the use of the British missiles for attacks on Russian targets on the far side of the countrys border with Ukraine, he cant give assent to using the targeting data that would allow such strikes because it is controlled by the US Department of Defense. US Secretary of State Antony Blinken has suggested that America would be amenable to changing the policy that has so far kept Kyiv from striking deep within Russian territory with Western-provided missiles. White House spokesperson John Kirby on Thursday said no decision to reverse the policy was expected during the meeting between Biden and Starmer. Yet during the portion of their sit-down that was open to the press, the Prime Minister hinted that such discussions were on the agenda, telling Biden: that the next few weeks and months could be crucial, very, very important for Ukraines defense in what he called this vital war of freedom. I think that historically, weve shown the strength of our relationship, that we are strategically aligned. We have common cause on these global issues, and therefore its very important for us to have this opportunity to talk them through, not just as a matter of fact it but also the wider strategy that underpins them so thank you for the invitation, he said. As Starmer plots a course of Ukraine, he will be dealing with Donald Trump or Kamala Harris in months - and they will have their own thoughts on Russian policy. ( Getty Images ) A White House readout of their meeting stated that Biden and Starmer had an in-depth discussion on a range of foreign policy issues of mutual interest and reaffirmed their unwavering support for Ukraine as it continues to defend against Russias aggression. But a decision on letting Kyiv use longer-range weapons wasnt forthcoming. Speaking to reporters after the meeting wrapped, the Prime Minister said the sit-down hadnt been about a particular decision and said the discussions would resume when the two meet again on the sidelines of the UN General Assembly in New York later this month, along with what he described as a wider group of individuals. Still, all of it could be moot should Trump top Harris in Novembers election, since the once and perhaps future president has made clear his intent to cut off support in favor of Moscow. Yet Starmer wasnt willing to discuss any contingencies for such a result or much else when pressed by reporters before he boarded his motorcade. Theres only one reason were having these discussions, and that is that Putin has illegally invaded Ukraine, and the biggest way to resolve this obviously lies through what Putin actually does, because its manipulating this, he said.But we are having a discussion, we stood with Ukraine. Ukraine has a right to self-defense, and weve stood united, not just with allies here in the US, but across with our NATO allies. Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Inside Washington email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Georgia lieutenant governor Burt Jones will not face criminal charges for serving as one of the alternate electors who falsely swore that Donald Trump won the state in the 2020 presidential election, a special prosecutor announced on Friday. Jones was a state senator at the time of the declaration. I find Senator Jones involvement and actions during the times in question to be within the scope of his duties as a Senator to address the concerns of constituents and that his participation in voting as an alternate elector on Dec. 14, 2020 was a result of relying upon the advice of attorneys and legal scholars, Pete Skandalakis of the Prosecuting Attorneys Council of Georgia said in a statement. Therefore, this case does not warrant further investigation or further actions, and I consider the matter closed. The special prosecutor, who took the case in April, said Jones did not act with criminal intent and was relying on the advice of attorneys and legal scholars. While the advice may eventually be judged to be incorrect Jones, like any other citizen, should not be punished for relying upon the guidance of counsel under these specific facts and conditions, Skandalakis said. Burt Jones is thought to be considering a run for governor in 2026 ( AP ) Jones, who is thought to be considering a run for governor in 2026, celebrated the decision. I have always wanted to tell my story in front of a fair and unbiased prosecutor, he told CNN. I look forward to being able to focus on the work I was elected to do. In addition to claiming to be an alternate elector, Jones pushed for a special legislative session to overturn Bidens narrow victory in Georgia. A special purpose grand jury investigating Trumps efforts in 2020 to subvert the election results recommended charges for Jones, but a judge barred Fulton County District Attorney Fani Willis from including Jones in her racketeering investigation of Trump and his allies, after the prosecutor held a fundraiser for one of Joness political opponents in 2022. Three other false electors were indicted last August by a Fulton County grand jury on charges including racketeering, and they have pleaded not guilty. Earlier this week, a Fulton County judge narrowed the scope of the election interference case against Trump, dropping two charges, arguing the counts, related to perjury or false statements, should fall under federal jurisdiction. On Friday, Willis declined to appear before the Georgia Senate, as she challenges the legality of a subpoena from a Republican-led committee that is investigating her. Willis has faced scrutiny, particularly on the right, including a Trump effort to disqualify her from the election case, for having a relationship with a prosecutor who was on the Trump case. Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Inside Washington email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} While all the talk of campaign endorsements revolved around Taylor Swift this week, earlier on Tuesday, before the presidential debate, home and hospitality magnate Martha Stewart made her choice. In an onstage interview with Joanna Coles, chief creative and content officer for the Daily Beast, at the 2024 Retail Influencer CEO Forum, Stewart said she would absolutely be tuning in to the debate between Kamala Harris and Donald Trump. Oh, you bet, she said, before revealing her choice of candidate. Kamala, she stated, emphasizing the correct pronunciation. Stewart explained that she supports the vice president because she wants a president who doesnt hate New York and doesnt hate democracy. In 2016, Stewart publicly supported Hillary Clinton, telling CNN at the time: We just cant have a country run by someone who is totally unprepared for what comes. After Trump won that election, Stewart changed her tune and congratulated him, saying that there was now a true entrepreneur in the White House. Martha Stewart, center, congratulated Donald Trump after he was elected in 2016 - but endorsed Kamala Harris this time. ( Getty Images for Emma Grede ) In 2020, she avoided endorsing either Trump or Joe Biden. My personal conundrum is, my friends know who I am and what I stand for, she told The New York Times. But in terms of being the owner of the magazine, how do you take sides when 50 percent of your readers might be on one side, and 50 percent on the other? Its difficult. Thats my answer to that. Stewart has something of a history with Trump having butted heads back in 2006. Both stars had versions of The Apprentice on the air at the same time but Stewarts was not the audience hit that Trumps was and she blamed him for not honoring a deal she said they had for her to fire him, leaving her version as the only one. Having two Apprentices was as unfair to him as it was unfair to me, she said at the time. A feud ensued with Trump writing a public letter accusing her of lying about their agreement, later telling the press: I wish she would be able to take responsibility for her failure. In an appearance on Stewarts show in 2008, comedian Joan Rivers cracked a joke about Trumps branded steaks and feigned confusion that she was eating him. Correcting herself that the then-reality TV star hadnt been slaughtered, Stewart quickly cracked: Too bad! To laughter from Rivers and the audience, she added: Ill add my little two cents. And then sarcastically: We all love Donald Trump. Perhaps in November, Stewart will again have the last laugh. Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Inside Washington email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Pope Francis has waded into US politics in a rare intervention, criticising both Donald Trump and Kamala Harris as being against life and urging American voters to pick the lesser evil between the two. Francis criticised Mr Trumps policies towards migrants and Ms Harriss stance on abortion, as he spoke to reporters on board his return flight after a historic Asia-Pacific tour. While stressing that he was not an American and would not be voting. he expressed himself in stark terms when asked to weigh in on their positions on two hot-button issues in the US election that are also of major concern to the Catholic Church. America has some 72 million Catholics and the Pope was asked to provide guidance to them on who they should vote for on Tuesday 5 November. The pontiff appeared to hit out first at Mr Trump for his xenophobic remarks, saying not welcoming migrants is a grave sin. Mr Trump has repeatedly made headlines in recent days for claiming without evidence that Haitian migrants are eating household pets in Springfield, Ohio. But he then also came down hard on Ms Harriss stance on abortion, calling it a form of assassination. Though his references were clear, he did not name either politician directly. Both are against life, be it the one who kicks out migrants or the one who [supports] killing babies, Francis said. Both are against life. Francis has made the plight of migrants a priority of his pontificate and speaks out emphatically and frequently about it. While strongly upholding church teaching forbidding abortion, Francis hasnt emphasised church doctrine as much as his predecessors. Pope Francis holds a news conference aboard the papal plane on his flight back after his 12-day journey across southeast Asia and Oceania ( AP ) The pontiff said migration is a right described in scripture, and that anyone who doesnt follow the biblical call to welcome the stranger is committing a grave sin. He was also blunt in speaking about abortion. To have an abortion is to kill a human being. You may like the word or not, but its killing, he said. We have to see this clearly. Asked what people should do at the polls, Francis recalled the civic duty to vote. One should vote, and choose the lesser evil, he said. Who is the lesser evil, the woman or man? I dont know. Everyone in their conscience should think and do it, he added. The pontiff said migration is a right described in scripture ( Pool/AFP/Getty ) Pope Francis also criticised Mr Trump in the run-up to his 2016 election win, when he was asked about the Republicans much-vaunted plan to build a wall at the US-Mexican border. The pontiff declared then that anyone who builds a wall to keep out migrants is not Christian. In responding on Friday, Francis recalled that he celebrated Mass at the US-Mexico border and there were so many shoes of the migrants who ended up badly there. The US bishops conference, for its part, has called abortion the pre-eminent priority for American Catholics in its published voter advice. Harris has strongly defended abortion rights. President Joe Biden, while a staunch supporter of a womans right to choose, is a devout Catholic and has met with the current pope twice during his presidency in October 2021 at the Vatican, and as recently as June this year during the G7 summit in Italy. Mr Trump met the Pope once as president, at the Vatican in May 2017, just four months into his administration. In other comments, the Pope denied reports he would be at the inauguration of the restored Notre Dame Cathedral in Paris but said he would like to go to the Canary Islands to highlight the plight of migrants there. Francis also said he would like to visit his native Argentina, to which he has not returned since becoming pope, but added: There are various things to resolve first. Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Inside Washington email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A scathing report from the Secret Service details failures by agents in the hours leading up to the shooting of Donald Trump -including breakdowns in communication and haphazard securing of the site. The findings are part of a Secret Service probe into the July 13 shooting at a rally in Butler, Pennsylvania. The shooting wounded Trump in the ear. It also left one spectator dead and two more hurt. Gunman Thomas Matthew Crooks was able to climb onto the roof of a building only a few hundred yards away and fire at Trump with an AR-style rifle. Crooks was shot dead by snipers, but not before wounding the Republican nominee for president. The attempted assassination led to outrage over the Secret Services handling of the event and the agencys response. It was learned soon after the local police had flagged Crooks as a person of interest. But while searching for him, he was able to get onto the roof and open fire. The fallout from the shooting and a slow investigation led to the resignation of then-director Kimberly Cheatle. This week, Congressional leaders were briefed behind closed doors about the shooting. Details from a Secret Service investigation show communication breakdowns in the moments before former president Donald Trump was shot during a rally in Bulter, Pennsylvania. ( AP ) Now, the Washington Post has detailed some of the Secret Services findings, according to sources. That report stated that agents had discussed using flags and heavy equipment to obstruct the view between the building where Crooks fired from and the stage. However, when agents arrived on July 13, they found that the trucks and flags had not been deployed in a way that blocked the line of sight from the roof. Secret Service officials also found weaknesses in the communication system for candidate-centric events - compared to those used when the president or vice president speaks. In Butler, the Secret Service radio room had no way to get real-time alerts from local police surveillance of the crowd or outer perimeter, according to the Post. Local police had alerted about a suspicious man before Trump arrived, but it was not broadcast widely on the Secret Service radio. Instead, local snipers were told to send a picture of the man - which turned out to be Crooks - to just one Secret Service official. Finally, Secret Service agents never heard local police radios about trying to track down the man after Trump began speaking. The report detailed how the gunman was able to get to a roof and fire, despite being flagged by local police. Their concerns were not widely distributed to Secret Service agents. ( REUTERS ) The Secret Service was also slow in beefing up security for Trump as he began campaigning, even after intelligence indicated there was an Iranian plot to kill or harm political candidates, according to the Post. Officials with the Secret Service have already made improvements in the shootings wake including housing its agents and local police in the same command center for appearances by presidential candidates. Still, it hasnt slowed the fervor from those in congress over the shooting. Following this weeks Congressional briefing , several spoke out in dismay over what they were told. A public hearing is scheduled for later this month. Sen. Richard Blumenthal (D-Conn.), said that lawmakers "will have a report very, very soon that I think will absolutely shock the American people about the lapses and lags in protection that was afforded that day and the breakdown in communication, failure and responsibility, according to Fox News. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Italian Navy divers have recovered video surveillance equipment from the wreckage of billionaire Mike Lynchs Bayesian superyacht that could explain how it sank. The British tech tycoons boat had been moored near the port of Porticello on 19 August when it sank during the early hours of the morning. It is now lying 50m below the surface. Among those killed were Mr Lynch and his 18-year-old daughter Hannah, who had been due to begin studying at Oxford University in September, as well as four other family friends and associates. Mr Lynchs wife, along with 14 others, survived and were rescued by a nearby vessel that was unscathed. The highly specialised divers are now combing the wreckage on behalf of prosecutors investigating the sinking. On Thursday, they recovered parts of the deck, computer material, video surveillance systems, hard drives and various other equipment, a source told news agency Reuters. The Bayesian yacht is being examined by specialist divers and sonar robots ahead of attempts to raise it from the sea bed ( PA ) The electronic devices will be sent to specialised labs outside of Sicily to check their condition and possibly recover data, the source added. It is suspected that a downburst of strong wind resulted in the boat sinking. The video could show if the crews left doors open, which might have allowed the yacht to flood. Daniele Governale, a coastguard official in Palermo, said the divers were using a hyperbaric chamber that allowed them to make repeated dives of up to 40 minutes as part of the search. The coastguard has also taken underwater images with a remotely operated vehicle that will help draw up a plan to salvage the yacht. Jonathan Bloomer, the international chairman of Morgan Stanley Bank; his wife Judith, a psychotherapist; Christopher Morvillo, a US lawyer; and his wife Neda, a jewellery designer also died in the sinking. Also killed was the yachts chef, Recaldo Thomas, whose body was recovered floating near the wreckage. Three crew members, including New Zealand captain James Cutfield, are under investigation for manslaughter and shipwreck. Under Italian laws, being investigated does not imply guilt and does not mean formal charges will necessarily follow. Sources close to Mr Cutfield told the Italian newspaper Corriere Della Sera that he is living through the darkest days of his life. Prosecutors have said their investigation will take time, and will require the wreck to be pulled up from the sea bed. According to the authorities, efforts to raise the yacht will be made by the vessels owner, UK company Revton, which is controlled by Mr Lynchs widow Angela Bacares. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Five people in eastern Romania have been found dead after torrential rainstorms left scores of people stranded in flooded areas, emergency authorities said Saturday Rescue services scrambled to save 95 people in the hard-hit eastern counties of Galati and Vaslui. The bodies were found in the localities of Pechea, Draguseni, Costache Negri, and Corod, the Department for Emergency Situations said without providing details on how they died. Emergency authorities released video footage that showed a team of rescuers evacuating an elderly man on a small lifeboat before carrying him to safety. A Black Hawk helicopter was deployed to Galati to help with the search and rescue missions. The storms battered 19 localities in eight counties in Romania, with strong winds downing dozens of trees that damaged cars and blocked roads and traffic. Authorities sent text message alerts to residents to warn them of adverse weather as emergency services rushed to remove floodwaters from homes. Some roads have also been closed. Romanias Prime Minister Marcel Ciolacu canceled planned engagements Saturday to travel to Galati county to assess the fallout. The Prime Minister will discuss with the local authorities the urgent intervention and support measures for the population and communities severely affected by the floods, his office said. The stormy weather comes as several central European nations anticipate severe flooding forecast to hit the Czech Republic, Poland, Austria, Germany, Slovakia, and Hungary over the weekend. Meteorologists say a low-pressure system from northern Italy was predicted to dump much rainfall in most parts of the Czech Republic, including the capital and border regions with Austria and Germany in the south, and Poland in the north. We have to be ready for worst-case scenarios, Czech Prime Minister Petr Fiala said after the governments central crisis committee met. A tough weekend is ahead of us. In Poland, Prime Minister Donald Tusk also traveled on Friday to the southwestern Polish city of Wrocaw where floods are forecast. Authorities appealed to residents to stock up on food and to prepare for power outages by charging power banks. The weather change arrived following a hot start to September in the region, including in Romania. Scientists have documented Earths hottest summer, breaking a record set just one year ago. A hotter atmosphere, driven by human-caused climate change, can lead to more intense rainfall. ___ Stephen McGrath reported from Sighisoara. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The former Russian president Dmitry Medvedev has warned that the Kremlin could reduce Kyiv to a giant melted spot if Ukraines allies give the green light for them to fire long-range missiles deep into Russia. Ukraine has been calling on the West to allow it to use Western-supplied long-range missiles to target military sites in Russia, which the country says is vital to its war effort. However, Vladimir Putin has warned that if the US, UK and France give Kyiv permission to fire Storm Shadow missiles at its territory, it would amount to Nato being at war with Moscow. And in an expletive-laden message characteristic of Mr Medvedev, the deputy chair of Russias Security Council issued another threat on behalf of the Kremlin on Saturday. Ukrainian president Volodymyr Zelensky has asked to use Western-supplied long-range missiles to target military sites in Russia ( PA ) Claiming the West is underestimating the possibility of Moscow retaliating to the decision, he wrote on the Telegram messenger app: The pompous Anglo-Saxon b***ards do not want to admit one thing: any patience comes to an end. And then thats it. A giant grey melted spot on the site of the mother Russian city. Holy s**t! Its impossible, but it happened Moscow is known to issue sensationalist threats of further escalation against the West and Ukraine if support for Kyiv is increased. Mr Medvedevs threats have consistently been some of the most aggressive, and are more closely aligned to the hyperbolic statements from Russian state media presenters than comments from Mr Putin. The former Russian presidents last post on X, formerly Twitter, suggested Russia could use hypersonic missiles to sink Britain in the wake of foreign secretary David Lammys trip to Kyiv earlier this week. But it comes as the US appears to be unsure whether to cross this latest Kremlin red line. Although the UK believed to be supportive of lifting the restriction, Washington has the ultimate say as the systems rely on US technology to work. Volodymyr Zelensky, centre, with US secretary of state Antony Blinken, left, and British foreign secretary David Lammy, right, during a meeting in Kyiv on Friday ( EPA ) Ukraine made a renewed call on Saturday for the West to give the go-ahead, after a meeting between US and British leaders a day earlier produced no visible shift in their policy on the use of long-range weapons. Ukrainian presidential adviser Andriy Yermak said: Russian terror begins at weapons depots, airfields, and military bases inside the Russian Federation. Permission to strike deep into Russia will speed up the solution. Meanwhile, Russia and Ukraine exchanged hundreds of prisoners of war on Saturday for the second day in a row, with each side releasing 103 people. The Russian defence ministry said the Russian soldiers exchanged had been taken prisoner in Russias Kursk region after Ukraines cross-border assault last month. The United Arab Emirates said it mediated the exchange of 206 prisoners between Russia and Ukraine, in what is their eighth such mediation. Ukrainian president Volodymyr Zelensky hailed the officials overseeing the exchange in a message on X, saying: Our people are home. Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Inside Washington email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Donald Trump boasted of a very good relationship with both Volodymyr Zelensky and Vladimir Putin on Friday as he hosted the Ukrainian leader for a meeting at his New York City skyscraper. The former president also repeated a bizarre boast that he could, if re-elected in November, negotiate an end to the nearly three-year Russian war against Ukraine. Trump, who was impeached in 2019 for using American defense assistance to pressure Zelensky to launch sham investigations into President Joe Biden and his son, was being briefed on Kyivs latest plan for victory against Putin. We have a very good relationship, he told reporters as he stood with Zelensky. And I also have a very good relationship, as you know, with President Putin. And if we win, I think were going to get it resolved very quickly, he said. Zelensky quickly interjected: I hope we have more good relations between us. Zelensky said he and Trump share a common view that the war ... has to be stopped, and Putin cant win and Ukrainians have to prevail. And I want to discuss with you the details of our plan, he added. Republican presidential nominee former President Donald Trump meets with Ukraines President Volodymyr Zelensky at Trump Tower, Friday 27 September in New York ( AP ) For his part, Trump said he and Zelensky have had a great relationship, citing Zelenskys decision to deny that Trump had exerted any pressure on him during the July 2019 phone call in which hed linked sending Javelin anti-tank missiles to Zelenskys announcement of investigations into the Bidens. So we're going to sit down just discuss it, and if we have a win, I think long before January 20, before I would take the presidency ... I think that we can work out something that's good for both sides. It's time, he said. Trump had previously cancelled plans to meet with the Ukrainian leader after Zelensky sparked GOP outrage by visiting the Scranton Army Ammunition Plant in the battleground state of Pennsylvania over the weekend. He reversed course late Thursday after Zelenskys meeting with Harris, during which the Democratic presidential nominee told Zelensky that her support for Kyivs defense is unwavering. In what appeared to be a veiled swipe at Trump and his Republican allies, Harris admitted to Zelensky that there are some in the US who would instead force Ukraine to give up large parts of its sovereign territory, who would demand that Ukraine accept neutrality, and would require Ukraine to forgo security relationships with other nations. These proposals are the same as those of Putin, and let us be clear: They are not proposals for peace. Instead, they are proposals for surrender, which is dangerous and unacceptable, she said. Zelensky has been in the United States for the UN General Assembly, where on Wednesday he warned world leaders that Russian President Vladimir Putin is attempting to break the Ukrainian spirit by targeting his countrys energy infrastructure. He also cautioned against accepting purported peace deals that would be negotiated without Ukraine having a say. Any parallel or alternative attempts to seek peace are, in fact, efforts to achieve an out instead of an end to the war, he said, adding later that he was skeptical of the true interest behind a recent push by China and Brazil to foster an end to the conflict. When some propose alternatives, half-hearted settlement plans, so-called sets of principles, it not only ignores the interests and suffering of Ukrainians ... it not only ignores reality, but also gives Putin the political space to continue the war, he said. Earlier in the week, Zelensky also toured a Pennsylvania plant where munitions destined for his armed forces are being made. That visit drew anger from Republicans, including House Speaker Mike Johnson, who called for Zelensky to fire the Ukrainian ambassador to the US in response. Johnsons outrage was supposedly due to no Republicans being invited along to the tour of the plant, though White House spokesperson Karine Jean-Pierre dismissed it as a political stunt by the GOP at a press conference on Thursday afternoon. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy policy Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} At least 10 Palestinians have been killed, including four children, in an Israeli airstrike on a home in Gaza, local media has reported. The strike hit a residential housing unit in the Al Tuffah neighbourhood east of Gaza City, according to the official Palestinian news agency Wafa. It reported that at least four children and three women were among those killed in the bombing, while several more people remain missing under the rubble of the destroyed home. Gazas civil defence agency appeared to confirm the media reports. Spokesperson Mahmud Bassal said. We have recovered the bodies, including four children and three women, after an Israeli warplane hit a three-storey house. He said several families had taken refuge in the house before it was hit. Two others were killed by Israeli shelling on Gaza City and Jabalia in the north, and three in al-Mawasi in the south, the report said. The Israeli military did not immediately respond to a request for comment. Another strike overnight on Saturday hit a tent in Khan Younis with Palestinians displaced by the Israel-Hamas war, Gazas Civil Defence said. An estimated 14 people have died after the overnight strikes, according to civil defence officials. A Palestinian man searches for his belongings following an airstrike on tents in al-Mawasi area, Khan Yunis ( Anadolu via Getty Images ) They followed airstrikes earlier this week that hit a tent camp on Tuesday and a United Nations school sheltering displaced people on Wednesday. Last month, talks for a ceasefire in Qatar broke down without a breakthrough. After Israeli prime minister Benjamin Netanyahu accepted a bridging proposal for a deal, US secretary of state Antony Blinken called on Hamas to do the same. Mr Blinken said he then hoped Israel and Hamas, with help from other countries, could agree to a deal. Buildings heavily damaged in Israeli bombing, in Beit Lahia in the north of Gaza ( AFP/Getty ) The war began when Hamas-led fighters killed some 1,200 people during an attack on southern Israel on 7 October, 2023. They abducted another 250 people and are still holding around 100 hostages after releasing most of the rest in exchange for Palestinians imprisoned by Israel during a weeklong ceasefire in November. Around a third of the remaining hostages are believed to be dead. The war has caused vast destruction and displaced around 90 percent of Gazas 2.3 million population, and plunged the territory into a severe humanitarian crisis. Gazas Health Ministry says over 41,000 Palestinians have been killed since the war began. The ministry does not distinguish between civilians and militants in its count, but says women and children make up just over half of the dead. London Fashion Week attendees got an eyeful as the shows kicked off, when a Peta activists skin was torn off to call attention to the suffering animals are subjected to in the leather industry. According to Peta (People for the Ethical Treatment of Animals), the demonstration aimed to encourage designers and attendees to think about the consequences of their actions. For leather bags, jackets, and shoes, cows are confined on cramped factory farms, a press release from Peta read. Theyre subjected to extreme crowding and deprivation as well as castration, branding, tail-docking, and dehorning all without any painkillers. At abattoirs, their throats are cut and some are even skinned and dismembered while theyre still conscious. Peta also praised designers who are moving away from animal skin and opting for plant leathers, noting that top designer Stella McCartney has always been proudly leather-free. Michael Douglas hailed Volodymyr Zelensky an inspiration as the Hollywood actor sat down with the Ukrainian President to discuss the war against Russia. The 79-year-old ator and his son Dylan met with the Ukrainian President on Friday (13 September) to discuss support for Ukraine amid the ongoing conflict. President Zelensky shared video footage of their meeting and also thanked the actor for his support. Zelensky said: We greatly appreciate that Michael and his son are genuinely interested in these issues and that their family stands with our country and people. We have a deficit of a quarter of a million homes, but there is a blueprint to tackle the crisis The rental cap negatively impacts those seeking to fund development of new homes for rent as it prevents them realising a fair return on their investment. Photo: Getty The smart money says we will more likely than not have a general election before the year is out. On this occasion and at a time of sustained economic growth, full employment and net immigration, the opportunity to break down the remaining barriers to prosperity and progress is huge. Those barriers come in many forms, but without doubt housing is perhaps the biggest unresolved societal challenge of our times. We cannot hope to have a country where everyone can share in the national prosperity we enjoy, without a home that they can afford and call their own, be it renting or to buy, The Government-mandated Housing Commission published its report and recommendations in May. The Commission deserves credit for having delivered an authoritative and well-researched blueprint for solving our housing crisis and given the rare but near unanimous welcome it has received from across the political spectrum it deserves the fullest possible consideration. That report was followed by the publication in June of the Department of Finances own analysis of the availability, composition and flow of finance for residential development in this country which clearly demonstrates that the primary source of development funding for new homes is institutional investors. The Commission deserves credit for having delivered an authoritative and well-researched blueprint Together, these important pieces of work offer a way forward. In the last decade, Irish Institutional Propertys (IIP) members, backed in the main by domestic and international pension savers, have accounted for more than 50,000 much-needed new homes both for sale and for rent. We stand ready to deploy substantial further capital to support the delivery of a near doubling of current annual output. Given the scale of our ongoing commitment locally and the breadth of our international experience of similar challenges globally, we have a depth of insight and understanding of what needs to be done to tackle our housing challenge. Firstly, we must do what the Housing Commission advises and base housing policy on an assessment of the housing required for a well-functioning society. Further, and to quote the Commission again, this should not be conflated with market demand or construction sector capacity. Right now, we have a housing deficit of around a quarter of a million homes. The State itself recognises this but many local authorities have adopted their Housing Needs and Demand Assessments (HNDAs), without full and proper consideration of the amount of zoned, serviced land needed to facilitate the scale of construction now required to address this deficit and cater for future population growth. This must change. The quantum of investment needed to finance future housing needs is beyond the capacity of the State With the real need for housing established, the next step for the new Government is to commit to a rapid recalibration and acceleration of programmes like STAR, Croi Conaithe and the First Home scheme, harnessing the capacity of both the State and private market to drive significant and sustainable expansion of output of social, affordable and private market housing in the years ahead. Make no mistake, the quantum of investment needed to finance future housing needs is beyond the capacity of the State or the market alone and it is only through a combined effort that we can hope to achieve new housing delivery on the scale required anyone who says otherwise is burying their head in the sand. Crucially the State, as the Housing Commission proposes, must ensure that diverse sources of finance, including stable public financing and a predominant international element, are available to increase housing output in the medium term. The next Government would do well to reflect on this salient fact and ignore the agitators on this issue. It is vital that Ireland is seen as having a stable and internationally comparable approach to institutional investment in the wider housing market if we are to get serious about ending the housing crisis. Many of our citizens, some the most vulnerable in society, rely on the rental sector to meet their housing needs. The Housing Commission makes sensible recommendations when it comes to regulation of the rental market when it calls on the State to regulate market rents fairly and effectively by reforming the current system of rent regulation. The Housing Commission makes sensible recommendations when it comes to regulation of the rental market Reform of the current system and replacing it with an index linking of rent reviews for all existing and new tenancies would give certainty and protection to renters while encouraging private investment into the market by foregoing the current rental cap. The cap negatively impacts those seeking to fund development of new homes for rent as it prevents them realising a fair return on their investment, effectively stalling any new investment in the sector and further exacerbating the current supply crunch. Recent evidence of slower rental growth in Dublin where the majority of new homes are being delivered is compelling evidence, if it is needed, that the only long-term solution to reducing rents is through more supply. The current rent-cap regime, which in effect stops new development, is condemning a generation of new renters to a market with fewer and fewer rental options. To inject a renewed sense of momentum into housing output, a new approach is called for by the Commission through the establishment in legislation of a Housing Delivery Oversight Executive as a decision-making body responsible for coordinating the delivery of housing. This time limited body would be charged with identifying and addressing blockages to housing delivery and oversee and drive investment in public utilities on land zoned for housing. If this body did nothing else but ensured greater investment and speedier delivery of water and power infrastructure to serviced lands, currently a critical constraint, it would have done its job. The need for more rapid delivery of supporting infrastructure for development sites cannot be overstated when we are talking about building more homes in the future. Right up to the moment the Taoiseach calls time on this government, speculation around the timing of the election will continue unabated. Whenever the heat of political battle subsides, the business of governing will continue. Except on this occasion the challenge of solving our housing crisis will demand a step change in policy if we are to be equal to the task at hand. Pat Farrell is CEO of Irish Institutional Property Seamus ORourke: The story I have to tell is worth telling. Rural Ireland isnt well-represented in the media and arts When Standing in Gaps, his self-published memoir about growing up in 1970s Leitrim, was a hit, nobody was more surprised than the author himself. As its follow-up hits shelves, the actor and director talks about writing about a pathetic version of himself and why he regrets spending so much time in pubs Seamus ORourke. Photo: James Connolly Alex Meehan Sat 14 Sep 2024 at 03:30 Seamus ORourke is only half joking when he describes how he thought Standing in Gaps, the memoir about his Leitrim childhood that he self-published in 2020, might do. With generous parental leave, heavily subsidised healthcare and lower childcare costs, Nordic countries are ranked as the best to raise a family. But whats life like for the Irish expats who live there, and are these family friendly utopias all theyre cracked up to be? Aileen Hickey gamely tries to sum up the myriad differences between childcare in her native Ireland and Denmark, where she lived for seven years. Each of her three young children was born there. In Denmark, she says, you can just plug your children in [to the heavily subsidised childcare and education system] when they are 10 months old and you can pick them up when they are 18. From the start, its all laid on for you. In Ireland, you have to patch it all together yourself. Everyone has to have a unique solution to their childrens care. Now back in her native Kerry, the marketing consultant, who specialises in tourism, says it is difficult not to look back with envy on how much easier it is to be a parent in Denmark. When it comes to childcare, theres none of the financial strain that is common to so many parents here. When I was there, it was less than 500 a month for both of the children, she says, adding that she and her husband, Karl, returned to Ireland shortly after the birth of their third child. For that price, you could drop them in at 7.30am and pick them up at 5, all meals and everything else included. It was a flat rate you might have had them in for a much shorter day, it didnt matter but it was very reasonable, especially when I think of the sort of money that people back home had to pay. And that total amount less than 250 a month per child was in the top price bands in Denmark because Aileen and Karl were both working. Those in lower-paid jobs would pay much less than that again and, from my understanding, a lot of people werent paying anything. Relaxed pace of life: Dara and Donal McCay, Aileen Hickey, and Karl McCay in Copenhagen It is a stark contrast to the often crippling costs of childcare in Ireland. A survey in April of 217 creches in Ireland revealed that parents living in Dun Laoghaire-Rathdown are being charged at least 1,000 per child each month, with prices ranging from 1,006 to 1,450. In another Dublin county council area, Fingal, the price ranges from 840 to 1,070. In Wicklow, its 800 to 1,167, while Meath creches charge from 800 to 1,150. Even the cheapest counties for creches, Monaghan and Leitrim, charge far more than equivalent operators in Denmark, with prices beginning at 340 and 400 respectively. The costs have long been a source of anguish for those parents who feel that they have to continue to work outside the home. And, as all parents know, the expenditure begins well before the baby is born. Successive governments have long been criticised for failing to deal with rising childcare costs. This week, Green Party leader Roderick OGorman called for a baby boost of 560 to be paid to parents of newborn babies as part of the Budget. Were very aware of the extra financial pressures that all new parents face on the arrival of a newborn, he said, speaking on RTE Radio 1. New cot, new baby seat, new steriliser, all those really basic but quite expensive pieces of equipment. The idea is that alongside the initial child benefit payment of 140, thered be a boost for that first payment. Were talking about quadrupling it, bringing it up to 560 for that first payment, just to give a very clear indication to parents that were supporting them and their newborn in those first weeks in a very tangible way, providing some more money so they can meet those extra costs. Taoiseach Simon Harris also spoke this week about seeking to introduce reforms that make childcare much more affordable, but his comments were rubbished by Sinn Fein. We see the Taoiseach is again kite-flying with soundbites about childcare that have no detail, no costings and no basis in fact, said Sinn Fein spokesperson on finance, Pearse Doherty. His party, he insists, would deliver childcare at 10 per day to be delivered in the first year of Government. The party claims it would cost 345m per annum to subsidise creche and childcare facilities. Aileen Hickey says Denmark has had a head-start of decades over Ireland and says the difference is apparent in the maternity wards. My kids were born in the biggest hospital in Denmark, in the same ward where the future King of Denmark was born and also where the cleaner on the street had their children. Everyone gets the same treatment and its great treatment. As soon as you become pregnant, she adds, you realise that theres a different way of doing things there. Im not overstating it by saying that it was a phenomenal experience. Hickey worked for Tourism Ireland in Denmark, marketing the country to the Nordic region. As a taxpayer in Denmark, she and Karl were entitled to the generous paid parental leave policies that have been part and parcel of Danish life for years. You get 12 months per child, she says. Its more family leave than maternal leave that can be divided up between the mother and father. A really nice aspect is that the mum gets four weeks leave before the due date and you have to take it. You cant carry it over. It was really great because youve time to rest up, relax, get your house in order. If you look at the [unusually high] rates of breastfeeding and non-interventionist births in Denmark, I think a lot of that is due to the fact that women arent working right up to their due date. In neighbouring Sweden, childcare policies are every bit as eye-catchingly attractive, when viewed from an Irish vantage. Dubliner Grace OMalley moved to Stockholm with her Swedish husband, Philip, and three small children in April 2021. We moved over when Ireland and virtually everywhere else was in lockdown, and so much of Swedish life was continuing as normal, she says. Part of the reason we moved was the sense that it would be easier and more affordable to raise children in Sweden, but the stronger part for me was to give my husband an opportunity to connect the kids with Sweden. At that time, I thought wed go for three years and then come back. Now, she believes the family will stay abroad at least for as long as the children are in primary education. Much of her contentment with life there is rooted in the child and family-friendly nature of Swedish society. Were in a very privileged situation in that Philip has a good salary, but back home, I wouldnt have been able to work because me going back to work would mean that all my salary would go straight into childcare, she says. I wasnt the kind of person whod be happy staying at home with the kids every day. Here, as it is so much more affordable, I have the flexibility to be able to work and to have time with the children at home. The elder of OMalleys children are in school now, but she recalls just how inexpensive it was to use state-run childcare providers. It was something like 180 a month for the first child and then it gets progressively less than that for each subsequent child. At home, the total outlay would have been 2,000 a month. Sweden has given OMalley the headspace she needs as a writer. Her play, The Bricklayer, is inspired by her grandmother, Una OHiggins OMalley, whose father, politician Kevin OHiggins, was assassinated in 1927. The Swedish model, she says, works on the system that both parents can work and [government agencies] will mind your kids, so often children are left in state-provided childcare from eight in the morning until six in the evening. For me, as something of a traditionalist, I want to have much more time with my children during the day, so I used to drop them in at Irish Montessori times from nine to one or two so I still get my morning to work and to be with them in the afternoon. It was the best of both worlds. But, as I say, were privileged and can manage on one salary. In Ireland, the prohibitive cost of childcare means many couples opt to have no more than two children. Although Swedens birth rate is lower than Irelands, OMalley notes that many of those in her social circle have three children. It used to be the case that the typical Swedish family was two parents, two kids and a Volvo. Its changed. Now, most of my Swedish friends are having three kids. I was talking about it with one of them the other day and she said its almost a stamp of success to have that third kid. There are other differences, too, aside from monetary concerns, with how children are raised in Scandinavia and Ireland. OMalley says kids there are given much more independence from an early stage. Theyre literally not spoon-fed in childcare they do it themselves. At first I wondered why everyone was sending their kids in in hand-me-down clothes and not really done up like youd see back home, but theyre encouraged to feed themselves and theyve much more freedom when it comes to play, so when they come home their clothes are filthy. Aileen Higgins was struck by how much of an outdoor life children lead in Denmark. Theyre encouraged to explore forest parks. Playgrounds tend not to be designed to be as safe as Irish ones. And in Denmark, as with much of the region, parents are encouraged to leave their babies in prams outside cafes and restaurants in order to enjoy the fresh air. There are rules that you cant do it if the temperature is under minus-ten degrees, she says. But for the rest of the time the children are in their buggies outside. A lot of it is to do with the high level of trust in Danish society. Impressive as both Denmark and Sweden are when it comes to childcare, both countries tend to look enviously to Finland, which is considered by some to be the best in class. Children start school there at seven and, up to that point, there is a very heavily subsidised state-run childcare system in place that barely hits the pocket of anyone, no matter how well off. Dubliner Brendan Humphreys has lived there since 1990 and as the father of an 18-year-old Finnish son, he knows first hand just how good the system is. Its very progressive here, he says, and is very friendly to families, irrespective of income. Preschool childcare is free, or at least very cheap, whereas I believe its very expensive in Ireland and other English-speaking countries. Humphreys, a researcher at the University of Helsinki, says there is a long-standing social contract where people understand that while there may be high taxes, that money is spent wisely and makes their lives better. More bonding time: Brendan Humphreys with his son Kolia, then 14, in 2019 Theres that sense that, yes, there are very good services here, such as childcare, free education, free university education, as well as a very good infrastructure and things like subsidising the heating of housing, which is very important in winter. Finlands forward-thinking attitude to children is evident from the fact that its famous baby boxes a maternity package of baby clothes, sheets, nappies, care products and so on has been given to every expectant mother there since 1938. One of them, naturally, arrived when Humphreys son Kolia was born in 2005. The box itself is actually a comfortable place for the baby, he recalls, fondly. It feels like a welcome gift from the state. When he was born, I was allowed to stay overnight. There are special family rooms where a partner can spend nights with the mum and child. And thats free of course. Stresses that Irish parents have about which school to try to send their children too, particularly for those living in cities, is non-existent in Finland, Humphreys says. The Finnish welfare society ensures that theres no hierarchy of schools, and class sizes tend to be small. By and large, everyone goes to their local state school, he says. Its based on where youre living. Some children go to a school that teaches in another language and my own son went to a French school because his mother is French. Humphreys notes that the low levels of crime and anti-social behaviour mean children are encouraged to be as independent as possible. Young children will go to school on their own here and come back on their own. Even the way they play in Finland offers good imaginative growth. And, yet, despite the huge pluses that come with being a parent in Scandinavia, no country is a utopia. Grace OMalley has concerns about how children are handed smart devices at an early age in Sweden, especially as the conversation in her home country is favouring restricting access to minors. She also has misgivings about how secondary school works there, how theres little room for extra-curricular activities the way there is in Irish schools. Aileen Hickey says that although her family made many friends in Denmark, it could be difficult to acclimatise to the rigid Danish way of doing things. Sometimes, she says, she craved for the sort of friendly human interactions that are commonplace in Ireland. And yet, despite being back in Ireland for three years, she says Danish ideas about raising children will remain. We cycle as much as we can, she says. Thats something we did a lot of in Denmark. And we go out in all weathers. When we first got back, and wed go to playgrounds in winter, virtually nobody would be there. They would all be indoors. Female photographer says professional body is jobs for the boys in gender discrimination case Complainant tells WRC about a sea of men in tuxedos at awards dinner Norma Burke leaves the Workplace Relations Commission in Dublin. Photo: Collins Stephen Bourke Sat 14 Sep 2024 at 03:30 A photojournalist has accused the professional body for press photographers in Ireland of making it disproportionately hard for women to join and of harbouring a jobs for the boys attitude. in court this week, Arakas said he was offered 50k by an expat Lithuanian gang boss living in Spain to murder MMA fighter Remigijaus Morkevicius Kinahan gunman Imre Arakas has described in detail how he stalked his victims before murdering them in cold blood as he lifted the lid on his life as an international hitman-for-hire this week. Arakas pleaded guilty to the murder of a MMA fighter, Remigijaus Morkevicius, in Lithuania in Kaunas District Court on Friday. The veteran criminal also admitted his role in a separate attempt to kill a witness in another murder. In court, Arakas described in detail his chosen career as a gunman for hire a job which saw him hired by the Kinahan Cartel to kill James Mago Gately in 2017. He told how he carefully staked his targets and tried to throw police off his scent before gunning down his victim at close range. Arakas based himself on Spains Costa Del Sol where he worked for a range of organised crime gangs across the continent - including the Kinahan Cartel and Balkans Mafia gangs. In court, Arakas said he was offered 50k by one expat Lithuanian gang boss living in Spain to murder MMA fighter Remigijaus Morkevicius. The convicted killer revealed how he botched a first attempt to kill Morkevicius but, ultimately, killed him in December 2016 with a Kalashnikov assault rifle. "He [Morkevicius] was slightly turned because he was putting on his coat. "There was a distance of about seven metres between us. I fired single shots. I didn't count the shots. "I saw how he fell to the ground," he said In court, Arakas described how he was provided with a gun and detailed information about Morkevicius movements in the Lithuanian capital, Kaunas, by the Spanish-based gangster. "He [the ex-pat mobster] mentioned that Morkevicius is a bastard, that he causes problems. But I didn't remember the details. He added: "There was a lot of information about the situation in Kaunas. "Where the car is parked (near the casino), where Morkevicius lives, what kind of staircase. "He also described his appearance to me - a small man, sporty, provided his car numbers, indicated where there are video surveillance cameras, places of police raids", Arakas said in court. Arakas was extradited to Lithuania last year after serving a sentence imposed by the Special Criminal Court in December 2018, where he admitted to conspiring with others to murder James 'Mago' Gately in Northern Ireland between 3 and 4 April 2017. Arakas had been contracted by the Kinahan cartel to carry out the shooting and boasted in messages that he would take out Gately with one shot in the head. Imre Arakas In court this week, Estonian national Arakas told how he received instructions for the hit in Lithuania on an encrypted Blackberry phone. "The information came in Russian. I spent nine years in a Russian prison, so I know the Russian language," he said. The murder in Lithuania happened just four months before Arakas travelled from Spain to Ireland to kill Mago Gately in April, 2017, as the Hutch Kinahan feud was threatening to spiral out of all control. Arakas told the court that he had previously tried to shoot Morkevicius with a handgun but failed when the gun jammed. "I saw him, he was walking with a child, he was filming something on the balcony of the house. "In Kaunas, near the house, we passed a short distance. "Then I turned around, pulled out my pistol, but it jammed. "He turned around and ran. Arakas then went to Spain, was given a Kalashnikov assault rifle, and returned to shoot the victim in December 2016. During his court hearing, he also admitted attempting to kill a key witness in another murder, Gija Zabachidze, on July 22, 2016. Arakas claimed that he had agreed to kill people for money due to his difficult financial situation. He described in details his careful preparation for the attempted hit on Zabachidze and how he even bought extra-large runners to throw police off the scent if they found footprints. Arakas said that he initially stalked his victim before shooting him. "It was a block of private houses, I went there by bicycle, I bought it here in Kaunas. "It was a folding bike. I went to that area and luckily I saw him. "He was driving the car and I knew the numbers. "He passed me, I turned around and followed him, I saw where he turned. "The same day, or maybe the next day, I threw the bike away, then I returned to the house, went around from the other side and saw him through the big window," he said. Arakas said the fired a number of shots through the window hitting his target. "He was lying on the bed watching TV, the window was open from above. "I didn't want to shoot through the glass because it changes the trajectory of the shot. I hid on the bench to be higher, but I couldn't aim well, I shot twice. Some movements, sounds, a woman's voice were heard. I didn't shoot anymore and retreated. I didn't know if I hit him, I thought I did. Yes, I could have kept shooting through the window glass, probably would have killed them both. I had something [bullets] still to shoot, I still had 12-14 rounds left. I didn't want to kill a woman, he said. Arakas then described how he then dumped anything he had which could link him to the murder. "I bought scissors to cut wires. I threw them into the river. I also bought sneakers at the mall. And I threw them away. In an interview last year with an Estonian media outlet, he said serving his sentence in Ireland for the Gately murder plot was like staying in a three star hotel and said, prison in Ireland is OK if you were friends with the Kinahans. Imre Arakas in Dublin Despite pleading guilty to the Gately murder plot, he bizarrely claimed he was only in Ireland at the time to go camping. Everyone thinks I am an international killer but I was in Ireland for camping. Look at the things I had in my bag. I wasnt going to kill anyone. Arakas is also now facing firearms and conspiracy-related charges in relation to the shooting of Deimantas Diamond Bugavicius in November 2015. The murder had been widely covered in Lithuania. Bugavicius was previously linked to one of the country's most famous pop stars, Vita Jakutiene. Arakas allegedly travelled to the Lithuanian city of Kaunas along with the two other Estonians and they stayed in an apartment rented by a Lithuanian former boxer Ricardas Baika ahead of the murder of Bugavicius. Police said they found Arakas' fingerprints and DNA during a search of the apartment. Imre Arakas appeared by video-link in court Arakas told authorities at a previous court hearing that he could not explain how his fingerprints and DNA were in the apartment and said he never lived in the apartment. it was the murder of Diamond more than 3,000km away in the Baltics that resulted in Arakass arrest in a Kinahan safehouse in Dublin and swept up senior mob men in the plot to kill Gately. Ago Leis, head of Estonias Organised Crime Bureau, previously described how Arakas had been named by underworld sources as Diamonds killer when Lithuanian authorities called in help from their Estonian neighbours. They began to track his movements and discovered that he had become a regular visitor to Ireland during 2016 and 2017 and that the Kinahan gang was known to have used his services before. When it was discovered that he was planning a trip to Dublin in April 2017, Eastern European authorities contacted the Gardai and Arakas was placed under surveillance as he disembarked a flight from Alicante. Disguises belong to the conspiracy. Later, while searching Arakas Tallinn home, we also found face masks and clip-on fake noses, in addition to gloves, hats and a bulletproof vest which should have ensured his safety, the Estonian police chief said. Police at the scene of the assault in east Belfast. Photo: Kevin Scott Police at the scene of the assault in east Belfast. Photo: Kevin Scott Police at the scene of the assault in east Belfast. Photo: Kevin Scott Police at the scene on Saturday morning. Photo: Pacemaker Police at the scene of a serious assault in the Castlereagh Road area of east Belfast. Image: Pacemaker PACEMAKER BELFAST. 14/09/2024 Police are currently at the scene of a serious assault in the Castlereagh Road area of east Belfast. A 21-year-old man has been arrested in connection with the assault, and remains in custody at this time. Police still at the scene this morning. 14.45 hrs Forensic officers arrive at the scene for further examinations. A murder investigation has been launched after a womans body was discovered in east Belfast. Police have said while responding to a concern for safety at the home in the Castlereagh Road area, a womans body was subsequently discovered in the garage. The woman has been named as 43-year old Rachel Simpson from east Belfast. A 21-year old man has been arrested on suspicion of murder and remains in police custody. Detective Chief Inspector Neil McGuinness said: While responding to a concern for safety at a house in the Castlereagh Road area shortly after 10.30pm on Friday 13th September, officers discovered Rachels body in a garage beside the property. "A man, aged 21, was arrested at the scene on suspicion of murder, and he remains in police custody at this time. "Officers remain at the scene as we continue with our investigation. A post mortem will be carried out to establish the cause of death. "I am appealing to anyone who noticed anything suspicious in the area between 5pm and 10.30pm, or who has CCTV or dashcam footage, to please contact Detectives on 101 and quote reference 1693 of 13/09/24. "Any information, no matter how small it may seem, could be very helpful. You can also report online using the non-emergency reporting form via http://www.psni.police.uk/makeareport/ or via Crimestoppers anonymously on 0800 555 111 or online at http://crimestoppers-uk.org/ Earlier today, police were still at the scene of a what was initially reported as a serious assault in east Belfast. Police at the scene of the assault in east Belfast. Photo: Kevin Scott Police forensic teams in white suits were at the scene, on the Castlereagh Road on the corner of Grand Parade, overnight. Locals said there was significant police activity at the scene in the early hours of this morning. Police at the scene of the assault in east Belfast. Photo: Kevin Scott A PSNI vehicle remained outside the scene this morning. A red-brick semi-detached property has been sealed off by police tape. One local said: There is a lot of shock in the community today. Cases of mpox in Ireland remain low, nearly a month after the World Health Organisation (WHO) declared the virus a public health emergency of international concern. Six cases have been reported in Ireland so far this year. There were 13 cases of mpox confirmed in Ireland last year and 227 cases in 2022. The cases seen thsi year in Ireland have been caused by clade II mpox, the type that caused the global outbreak in 2022. The Health Protection Surveillance Centre (HPSC) said the type of mpox that is at the centre of concern in Africa is caused by a different clade: clade I, divided into two subgroups (clade Ia and clade Ib). No cases of clade Ia or Ib mpox have been detected in Ireland. The first vaccine against mpox has been added to the prequalification list of the WHO to assess its quality, safety and efficacy. The prequalification approval is expected to help with timely and increased access to the vaccine in communities with urgent need, to reduce transmission and help contain the outbreak. The manufacturer is Bavarian Nordic and it was reviewed by the European Medicines Agency, the regulatory agency of record for the two-dose vaccine. In mid-August, the WHO declared mpox a public health emergency of international concern following a big rise in the number of cases identified in Africa. A risk assessment was published by the European Centre for Disease Prevention and Control in mid-August and one case of clade Ib mpox has been reported in Sweden. The overall risk for the EU/EEA general population is currently assessed as low, though the risk for people who have close contact with a confirmed or suspected case from affected areas in Africa is moderate, the HPSC said. Mpox spreads through close contact, including contact with the skin rash of someone with the virus. People who closely interact with someone who is infectious are at greater risk of infection. This includes sexual partners, household members and health and care workers. The risk of spread within the community in general is very low. Irelands mpox vaccination programme has been paused since last December. Over 11,000 doses of vaccine were delivered from 2022, with more than 5,000 people fully vaccinated, which was among the best-performing mpox vaccine programmes in Europe. My position hasnt changed Harris is keen to carry on Fine Gael politicians are gearing up for a November election, despite Taoiseach Simon Harris publicly insisting the Government will see out a full term. Despite again saying that his position hasnt changed, Mr Harris failed to play down speculation of a November general election at the partys think-in in Offaly during the week. Most Fine Gael ministers, TDs, senators and general election candidates who spoke to the Irish Independent privately said the election would be called shortly after the Budget on October 1, paving the way for a November vote. Full term means the government going full term, said Mr Harris said. Unless the opinions of the other [coalition] parties have changed, my position is clear in relation to this. Colleagues pointed to the party leaders inability to rule out an election this year and said a government delivering its final budget, in their view, would be a government that had gone full term. However, politicians admitted the Taoiseach would not be able to call an election without having Fianna Fail on side, because the two parties will probably have to join forces again to form a government without Sinn Fein. We have to work together a messy or bad break-up would send a bad message, said one cabinet minister. Fine Gael has set itself the deadline of selecting all its general election candidates by the end of this month. It would be madness to go past Christmas, said a veteran party figure. A majority of politicians said they wanted a pre-Christmas election, while a number of TDs said they expect it to be called before the year is out. The Government would call an election in November if they had any brains Fine Gael members appeared energised at the two-day event in Tullamore, but some fear the Harris hop will not last much longer. It would be better to have the election sooner rather than later in order to maintain the momentum in the party, said one figure. Another politician said they were worried something will go wrong. One TD said the Government would call an election in November if they had any brains, but added that they were unsure if this would happen. This, they believed, was down to their perception that Mr Harris wanted to stay as Taoiseach for as long as possible. While the sitting TDs are getting nervous and jumpy, they believe some of the newer candidates would prefer if the election were next year, giving them more time to prepare. One election candidate also voiced their preference for having an election this year, mainly because of the cost of keeping their name out there. Another TD said they felt policies were not the primary focus of the think-in for many in attendance, and there was just one thing on their minds. However, not all remain convinced a November election is the way to go. Fianna Fail veteran Pat 'The Cope' Gallagher said it is difficult to get canvassers out in bad weather One former minister pointed to the large amount of work still to be done to build up the profiles of new candidates running in constituencies where a sitting TD is not running for re-election. We have a mammoth task ahead of us, the TD said. Asked yesterday whether he was being placed under pressure by Fine Gael colleagues to call a general election given the party is topping opinion polls, Mr Harris said: You can never be complacent about polls. I remember the last local election versus the last general election. I think the key in politics is not to be too excitable. When I became Taoiseach, I was asked was I willing for the Government to go full term. I said I was. I agreed that with the other party leaders. My position hasnt changed. I dont think theirs has, and Im proceeding on that basis. Its my intention to continue to work hard, do my best on a number of key issues. Im only Taoiseach for around 150 days so far. We have a busy agenda, theres lots to do and thats where my focus is at. There will be a general election, its not that far away, and I dont think people outside of the political or media bubble stress about this very much at all. Winter elections and I have fought two are extremely difficult Veteran Fianna Fail politician Pat The Cope Gallagher said he wants an election on October 25, before the clocks go back to allow for more daylight when canvassing. Mr Gallagher spoke after he was nominated to contest the next general election in Donegal. He was first elected to the Dail in 1981, and has been a TD, junior minister and MEP. Winter elections and I have fought two are extremely difficult, he said. Among the problems is the difficulty of getting party canvassers to come out in bad weather, and thats why I favour October 25. Brushing aside questions about his age, the 76-year-old said: I believe I have a biological age of 50 and I have been walking every single day since 2019. Mr Gallagher said he had remained active in politics since losing his Dail seat in 2020. Fianna Fail currently has one TD in five-seat Donegal Agriculture Minister Charlie McConalogue. Party delegates decided on Thursday that Mr McConalogue and Mr Gallagher should stand in the upcoming election. Raidio na Gaeltachta, which covered the selection, reported that Mr McConalogue also favours an autumn election. 100 best hotels in Ireland for 2024 from five-star luxury to brilliance on a budget Our travel editor shares his annual list of the islands top hotels, at every price point The Hot 100 - a sneak preview of Ireland's best hotels for 2024 Pol O Conghaile Sat 14 Sep 2024 at 03:31 A hotel is never finished. We learned that a long time ago, Bill Kelly of Kellys Resort in Co Wexford, which topped last years Hot 100, once told me. Lawrence Call Jr didnt want to vote for Donald Trump again. As a human being, I cant stand him, the 57-year-old told a Democratic door-knocker at his front porch. And President Joe Biden was too old, as he saw it. But now Call had another option: Vice-president Kamala Harris. Shes got a newer outlook, said Call, who is a registered Republican but votes independently after taking a pro-Harris flier last week. Leaning toward voting for Harris, he agreed to bring it to a meeting with fellow veterans. Well be back around, vowed Aiden Graham, the Democratic organiser, as he left for the next house on Calls cul-de-sac. Harris has raised Democratic hopes of winning North Carolina, a populous battleground that has been just beyond their grasp since Barack Obama briefly turned it blue in 2008. The elusive prize represents the partys best chance of winning a state Biden couldnt in 2020, and the race here is a dead heat about eight weeks before election day, according to nonpartisan analysts and strategists from both parties. Harris, who was back campaigning in the state on Thursday, has energised voters in a way Biden could not, Democratic organisers said, forcing Republicans to expend significant resources here. The stakes for Trump are especially high. His likeliest paths to the presidency rest on holding North Carolina and its 16 electoral votes. (Only seven states offer more.) Republicans have nominated polarising candidates up and down the ballot, especially GOP gubernatorial pick Mark Robinson, whose offensive comments keep drawing scrutiny. Trump visited the state yesterday for his fourth campaign visit since Harris replaced Biden at the top of the Democratic ticket. But many Democrats, stung by years of almost-but-not-quite victories, still see themselves as underdogs in a state Biden lost by just over one point in 2020. Republicans have dominated in federal races in recent years even as Democrats held the governors mansion. Democrats havent won a Senate race since 2008 and disappointing presidential outcomes have become the norm since Obamas victory that year ended a Republican winning streak dating back to 1980. Democrats have long believed the states rapidly growing population and demographics including a significant number of black voters and millennial voters put it in play. Yet roughly 40pc of the state lives in rural areas, which tend to be conservative strongholds. Trump is polling better here than he did at this point in either 2016 or 2020, when he ultimately won in the state, and his allies said they see support for his rival levelling off. While Harris is emphasising abortion rights in a state where the procedure is banned after 12 weeks of pregnancy, both Republican and Democratic strategists said economic issues are more salient in this Bible Belt state. North Carolina GOP chair Jason Simmons said his party always expected North Carolina to be close and that polling shows the economy is voters top issue, while security at the US-Mexico border also ranks highly. Those are the issues that are going to drive voters to Donald Trump, he said. Harris spoke at a Thursday rally in Charlotte to a predominantly female audience, including many attendees who wore digital wristbands that flashed red and blue depending on the music and bright green stickers that read, Were not going back. She focused part of her speech on voting rights and pointed out the long history of black Americans fighting for them in the state. She closed with a plea for hard work and a warning not to underestimate the Republican strength in the state. Understand that we are the underdog, she said. Democrats have increased the pressure on Republicans by booking about $50m in advertising in the state. Republicans have roughly matched their opponents in ad spending through this weekend, according to AdImpact. Meanwhile, Democrats have sought to capitalise on fresh energy for Harris. Recruiting less politically engaged union members to organise used to feel like pulling teeth with Biden at the top of the ticket, Graham said. No longer. The ground war is in high gear: As Graham and his colleagues recently knocked on doors for Democrats with the hospitality workers union Unite Here Local 23, they took note of the pro-Trump fliers stuffed into postboxes along their route and ran into a young pro-Harris canvasser from another group. Unite Here canvasser Tekeria Colvin (26) pulled out her phone to play a brief recording of her visit with a group of Trump-supporting men she stumbled across while trying to speak with one of their girlfriends. Trump is top G thats who we need in the chair, a man said, using a slang term for someone who commands respect that is associated with the self-described misogynist influencer Andrew Tate. The man wasnt sure of Harriss first name. (Trump has constantly mispronounced it.) The campaigns are also battling over unaffiliated voters that make up more than one-third of registered voters in North Carolina more than either Democrats or Republicans. Many are prone to changing their minds. In some ways, North Carolina resembles other states that have shifted in Democrats direction, such as Georgia, said Amy Walter, editor in chief of the nonpartisan Cook Political Report, which last month moved North Carolina from leans Republican to toss-up. It has a black population of more than 20pc and lots of out-of-state transplants. But there are key differences. It is a more small town, rural state than Georgia is, Walter said. Cities quickly give way to red territory. She added that Harris needs to post better numbers in the suburbs right outside of Charlotte or right outside of the research triangle, and thats the challenge. Democrats are not only banking on high turnout in large cities including Charlotte, noting the Harris campaign has 26 field offices around the state, including in deep-red counties. Outside Charlotte, in Gaston County, which voted for Trump by nearly 28 points in 2020, a Harris campaign office has attracted voters like Gary Boggess, a registered Republican in his 60s who cant stand Trump and dropped by the Democratic outpost late last month interested in helping. We put a sign in our yard he just cannot win, Boggess told a reporter who ran into him later. In a sign of North Carolinas importance to Harris, the vice-president chose to unveil her first major policy speech in Raleigh just before the Democratic National Convention. When Trumps team has laid out their paths to 270 electoral votes, they have said they might only need to flip two states, Georgia and Pennsylvania as long as they keep North Carolina. They could replace North Carolina with wins elsewhere, but the maths get tougher. GOP operatives acknowledged that Harris has solidified the Democratic base that threatened to revolt against Biden. But Trump officials said they believe Harriss support is now levelling off after a peak. President Trumps position in North Carolina is stronger today than it has ever been since 2016, said Trump campaign spokeswoman Karoline Leavitt in a statement, predicting Harris will lose ever more support as more and more North Carolinians understand just how dangerously liberal she is. In a major victory for Trump, a North Carolina court recently granted independent candidate Robert F Kennedy Jrs last-minute request to have his name removed from ballots, delaying absentee ballots that were supposed to start being sent out last Friday. RFK Jr endorsed Trump as Republicans worried that he would pull more voters from their side than from Democrats. While Trump has often bashed mail voting, he recently released a TikTok encouraging North Carolinians to take advantage of absentee ballots. I still think that the wind is at Trumps back in North Carolina, said Paul Shumaker, a longtime GOP strategist in the state who predicted Harris would struggle to overcome voter concerns about immigration and the economy. Trump returned to North Carolina yesterday for a police union event where he reprised his disparaging nickname for Harris Comrade Kamala and portrayed her as too far left. He spoke in his usual, apocalyptic terms, rejecting federal statistics that show a decrease in murders. Kamala Harris and the communist left have unleashed a brutal plague of bloodshed, crime, chaos, misery and death upon our land, Trump said. Some Republicans in North Carolina have grown nervous about downballot candidates such as Robinson, who fires up the base but has alienated many other voters. In a statement, Harris campaign spokeswoman Dory MacMillan said the vice-presidents positive vision for the future contrasts with that of Trump and his MAGA allies like Mark Robinson. Yet Republicans say they see little evidence in the polls that Robinson is dragging Trump down and believe many voters will split their tickets as they often do in North Carolina. Increasing the passenger cap at Dublin Airport will increase revenues for airlines, but it will also be damaging to the environment. Photo: Getty There has been much debate about raising the passenger cap at Dublin Airport. Those with vested interests namely DAA, Ryanair and Aer Lingus have talked about disastrous consequences at Christmas if the cap is not lifted. We are led to believe that these consequences will take the form of flight cancellations, big increases in air fares and perhaps even the country grinding to a halt. This is all designed to put pressure on the Government to overturn the cap and it seems to be succeeding. It is important to ask why the cap of 32 million was put there in the first place under the planning approval for a new runway. People who have to live adjacent to, as well as those under the flight paths of both runways, have to put up with severe noise pollution and toxic air pollution. Fingal County Council has a duty to protect these people. It would make a mockery of our planning laws if this were allowed happen. Through reasonable planning and organisation, DAA and the Government could make better use of Shannon, Cork and Knock airports, all of which are underused. This could also help reduce the congestion at Dublin Airport, in terms of both passenger numbers and parking availability. Raising the passenger cap will add significantly to greenhouse gases at a time when all other industries are trying to reduce their carbon footprint. Other airports across the world are also making clear efforts to reduce their footprint. Why should we be a dumping ground for other airlines? Jim Scully, North Dublin Social Democrats might be pleasantly surprised if they run a candidate in Kerry It has been reported locally that the Social Democrats do not intend to run a candidate in Kerry in the forthcoming general election. This is disappointing news, particularly from a party whose leader is seeking to bring a fresh and vibrant perspective to the pale, stale and predominantly male-centred administrations that have governed us for a century. Nowhere is this more evident than the Social Democrats relentless focus on Gaza and the suffering of the Palestinian people. Led by Holly Cairns, the party has been consistent on the Occupied Territories Bill. Make no mistake, Gaza and the West Bank will be an election issue. We in Kerry could really use some fresh faces who are actually willing to do something. It would be much better to have that instead of another celebrity candidate from Fine Gael who, most likely, will promise more of the same. If we are to take the Taoiseachs word that there will be no election until spring, perhaps the Social Democrats might reconsider and shake things up in the Kingdom with a celebrity pick of their own. Tom McElligott, Listowel, Co Kerry Women forced to resign after getting married deserve overdue redress In recent years the State has introduced redress schemes for a number of honourable groups and sectors. It is now past time for such respect to be shown for a hidden yet equally deserving cohort. I am referring to the dwindling number of women who were forced to resign from their employment after getting married. This remained law until 1973 and it resulted in their loss of pension rights. As a matter of interest, all people over 80 in the UK, who have been resident there for 10 of the previous 20 years, qualify for a weekly pension of just over 80 (95). No such acknowledgment here. Anne Cahill, Clondalkin, Dublin 22 Breath of fresh air to see Donald Trump getting called out for his wild lies On Tuesday night, something happened during the US presidential debate that should have become standard years ago: real-time fact-checking of Donald Trumps wild, baseless claims. When Trump repeated his long-debunked assertion that states allow the execution of babies after birth and falsely claimed that immigrants are eating peoples pets, moderators David Muir and Linsey Davis called him out. They did so swiftly, directly, and with zero tolerance for his reckless disregard for the truth. Trumps strategy has always relied on overwhelming the conversation with so much misinformation that it becomes impossible to fact-check everything in real time. However, allowing him to spew falsehoods without immediate correction feeds the misinformation machine he has built. Tuesday nights moderation was a long-overdue correction to a years-long problem. The simple truth is that facts matter. When Trump is allowed to say anything without consequence, no matter how blatantly untrue, it chips away at the foundation of democracy. The moderators actions on Tuesday night werent revolutionary, but they were very necessary. The era of letting Trumps lies go unchecked needs to be over for good. Every lie should be called out immediately, with the same sharp precision we saw on that debate stage. Anything less is a disservice to the American public. Jessica Toal, Belfast Cats are beautiful, trusting creatures that deserve to be treated better by people The internet memes depicting cats getting eaten by hungry immigrants are not at all funny for us cat fans. The average lifespan of an indoor cat is about three times that of outdoor felines. Within that piece of information lies a solution for anyone worried about their pet cats safety. I suggest always keeping your cat on a leash during walks. Failing that, just keep them indoors at all times. If you wont do it for the vulnerable wildlife potentially killed by your roaming cat, then please do it for your also-very-vulnerable cats sake. I grew up around cats, including strays, and developed an appreciation for them. As a boy, stumbling upon a dead cat was quite traumatising. They were lost to larger predators perhaps even humans. I knew about a few guys willing to do sick things to naively trusting pets whose owners had allowed them to wander the neighbourhood. Domesticated cats offer reciprocally healthy relationships, particularly for those suffering with physical or mental illness. However, human apathy and even a bit of public hostility toward cats typically results in population explosions. This leads to their inevitable neglect and suffering, including severe illness and starvation. They deserve better. Frank Sterle Jr, British Columbia, Canada Tralee's Paula Silles pictured at the launch of Bewleys Big Coffee Morning for Hospice, one of Together For Hospices biggest fundraisers, in Bewleys Cafe, Dublin. Photo by Conor McCabe Photography. When she first started out hosting a Bewleys Big Coffee Morning for Hospice in 2006, little could Tralee native Paula Silles who was then just 25 years old have known that she would still be hosting the vital fundraiser 19 years later. In all those years, Paula has raised an incredible 27,500 in total for the Kerry Hospice Foundation, a group that has always been very close to her heart and even more so since 2018 when she lost her beloved mom, Maureen, to breast cancer. This year, Paula has said that she is on a mission to beat last years total of 4,300 and is confident that with the support of the people of Kerry once again, this can be achieved. Just to add another feather too to Paulas cap, she is also one of the few people in the country still running a drive-through fundraising day a Covid-era ploy to bypass social distancing regulations. This longstanding and much-loved national event, co-ordinated by Together for Hospice, takes place nationally on September 26 but Paulas own drive-through Bewleys Big Coffee Morning for Hospice will instead take place all day at her home from 10am to late evening, on Saturday, September 28. Mum was cared for for six weeks in palliative care in Kerry Hospice Foundation, recalls the mum-of-three, adding they were like angels in disguise, we couldnt have asked for more. They are unreal, each one that came in was just as nice as the next. They gave mum all the care they could give her. It was Maureen who first fostered her own desire to reach out to help others. She was the most amazing, beautiful and bubbly lady, always supporting charities, she was only 64 when she was diagnosed with breast cancer. She was the life and soul of all family gatherings and parties and she would always support the Hospice, Paula continued. Hundreds of people drive through the Silles family home in Tralee every year, winding down their windows to pick up a tea, coffee or a slice of cake before dropping off a donation and Paula makes sure to enlist the help of husband Tony, along with their children Luke, Oran and Aimee. Together for Hospice, The National Hospice Movement, represents 24 Hospice and specialist palliative homecare providers supporting patients and their families across Ireland. Funds raised locally stay local and go directly to each local Hospice service to innovate, build new facilities, develop new services and deliver quality improvements and extra benefits for their patients and their family members. If you are interested, you can register to host a coffee morning on Thursday, September 26 - or on a date that suits you at hospicecoffeemorning.ie or by calling 0818 995 996. If you cannot host or attend a coffee morning, you can make a donation at hospicecoffeemorning.ie/donate Does somebody have to get killed? Kildare primary school students protest for a safer access route to school A mother and a child were hit by a van while walking to school in January of this year Students and parents from St Patrick's Primary School in Celbridge protest over lack of safe access route to school Aisling Bolton-Dowling Sat 14 Sep 2024 at 11:00 Hundreds of Kildare primary school children in Celbridge blocked morning rush-hour traffic on Friday morning in a protest at the lack of a safe access route to St. Patricks Primary School in Celbridge, Co Kildare. A total of five local charities and community groups have become the latest beneficiaries of ALDIs Community Grants programme, with each organisation receiving gift cards from the ALDI store teams in County Louth. Receiving 500 ALDI gift cards are Womans Aid Dundalk, Ardee & District Cancer Support, Gary Kelly Cancer Support Centre and Cystic Fibrosis Ireland. For 2024, to coincide with ALDI Irelands 25th anniversary, the retailer has also selected 26 charities and community groups, one per county, to receive an additional support of 2,000 worth of ALDI gift cards, bringing the total donation to those chosen organisations to 2,500 worth of ALDI gift cards. In County Louth, Dogs Trust Ireland has been chosen to receive this award. ALDIs Community Grants programme helps fund local charities and community organisations that contribute vital work and services in their local communities. Each local organisation supported is chosen by ALDI employees, enabling them to help the local good causes they are passionate about. By the end of 2024, the programme will have provided 758,000 in donations to more than 1,000 local charity branches and community groups across Ireland since its inception in 2016. Receiving charities and community organisations range from rescue teams to animal sanctuaries, cancer support to mental health support, or any charitable organisation that resonates with ALDI colleagues. Rachel Nugent, National Sustainability Manager, ALDI Ireland said: We are delighted to continue to support local charities and community groups across the country through the ALDI Community Grants programme and to see the impact of our donation in the local communities of County Louth. The Community Grants programme is one example of how ALDI is committed to investing and partnering with local communities and charities across Ireland and we look forward to continuing this engagement for years to come. Additionally, 2024 is a special year for ALDI as we mark 25 years of operating in Ireland. To mark that milestone moment, we expanded our Community Grants programme this year." Operating five stores in County Louth, ALDI is deeply involved in the local community. To date, the stores have donated over 83,000 melas to local charities through ALDIs partnership with FoodCloud, avoiding a total of 112,000kgs of CO2e. ALDI partners with nine innovative Louth food and drink producers, spending 14 million with them in 2023. Delegation from Enniscorthy to make 35,000km round trip to Blacktown in Australia Wexford County Council also approved trips to the Netherlands and London at this months meeting A delegation from the Enniscorthy Municipal District will travel to Blacktown, Sydney, Australia. Padraig Byrne Wexford People Sat 14 Sep 2024 at 13:00 While foreign travel has generated some debate within the chambers of Wexford County Council in the past, there was little discussion around what is likely the furthest trip ever undertaken by the local authority at the most recent meeting. 2024 was a great year for Corncrakes in Ireland as the number of territories (233) was the highest recorded in 25 years. As the release of Junior Cycle exam results and a general election both loom on the horizon, Friends of Earth commissioned a panel of experts to mark a report card on the achievements of the present coalition government with regard to the environment. The overall conclusion of the recent report is that progress is being made and that government policies are coming more in line with the overarching global objective of achieving sustainability for our common future. In 1987, the United Nations Brundtland Commission defined sustainability as meeting the needs of the present without compromising the ability of future generations to meet their own needs. The recent record card accepts that the Irish government is addressing the climate and biodiversity crises but notes that the ambitions set, and the targets being achieved fall far short of the coalition partners own climate and environmental promises and what is required to make a meaningful difference. Strengths in the governments performance hailed by the three academics who marked the cord card include turning a corner away from our climate laggard origins, achievement of a lot of the commitments made in the Programme for Government, playing an outsized role in passing of the EU Nature Restoration Law, enacting legislation for a Climate and Nature Fund, continued improvements to the work of the National Parks and Wildlife Service, the trebling of organically farmed land, the launch of a National Biomethane Strategy, and the laying of foundations for the incoming and future governments to build a sustainable country and make Ireland a genuinely sustainable economy. Weaknesses noted in the governments performance included broken promises to improve biodiversity education and to designate western lakes as salmonoid lakes, lack of progress on achieving better water quality, failure to address sustainability issues in agriculture, forestry and fishing, and the significant and inexcusable delay in the commitment to develop legislation on Marine Protected Areas. The record of the present coalition government on environmental commitments is rated Good with the observation that the next government will need to increase the pace of change significantly and take much stronger action. Ten months after he last tabled a motion calling on Wexford County Council to fly a Palestinian flag at County Hall in solidarity with the thousands of men, women and children losing their lives in Gaza, Sinn Fein councillor Tom Forde found a slightly more favourable reception at the most recent council meeting. In November of last year, Cllr Forde sought to have the Palestinian flag flown for the International Day of Solidarity with Palestine. Despite the council having previously agreed to fly the flag of Ukraine from the building, he was told by then Chief Executive Tom Enright we have to be careful not to make political statements. Additionally, having previously called for the flag of Israel to be flown at County Hall, Fianna Fail councillor Michael Sheehan objected, stating people of certain religious beliefs would be very concerned if we were to fly the flag of people who are trying to wipe them from the face of the earth". He was slammed for an either deliberate or ignorant conflation of Hamas and innocent Palestinian people. The topic was subsequently kicked back to the councils protocol committee and the Palestinian flag was never flown. This year though, Cllr Forde wanted to get in good and early. His council colleagues, Cllr Sheehan included, put forward no objections, and with a new Chief Executive at the top table, it seems there will be visible support for Palestine at Wexford County Council in November. "Given that the government has recognised the state of Palestine, I would like to see this finally happen," Cllr Forde said, putting the motion before his colleagues. As was the case last year, the motion was seconded by Labour councillor George Lawlor. Perhaps noting some the commentary ten months previously, he added: "I think it's important to state that the flying of the flag is in support of the Palestinian people, not to endorse Hamas a terrorist organisation that has placed massive troubles on the shoulders of the Palestinian people. "Having said that, the Israeli response has been totally disproportionate and the level of devastation being caused to ordinary Palestinian people is absolutely appalling. Aontu councillor Jim Codd also voiced his support, stating: If we dont fly the Palestinian flag now, we can't fly any other flag afterwards. These people are suffering beyond belief. County Secretary Michael Drea vowed to deal with Cllr Forde's request and return to him on the matter. Senator Pat Casey has welcomed proposals brought to Cabinet by his Fianna Fail party colleague Minister for Health Stephen Donnelly that would ban the sale of disposable vapes. The proposals would also restrict the sale of flavoured vapes, which often appeal to children, as well as a ban on point-pf-sale advertising displays in shops other than specialised outlets that only sell vapes. Minister Donnelly has received approval from the Cabinet to draft legislation introducing further restrictions on nicotine inhaling products. The Nicotine Inhaling Products Bill proposes to ban the sale, manufacture or import of single-use or disposable vapes in Ireland. The new legislation also limits vape flavours to tobacco, with provisions for the list of allowed flavours to be amended pending new evidence. Senator Casey said: "Disposable vapes are a blight on our environment. They are hazardous if not recycled properly and they can be seen littered across the country, in all of our communities, in even the most remote locations. "It is well documented that they have a detrimental impact on the climate and our biodiversity as the lithium batteries within these vapes are very toxic form of litter and could be releasing toxic compounds into the environment. "For both environmental and public health reasons, banning disposable vapes is a hugely important step that will protect younger people in particular, who often impulse by them in shops and experiment with them." Senator Casey welcomes the fact that the legislation will tackle advertising displays, packaging and flavours, as these are targeted at younger people. He said: "The bright colours and imagery used to promote flavoured vapes are used to attract young people into impulse purchases. By introducing plain packaging for vapes we will reduce their appeal to youth and non-users, and by banning point of sale advertising displays we will reduce 'gateway' vaping among young people. "The efforts of Minister Donnelly to tackle the scourge of youth vaping must be applauded. My party colleagues and I in Fianna Fail recognise that nicotine is a highly addictive drug, and we are acting to make these products less accessible to our young people and to remove them from their everyday lives." Its difficult to hear Volodymyr Zelensky tells of frustration as West mulls long-range missiles use by Ukraine against Russia Angry Putin says such strikes would mean Nato is at war with Russia Female volunteers stand in line during a training course yesterday for national resistance offered to the local population in Ukraines Kharkiv region. Photo: AP Olena Harmash Sat 14 Sep 2024 at 03:30 Ukrainian president Volodymyr Zelensky expressed his frustration yesterday at the continued restrictions on the use of Western weaponry against Russian targets. Irish News Irish soldiers tell families they may not be able to come home at end of tour as Israel digs in around UN base in Lebanon Donald Trump has just ducked out of a second debate against Kamala Harris presumably because he remembered the trouncing he got during the first round (although he seems to think that Hannibal Lecter is a real person, so thats a coin toss). His reasoning? Why, because he clearly won the first debate, of course, so he doesnt see a need for a second one. You know, the debate where he said that immigrants are roaming the country looking for pets to eat, and talked about executing newborns? Those are all the signs of a slam-dunk victory, baby. Representational image from X/OpenWeb New York/IBNS: The appointment of Tim Harvey as the new interim CEO of tech unicorn OpenWeb has sparked a dramatic showdown with the companys founder, Nadav Shoval. Shoval has refused to step down, leading to a public and contentious email exchange between him and Harvey. The conflict began after OpenWeb's board announced Harveys appointment, which Shoval claims breached his contract. In a LinkedIn post, Shoval announced his decision to remain in his position, stating, I have not stepped down as OpenWebs CEO. He accused the board of ignoring serious issues and proceeding with a leadership transition abruptly. Instead of addressing the issues, the Board chose to announce a leadership transition at a sudden Company-wide meeting, continuing to act against OpenWebs best interests, Shoval wrote. Shoval reiterated his stance in an email to OpenWebs staff, emphasizing, The board has falsely stated that I am stepping out of my role as CEO. Let me be clear: that is not true and not my intent, as reported by Fortune. In response, Harvey assured staff that the transition process was continuing as planned. We are progressing with the CEO transition process as planned, Harvey stated in his reply, according to Israeli outlet CTech. He also emphasized the companys commitment to handling the situation respectfully and maintaining stability, stating, Our priority remains the continued success, stability, and providing value to our partners. Meanwhile, OpenWeb has updated its website to reflect Tim Harvey as the Interim CEO and removed Shovals name. In a previous statement, the company acknowledged Shovals contributions and welcomed Harvey as the new interim leader, thanking Shoval for his dedication and leadership. NASA astronauts Suni Williams (pictured left) and Butch Wilmore (pictured right). Photo Courtesy: NASA website NASA astronauts Sunita Williams and Butch Wilmore, who are currently stranded in the International Space Station (ISS), on Friday said they are planning to caste their votes in the upcoming US Presidential polls from space, a rare event that will be registered in the history of space journey. Wilmore said he had already requested a ballot. "They should get it to us in the coming weeks," he was quoted as saying during an interaction with the media as quoted by BBC. Williams said the experience of casting a vote from space will be 'pretty cool'. Williams said she missed her family members back on Earth. "I know they understand. I know it's tough on them as well," Williams says. "But everyone understands and everyone is cheering for us to get back." Astronauts can vote from space since 1997.It was the same year when Texas legislature passed a bill allowing astronauts to vote from space. David Wolf was the first American to vote from space in the same year, reported Washington Examiner. When asked about their feelings when the Starliner left without them, the astronaut said: " We were watching our spaceship fly away." The press conference occurred just a week after Starliner returned to Earth without any astronauts. Boeing's Starliner Spaceship has returned to Earth from the International Space Station (ISS) without carrying astronauts Sunita Williams and Barry E Wilmore who remain in space. The Starliner arrived at 10:01 p.m. MDT Sept. 6 at White Sands Space Harbor in New Mexico. I am extremely proud of the work our collective team put into this entire flight test, and we are pleased to see Starliners safe return, Ken Bowersox, associate administrator, Space Operations Mission Directorate at NASA Headquarters in Washington, said last week. Even though it was necessary to return the spacecraft uncrewed, NASA and Boeing learned an incredible amount about Starliner in the most extreme environment possible. NASA looks forward to our continued work with the Boeing team to proceed toward certification of Starliner for crew rotation missions to the space station," Ken Bowersox said. The flight on June 5 was the first time astronauts launched aboard the Starliner. It was the third orbital flight of the spacecraft, and its second return from the orbiting laboratory. NASA astronauts Barry E Wilmore and Sunita Williams launched on June 5 aboard Starliner for the agencys Boeing Crewed Flight Test from Cape Canaveral Space Force Station in Florida. On June 6, as Starliner approached the space station, NASA and Boeing identified helium leaks and experienced issues with the spacecrafts reaction control thrusters. Following weeks of in-space and ground testing, technical interchange meetings, and agency reviews, NASA made the decision to prioritize safety and return Starliner without its crew. "Wilmore and Williams will continue their work aboard station as part of the Expedition 71/72 crew, returning in February 2025 with the agencys SpaceX Crew-9 mission," read the NASA statement. The crew flight test is part of NASAs Commercial Crew Program. The goal of NASAs Commercial Crew Program is safe, reliable, and cost-effective transportation to and from the International Space Station and low Earth orbit. This already is providing additional research time and has increased the opportunity for discovery aboard humanitys microgravity testbed, including helping NASA prepare for human exploration of the Moon and Mars. Photo Courtesy: S Jaishankar X page External Affairs Minister S Jaishankar visited Geneva on September 12-13 when he interacted with the leadership of international organisations situated in the Swiss city. "During his visit, EAM interacted with the leadership of International Organisations in Geneva, the United Nations High Commissioner for Human Rights, Mr. Volker Turk, and the Director General of the World Health Organisation, Dr Tedros Adhanom Ghebreyesus," the Ministry of External Affairs said in a statement. He shared Indias approach to multilateralism, our perspectives on the evolution of human rights, current global human rights situation, and ways to address various challenges to the human rights ecosystem. He also discussed ways to deepen cooperation in public health and promote Traditional Medicine systems globally. The EAM dedicated the newly built state-of-the-art Permanent Mission of India in Geneva, which houses our Missions dealing with the UN and other International Organizations, Conference on Disarmament, World Trade Organization, and the Consulate General of India. Dedicated the new building, which houses India's Permanent Missions to UN, WTO and CD, as well as hosts our Consulate in Geneva. The state - of - the - art facility befits India's expanding global footprint and deepening engagement with international institutions. pic.twitter.com/ZyfvaaVbYz Dr. S. Jaishankar (@DrSJaishankar) September 13, 2024 The EAM also dedicated a statue of Dr. Bhimrao Ambekar at the Permanent Mission, and named a hall in memory of Hansa Mehta, who played a key role in promoting gender equality during the drafting of the Universal Declaration of Human Rights. He also planted a tree under the Ek Ped Maa Ke Naam initiative. Subsequently, the EAM interacted with a large gathering of the Indian Community and Friends of India at the Permanent Mission. He highlighted rapid strides made by India and Indias vision of engaging with the world. Jaishankar delivered a talk on "Global Tectonics: The Indian View of a World in Churn at the Geneva Centre for Security Policy (GCSP), which was attended by a cross section of people in Geneva, including from the diplomatic community, academia, think tanks, student community and the Indian diaspora. In a special gesture, Swiss Foreign Minister Ignazio Daniele Giovanni Cassis hosted EAM in Geneva. The two leaders held wide ranging discussions on bilateral ties, with special focus on leveraging the Free Trade Agreement between India and EFTA States (Switzerland, Norway, Iceland, and Principality of Liechtenstein) to boost trade and investments. The leaders also discussed global and regional issues of mutual interest. Photo Courtesy: UNI File Indian security forces have killed two terrorists in Jammu and Kashmir's Baramulla district on Saturday, media reports said. The gunfight began in the Chak Taper Kreeri in the Pattan area of the north Kashmir district late Friday after a joint operation was launched by the Indian Army and Jammu and Kashmir police based on specific intelligence input regarding the presence of terrorists, reported NDTV. The operation is ongoing. Two soldiers were killed during a fierce gunbattle with terrorists in Jammu and Kashmir's Kishtwar on Friday, the Indian Army said. Two other soldiers also received injuries while in action in the Kishtwar encounter. In a separate encounter in Kathua, troops of the Rising Star Corps shot dead two terrorists. In a statement, the army said that based on intelligence inputs, a joint operation was launched with Jammu and Kashmir Police at Kishtwar. Contact was established with terrorists at 3:30 PM. In the ensuing firefight, four army personnel were injured, the army said. The operation was underway till the last report was received. The gunbattle in Kishtwar's Chatroo began after the security forces launched an operation in the area. The encounter is still going on, the White Knight Corps said in a post on X. According to reports, these same terrorists were involved in the encounter in Kishtwar and are linked to another encounter in Doda in July, when four soldiers including an officer were killed in action. The encounters come days ahead of the Jammu and Kashmir election in Kathua that will commence on Sept 18. SEBI chief Madhabi Puri Buch. File photo by SEBI via Wikimedia Commons New Delhi/IBNS: The Indian National Congress (INC) has launched a fresh attack on Madhabi Puri Buch, the chairperson of the Securities and Exchange Board of India (SEBI), accusing her of misconduct. The party alleges that Buch not only traded listed securities worth Rs 36.9 crore during her tenure at SEBI, the regulatory body for securities and commodity market in the country, but also invested in Chinese funds. At a press conference on Saturday (Sept. 14), Congress spokesperson Pawan Khera claimed that these transactions occurred between 2017 and 2023, the period during which Buch served as a Wholetime Member and later as Chairperson of SEBI. "These transactions breach Section 6 of the SEBI Code on Conflict of Interest for Members of the Board (2008)," Khera stated. The Congress also accused Buch of holding foreign assets, including investments in Chinese funds, during the same period. Khera demanded clarity on when Buch first declared these foreign assets to any government agency and which agency was informed. He specifically cited investments in Global X MSCI China Consumer (CHIQ) and Invesco China Technology ETF (CQQQ) among the international funds involved. This criticism follows a statement issued by Buch and her husband, Dhaval Buch, addressing allegations against them. These allegations range from consulting assignments Dhaval Buch took after retirement while Madhabi was at SEBI, to their firm Agora Advisory, which reportedly received payments from listed companies such as Mahindra Group, Pidilite, and Dr Reddy's Labs. Additional claims include rental income from a company linked to Wockhardt and payments from ICICI Bank even after Madhabi left the bank. In their joint statement, the Buchs denounced the allegations as "false, incorrect, malicious, and motivated," and accused the Congress party of making false claims in stages to keep the issue alive. Congress has rejected the Buchs' explanations as misleading and has raised further questions for both Buch and the ruling BJP government, including Prime Minister Narendra Modi Is the PM aware that the SEBI Chairperson has been trading in listed securities while possessing Unpublished Price Sensitive Information? Is the PM aware of Madhabi Puri Buch's high-value investments abroad, including the dates of these investments and their disclosure? Is the PM aware that the SEBI Chairperson has been investing in Chinese firms amidst ongoing geopolitical tensions between India and China? The Congress has dismissed responses from companies like ICICI Bank, Mahindra Group, and Pidilite as inadequate, maintaining that payments made to Buch or her associated entities violate SEBI's Code on Conflict of Interest for Members of the Board (2008). Photo Courtesy: Pixabay Locals thrashed a shopkeeper in Uttar Pradesh's Ghaziabad region after he allegedly mixed fruit juice with urine while serving it to customers. Police have detained the owner of the shop who was identified as Aamir Khan. Khan is the owner of Khushi Juice Corner. Police also detained his minor assistant. The matter came to light after some customers noticed that the shopkeeper was mixing some yellowish liquid with fruit juice. Locals gathered around the shop and started beating the shopkeeper. Police reached the spot and recovered a plastic can filled with urine. Senior police officer Bhaskar Verma told India Today the police were trying to find out the motive behind the act. "After the information was received on September 13, the police promptly arrived at the scene and found approximately 1 litre of suspected urine from a can at the shop. The police have arrested Aamir Khan. An FIR has been registered, and further legal action is underway," Verma said. Senior Chinese military official meets Kazakh, Vietnamese defense ministers Xinhua) 10:24, September 14, 2024 BEIJING, Sept. 13 (Xinhua) -- Zhang Youxia, vice chairman of the Central Military Commission, on Friday met with Kazakh Defense Minister Ruslan Zhaksylykov and Vietnamese Defense Minister Phan Van Giang respectively in Beijing. Both Zhaksylykov and Giang are in Beijing for the 11th Beijing Xiangshan Forum. During his meeting with Zhaksylykov, Zhang called on the militaries of China and Kazakhstan to enhance exchange at all levels, improve the quality and effectiveness of cooperation in various areas, and make positive contributions to building a China-Kazakhstan community with a shared future. Zhaksylykov said the Kazakh military is willing to enhance pragmatic cooperation with the Chinese military on joint exercises and international peacekeeping to advance bilateral ties. When he met with Giang, Zhang said the militaries of China and Vietnam should follow the guidance of the leaders of their two parties to promote their traditional friendship and continue deepening mutual understanding and trust. Giang said the Vietnamese military stands ready to work with the Chinese military to create new highlights in their exchange and cooperation. (Web editor: Zhang Kaiwei, Liang Jun) Photo courtesy: Screenshot grab Kolkata/IBNS: In a dramatic crisis-management move, West Bengal Chief Minister Mamata Banerjee on Saturday made a surprise visit to the protest site of junior doctors, who are on ceasework demanding justice for the RG Kar rape-murder victim, and assured to act against anyone found guilty. Mamata made the visit a day after the meeting between her and junior doctors failed to take place over the protesters' demand for the live-streaming of the discussion. Junior doctors' march to Swasthya Bhavan | Image by Avishek Mitra/IBNS Speaking to the agitators, the Chief Minister said, "I salute your protest. I have come up from the students' movement too. It rained heavily last night. I couldn't even sleep thinking of the pain you had suffered." "If I can come to the dharna site, then please keep faith in me. I don't run the government alone. I don't keep track of everything. I will investigate and if anyone is found guilty, I will take appropriate action," she added. Junior doctors' march to Swasthya Bhavan | Image by Avishek Mitra/IBNS The Chief Minister, who was greeted with 'We Want Justice' slogans, assured no action will be taken against the junior doctors who are on ceasework. "This is my last appeal to you all," Mamata, who announced that all hospital committees will be dissolved, said. "I want justice for Tilottama. I will urge the CBI to expedite the probe," the Chief Minister added. I've come here as your elder sister, not as your Chief Minister! I've come here as your elder sister, not as your Chief Minister! Smt. @MamataOfficial requests the protesting doctors to resume services as she promises to stand beside them in the quest for JUSTICE! pic.twitter.com/tB9FsbOIZ7 All India Trinamool Congress (@AITCofficial) September 14, 2024 "I am not here as the Chief Minister but as your Didi as your elder sister. I urge you to return to work. I support your movement for justice," she told the agitating doctors. "We have already initiated the renovation work of the state-run hospitals and will revamp the health infrastructure...We will form new Rogi Kalyan Samitis...No culprit is my friend and will not be spared if found guilty," she added. In response, the protesters said, "We appreciate her effort. We are too ready for talks anywhere at any time. But we are firm on our demands." Image by Avishek Mitra/IBNS West Bengal junior doctors' sit-in protests outside state Health and Family Welfare headquarters- Swasthya Bhavan- entered the fifth day on Saturday after the efforts to hold a discussion between the protesters and the government on the RG Kar rape-murder incident failed on Thursday evening. The junior doctors refused to enter into a dialogue with Chief Minister Mamata Banerjee after the government refused to stream the meeting live. The VULTURES circling this tragedy, hoping to weaponise it for their selfish gain, are delusional if they think they can hijack genuine protests & twist it into a FIGHT FOR THE CHAIR! Bengal will not allow these PARASITES to appropriate grief & suffering for POLITICAL MILEAGE! pic.twitter.com/nhN9BFp32H All India Trinamool Congress (@AITCofficial) September 12, 2024 In response, the protesters said, "We appreciate her visit to the dharna site. But we are not backing out from our demands. We are ready for talks anywhere at any time." Despite the government asking the protesters to send a 15-member team for the meeting, a 30-member delegation of junior doctors reached the state headquarters Nabanna. Though the government accepted the 30-member team, it remained firm on denying live streaming but allowed recording of the meeting. After the doctors refused to participate in the meeting without live streaming, Chief Minister Mamata Banerjee, who claimed she waited for two hours at Nabanna Sabhaghar, accused the protesters of aiming to capture political power and not seeking justice. SUV submerges in Faridabad underpass. Photo Courtesy: X video grab A private bank manager and a cashier died after the SUV in which they were travelling submerged in water in a flooded underpass in the Faridabad region of Delhi on Friday amid heavy rains that have disrupted normal life in the Indian capital city. Police told NDTV Punyashreya Sharma, who was the manager of HDFC Bank's branch in Gurugram's Sector 31 and Viraj Dwivedi, who was a cashier there, were returning home to Faridabad in a Mahindra XUV700 on Friday evening. They reached the Old Faridabad railway underpass which remained flooded but could not gauge the level of the water. They tried to swim out of the car but drowned to death. Police officials told the Indian news channel that a team reached the underpass on getting information about an SUV being stuck there and while Sharma's body was pulled out of the vehicle, Dwivedi's body was found only at 4 am on Saturday after an hours-long search. The India Meteorological Department earlier issued orange alert for New Delhi. The IMD alerted that a depression over southwest Uttar Pradesh caused the rain. "Heavy to very heavy rainfall with isolated extremely heavy falls at a few places over Uttarakhand; Heavy to very heavy rainfall at isolated places over Uttar Pradesh; Heavy rainfall at isolated places over East Rajasthan, Haryana, West Madhya Pradesh, Delhi & Bihar," IMD said. Heavy rainfall was also reported from Kolkata and other parts of West Bengal. "The low-pressure area over southeast Bangladesh and neighbourhood moved west northwestwards and lay as a well marked low-pressure area over northeast Bay of Bengal & adjoining southeast Bangladesh coast at 0830 hours IST of today, the 13th September, 2024. It is likely to move west-northwestwards and intensify into a depression over coastal West Bengal & adjoining northwest Bay of Bengal by tomorrow, the 14th September," the statement issued by IMD said. Photo Courtesy: Unsplash A ragpicker was injured after a blast occurred in Central Kolkata on central Kolkata on Saturday. The blast reportedly occurred at around 1.45 pm. The area was cordoned off by security officials after the blast. Bomb Detection and Disposal Squad (BDDS) was summoned to investigate the site. BDDS personnel conducted a thorough inspection of the bag and the surrounding area. Following their clearance, traffic in the vicinity was resumed, sources told India Today TV. A forensic investigation is also underway to determine the cause and the nature of the blast. The injured ragpicker was identified as Bapi Das. He was rushed to city's NRS Medical College and Hospital for treatment. Mamata Banerjee addressing junior doctors at dharna site on Saturday | Image by Avishek Mitra/IBNS Kolkata/IBNS: West Bengal junior doctors, who are on ceasework demanding justice for RG Kar rape-murder, will meet Chief Minister Mamata Banerjee at her residence on Saturday evening. West Bengal chief secretary Manoj Pant sent an email to the junior doctors asking them to send a 15-member delegation to the Chief Minister's Kalighat residence at 6 pm. RG Kar: Junior doctors boarding a bus from protest venue in Salt Lake to meet Mamata Banerjee at her residence for talks #RGKarDoctor #RGKarProtest pic.twitter.com/ZMA9IS62Om India Blooms (@indiablooms) September 14, 2024 However, the junior doctors are sending a 30-member delegation to Mamata's residence. A bus has been arranged for the protesters who are camped outside state Health and Family Welfare office Swasthya Bhavan in Salt Lake. "We had expected the meeting to take place at an administrative building but we have been asked to visit the Chief Minister's residence. Despite having reservations, we will participate in the meeting with our five-point demands. They should also arrange for transparency of the meeting so that people have full knowledge," said a protester before leaving for Kalighat. "We want to make it clear that we can't be cowed down in the meeting," he added. Dr. Aniket Mahato, one of the protesters and spokespersons, had said earlier, "The Chief Minister's step is positive, we welcome that. We too want to sit with her for an open-minded discussion anywhere and at any particular time. We want our five-point demands to be discussed in the meeting." In a dramatic crisis-management move, Chief Minister Mamata Banerjee on Saturday made a surprise visit to the protest site of junior doctors and assured to act against anyone found guilty. Mamata made the visit a day after the meeting between her and junior doctors failed to take place over the protesters' demand for the live-streaming of the discussion. Speaking to the agitators, the Chief Minister said, "I salute your protest. I have come up from the students' movement too. It rained heavily last night. I couldn't even sleep thinking of the pain you had suffered." "If I can come to the dharna site, then please keep faith in me. I don't run the government alone. I don't keep track of everything. I will investigate and if anyone is found guilty, I will take appropriate action," she added. The Chief Minister, who was greeted with 'We Want Justice' slogans, assured no action will be taken against the junior doctors who are on ceasework. "This is my last appeal to you all," Mamata, who announced that all hospital committees will be dissolved, said. "I want justice for Tilottama. I will urge the CBI to expedite the probe," the Chief Minister added. West Bengal junior doctors' sit-in protests outside state Health and Family Welfare headquarters- Swasthya Bhavan- entered the fifth day on Saturday after the efforts to hold a discussion between the protesters and the government on the RG Kar rape-murder incident failed on Thursday evening. The junior doctors refused to enter into a dialogue with Chief Minister Mamata Banerjee after the government refused to stream the meeting live. Despite the government asking the protesters to send a 15-member team for the meeting, a 30-member delegation of junior doctors reached the state headquarters Nabanna. Though the government accepted the 30-member team, it remained firm on denying live streaming but allowed recording of the meeting. After the doctors refused to participate in the meeting without live streaming, Chief Minister Mamata Banerjee, who claimed she waited for two hours at Nabanna Sabhaghar, accused the protesters of aiming to capture political power and not seeking justice. Photo Courtesy: Avishek Mitra/IBNS A delegation of junior doctors arrived in south Kolkata's Kalighat area on Saturday evening to meet Chief Minister Mamata Banerjee at her residence. However, the standoff over live-streaming of the talks continued with no headway till 8-30 pm. A battle of nerves raged between the Chief Minister and the junior doctors. CM Mamata Banerjee with officials waiting for the junior doctors' delegation at her Kalighat residence. While the agitating students insisted on live-streaming, Mamata Banerjee requested them to join talks and assured that she would make available the minutes of the meeting. She said the video recording will be done but she will make it available only after the Supreme Court permitted it. "Please come and have a cup of tea even if you do not want to hold meeting," she said, requesting them to not get drenched in the rain. "I have even dry clothes for you," she said. "We will also not use this recording," she said. She said there was no commitment of live-streaming in the latest mail exchange. "Please come in the interest of the people," she said. The doctors are currently on a casework to demand justice for the medic who was raped and murdered in Kolkata's state-run RG Kar Medical College and Hospital. West Bengal Chief Secretary Manoj Pant sent an email to the junior doctors asking them to send a 15-member delegation to the Chief Minister's Kalighat residence at 6 pm. The delegation reached her residence at around 6.45 pm on a bus that was arranged for them. RG Kar: Junior doctors boarding a bus from protest venue in Salt Lake to meet Mamata Banerjee at her residence for talks #RGKarDoctor #RGKarProtest pic.twitter.com/ZMA9IS62Om India Blooms (@indiablooms) September 14, 2024 The protesting doctors have been camping outside the Health and Family Welfare office Swasthya Bhavan in Salt Lake for the past several days. In a dramatic crisis-management move, Chief Minister Mamata Banerjee on Saturday made a surprise visit to the protest site of junior doctors and assured to act against anyone found guilty. Mamata made the visit a day after the meeting between her and junior doctors failed to take place over the protesters' demand for the live-streaming of the discussion. Speaking to the agitators, the Chief Minister said, "I salute your protest. I have come up from the students' movement too. It rained heavily last night. I couldn't even sleep thinking of the pain you had suffered." "If I can come to the dharna site, then please keep faith in me. I don't run the government alone. I don't keep track of everything. I will investigate and if anyone is found guilty, I will take appropriate action," she added. The Chief Minister, who was greeted with 'We Want Justice' slogans, assured no action will be taken against the junior doctors who are on ceasework. "This is my last appeal to you all," Mamata, who announced that all hospital committees will be dissolved, said. "I want justice for Tilottama. I will urge the CBI to expedite the probe," the Chief Minister added. West Bengal junior doctors' sit-in protests outside state Health and Family Welfare headquarters- Swasthya Bhavan- entered the fifth day on Saturday after the efforts to hold a discussion between the protesters and the government on the RG Kar rape-murder incident failed on Thursday evening. The junior doctors refused to enter into a dialogue with Chief Minister Mamata Banerjee after the government refused to stream the meeting live. Despite the government asking the protesters to send a 15-member team for the meeting, a 30-member delegation of junior doctors reached the state headquarters Nabanna. Though the government accepted the 30-member team, it remained firm on denying live streaming but allowed recording of the meeting. After the doctors refused to participate in the meeting without live streaming, Chief Minister Mamata Banerjee, who claimed she waited for two hours at Nabanna Sabhaghar, accused the protesters of aiming to capture political power and not seeking justice. Image Credit : Video grab Doda (J&K): Prime Minister Narendra Modi took a jab at Congress leader Rahul Gandhi, who often portrays himself as a symbol of tolerance and inclusivity with his Mohabbat ki dukaan pitch, during a gathering in poll-bound Jammu Kashmirs Doda on Saturday, media reports said. Modi accused the Congress of indulging in brutality after Rahul Gandhis team beat up an India Today journalist in the United States, reported India Today. Modi questioned the cruelty meted out to the Indian journalist, taking a dig at the Congress leader over his Mohabbat ki dukaan pitch. "They (Congress) claim to be running Mohabbat ki dukaan. But a journalist of our country was subjected to cruelty in the USA by Congress. A son of India was insulted in the USA. Those who claim to be champions of freedom of speech indulged in brutality," Modi was quoted as saying by India Today. - , - pic.twitter.com/ln24Yq0q0D Narendra Modi (@narendramodi) September 14, 2024 Modi, who became the first Prime Minister to visit Doda in 42 years, said Congresss action against the journalist has lowered Indias prestige on American soil. The Constitution does not suit Congress, Modi said, pointing out that the party hit the dignity of democracy by assaulting the journalist as media is an important pillar of democracy. "The media is an important pillar of democracy...the way a journalist was treated by locking him in a room, is this a matter that highlights the dignity of democracy? Are you increasing the prestige of India by beating up an Indian journalist on American soil? The word Constitution does not suit your mouth," the Prime Minister further said. Rohit Sharma, a correspondent for India Today, alleged being manhandled by Congress workers in Dallas while interviewing Indian Overseas Congress chief Sam Pitroda. The incident occurred shortly before Rahul Gandhi's arrival in the US for a three-day visit. According to India Today, Sharma related that his question to Pitroda about Hindus being targeted and killed in Bangladesh was deemed "controversial" by a member of Gandhi's team. The member reportedly objected, saying, "Bandh karo! Interview Bandh karo!" The journalist recounted that the next 30 minutes were distressing, as around 15 people surrounded him, demanding that the interview be deleted. Despite defending the question as non-controversial, Congress workers took his phone and deleted the footage from his photo library. Aware that the video could still be recovered from the "recently deleted" folder, they used Sharmas face ID to unlock the phone without his consent and fully delete the interview, the report said. Meanwhile, Indian Overseas Congress chief Sam Pitroda told the news organisation that he will look into the matter. "I will look into it. I don't approve of anybody being mishandled. I do not approve of, you know, not giving respect to journalists. I do not approve of these things," Pitroda said during an interview with India Today TV. He asserted that he always treated journalists respectfully and in a dignified manner and stood for a "free press". "I am for free press. You know me. I am for respect and dignity. All I can tell you is I will find out (what happened)," Pitroda further said. Photo courtesy: Screenshot grab from X video Kolkata/IBNS: In a dramatic turn of events, the talks between West Bengal Chief Minister Mamata Banerjee and the protesting junior doctors failed to take place at the residence of the CM after the delegation was told that the proposed meeting had been timed out owing to their delay in arriving at a decision on live-streaming. This followed after the CM came out of her Kalighat residence first to reason with the protesters to hold a meeting without any videography or live-streaming from their side. But when the junior doctors agreed to her condition, they were told to go back. "We agreed in keeping with the decision to honour the CM. We decided to respect her after she herself requested a meeting without videography from our side and agreed to only have minutes of the meeting. After a discussion when we went to communicate our willingness, junior health minister Chandrima Bhattacharjee said it is too late and not possible to hold the meeting anymore," said the doctors' representative. "We climbed down for the sake of our five-point demands to end the impasse. We agreed to her conditions, trusting her. But we were told that they waited for three hours. But we waited for 35 days braving rain and heat. They almost threw us out. It means from the beginning they wanted this," he said. 'Please do not stand outside in the rain. We have arranged a place for you inside.' Smt. @MamataOfficial empathetically appeals to the junior doctors to enter her residence and have a discussion to resolve their concerns according to the terms conveyed through the letter. pic.twitter.com/EAFb2vQhSM All India Trinamool Congress (@AITCofficial) September 14, 2024 Breaking down in tears, a lady junior doctor said they were literally thrown out of the residence of the CM despite waiting in the rain. A delegation of junior doctors arrived in south Kolkata's Kalighat area on Saturday evening to meet Chief Minister Mamata Banerjee at her residence. However, the standoff over live-streaming of the talks continued with no headway till 9 pm. A battle of nerves raged between the Chief Minister and the junior doctors. While the agitating students insisted on live-streaming, Mamata Banerjee requested them to join talks and assured that she would make available the minutes of the meeting. She said the video recording will be done but she will make it available only after the Supreme Court permits it. "Please come and have a cup of tea even if you do not want to hold a meeting," she said, requesting them to not get drenched in the rain. "I even have [dry] clothes for you," she said. "We will also not use this recording," she said. She said there was no commitment of live-streaming in the latest mail exchange. "Please come in the interest of the people," she said, reminding them that she has been insulted time and again. 'The video footage of the meeting would be shared with due permission from the Hon'ble Supreme Court.' While abiding legal procedures, Smt. @MamataOfficial reiterates her solidarity towards the protesting doctors and invites them for a discussion in order to bring about a pic.twitter.com/2gwpjL1JXk All India Trinamool Congress (@AITCofficial) September 14, 2024 Returning to their dharna site in Salt Lake, one of the protesters, who have been giving press briefs, said, "The Chief Minister turned down our proposals of live streaming as well as video recording by both sides. She told us that they will record the video and give it to us a copy only after courting hearing. How can we be sure that the video will not be edited or tampered?" "We were just 10 minutes late to inform them that we were ready to hold discussions on the Chief Minister's condition that meeting minutes will be given. But junior Health Minister Chandrima Bhattacharya said they had waited for three hours and hence can't wait any further. On the contrary, we have been waiting on the streets for 35 days. So now it is clear which side is not eager for a dialogue." West Bengal Chief Secretary Manoj Pant sent an email to the junior doctors asking them to send a 15-member delegation to the Chief Minister's Kalighat residence at 6 pm. The delegation of more than 30 junior doctors reached her residence at around 6.45 pm on a bus that was arranged for them. The doctors are currently on a casework to demand justice for the medic who was raped and murdered in Kolkata's state-run RG Kar Medical College and Hospital on Aug 9. The protesting doctors have been camping outside the Health and Family Welfare office Swasthya Bhavan in Salt Lake for the past several days. In a dramatic crisis-management move, Chief Minister Mamata Banerjee on Saturday made a surprise visit to the protest site of junior doctors and assured to act against anyone found guilty. Mamata made the visit a day after the meeting between her and junior doctors failed to take place over the protesters' demand for the live-streaming of the discussion. Speaking to the agitators, the Chief Minister said, "I salute your protest. I have come up from the students' movement too. It rained heavily last night. I couldn't even sleep thinking of the pain you had suffered." "If I can come to the dharna site, then please keep faith in me. I don't run the government alone. I don't keep track of everything. I will investigate and if anyone is found guilty, I will take appropriate action," she added. The Chief Minister, who was greeted with 'We Want Justice' slogans, assured no action will be taken against the junior doctors who are on ceasework. "This is my last appeal to you all," Mamata, who announced that all hospital committees will be dissolved, said. "I want justice for Tilottama. I will urge the CBI to expedite the probe," the Chief Minister added. Mamata Banerjee at junior doctors' dharna site | Image by Avishek Mitra/IBNS West Bengal junior doctors' sit-in protests outside state Health and Family Welfare headquarters- Swasthya Bhavan- entered the fifth day on Saturday after the efforts to hold a discussion between the protesters and the government on the RG Kar rape-murder incident failed on Thursday evening. The junior doctors earlier refused to enter into a dialogue with Chief Minister Mamata Banerjee after the government refused to stream the meeting live. Despite the government asking the protesters to send a 15-member team for the meeting, a 30-member delegation of junior doctors had reached the state headquarters Nabanna on Thursday. Junior doctors' march to Swasthya Bhavan | Image by Avishek Mitra/IBNS Though the government accepted the 30-member team, it remained firm on denying live streaming but allowed recording of the meeting. After the doctors refused to participate in the meeting without live streaming, Chief Minister Mamata Banerjee, who claimed she waited for two hours at Nabanna Sabhaghar, accused the protesters of aiming to capture political power and not seeking justice. Kolkata/IBNS: Sandeep Ghosh, the former principal of RG Kar College and Hospital who was in custody already over financial scams, and a station house officer (SHO) of Tala PS in Kolkata were arrested on Saturday by the CBI on charges of tampering of evidence in the rape and murder of a trainee doctor on Aug 9. The crime sparked an unprecedented people's protest in Kolkata and the rest of the country. While Ghosh was in custody, the Officer-in-Charge of Tala Police Station, Abhijit Mondal, has been arrested in connection with RG Kar murder-rape case. He is accused of misleading the investigation, tampering with evidence and place of occurrence (PO), lodging delayed FIR and not taking adequate steps regarding the probe, a CBI official told IBNS. Mondal was officiating as the officer-in-charge of Tala police station during the occurrence of the gruesome rape and murder. Ex-principal Sandeep Ghosh, who has already been arrested in connection with corruption case, has now been arrested in the rape-murder case. The junior doctors, who have been on ceasework for 35 days demanding justice for the victim, said, "The arrests prove that our five-point demands (including action on certain police and health officials) were justified." The arrests came amid a dramatic turn of events, after the talks between Chief Minister Mamata Banerjee and the protesting junior doctors failed to take place at the residence of the CM this evening. The delegation was told that the proposed meeting had been timed out owing to their delay in arriving at a decision on live-streaming. ALSO READ: RG Kar faceoff: Protesting junior doctors return from Mamata Banerjee's residence without talks in battle of nerves This followed after the CM came out of her Kalighat residence first to reason with the protesters to hold a meeting without any videography or live-streaming from their side. The Central Bureau of Investigation (CBI), entrusted with the investigation of the gruesome crime, on Sept 2 arrested the medical college's ex-principal Sandip Ghosh. Ghosh was summoned to the CGO complex office of CBI almost every day ever since the CBI undertook the investigation into the horrific incident. The CBI also raided his house in connection with the financial irregularities at the hospital along with 15 other locations across the city, The CBI started a probe into the corruption charges against Ghosh based on information by Akhtar Ali, the whistleblower, who had raised this matter in the Calcutta High Court, after which the Kolkata Police's special investigation team had recorded his statement. Akhtar Ali worked at RG Kar Medical College and Hospital for 16 years. He began as assistant superintendent in grade 2, went to grade 1, and became Deputy Superintendent. "Before Sandeep Ghosh came, this was the number one college in eastern India. It is a 100-year-old college. I have exposed a lot of scams. The first scam was to make students fail. They used to extort money from them, those who did not listen, and some students used to collect this money," Akhtar Ali had alleged in various media bytes. "They used to make junior students drink alcohol and make them protest, whenever it came to Sandeep Ghosh's transfer. The illegal activities include smuggling of bodies, biomedical waste scam. The complaints went to the higher levels including vigilance, but nothing happened," Akhtar Ali said. Ghosh has also been accused of selling unclaimed dead bodies. The Supreme Court as well as the Calcutta High Court has repeatedly questioned why Ghosh, being the principal of the medical college when the brutal crime took place, did not lodge a police complaint. Ghosh resigned from his post facing protests from the medical students. Though he had resigned, the Mamata Banerjee government had controversially appointed him to a similar post at Calcutta National Medical College within a few hours. However, the key suspect in the rape and murder case of the junior doctor so far has been identified as Sanjay Roy, a civic volunteer, who was seen on the hospital's CCTV near the crime scene. Sanjay Roy, Sandeep Ghosh, and some doctors whose fingerprints were taken from the seminar hall, where the junior doctor's body was found, underwent polygraph tests. The CCTV footage shows Sanjay Roy entering the hospital at 1.03 on the fateful night when the incident took place. During interrogation, the Kolkata Police had shown him the CCTV evidence, after which Sanjay Roy admitted to the crime after his arrest, media reports said. Photo courtesy: x.com/ChinarcorpsIA Srinagar: The Indian Army killed three unidentified militants in a gunfight on Saturday in Kreeri, Baramulla, North Kashmir. The Army called the encounter a "significant success" and a "major disruption of Pakistans nefarious designs" ahead of the upcoming Jammu Kashmir Assembly elections. The operation took place just hours before Prime Minister Narendra Modi addressed an election rally in Doda, Jammu, as part of his campaign ahead of the elections. The operation was launched on Friday by a joint team consisting of J&K Police, the Armys 29 Rashtriya Rifles (RR), and paramilitary forces in Kreeris Chak-e-Tapper village. Update OP CHAK TAPAR, #Baramulla Three terrorists have been neutralised by the security forces in the ongoing Operation. Weapons and war-like stores have been recovered. The #Chinarcorps has dealt some crippling blows to the terror network in North Kashmir over the past few days pic.twitter.com/MdYG0gun6v Chinar Corps - Indian Army (@ChinarcorpsIA) September 14, 2024 The action was based on specific intelligence about the presence of militants in the area. Brigadier Sanjay Kannoth, commander of the Armys 10 Sector Rashtriya Rifles (RR), stated during an evening press briefing that as the joint security forces were cordoning off the area, the militants in hiding opened fire, prompting the security team to retaliate, reported Indian Express. For some time, we have been receiving inputs about the movement of unidentified terrorists in the general area of Kreeri. Yesterday on 13th September, we received a specific intelligence input about the movement of some unidentified terrorist in Chak-e-Tapper, the officer was quoted as saying by the Indian Express. He stated that a joint team comprising the Army, the J&K Police, its Special Operations Group, and the Sashastra Seema Bal was dispatched. When our parties and columns were in the process of establishing a cordon, (the) terrorists hiding in a disused building opened a heavy volume of fire, he said. The militants continued to open a heavy volume of fire throughout the night. The operation extended into the morning, during which the troops "professionally engaged the terrorists and neutralised them," he said, adding that "war-like stores" were recovered from the militants. Brigadier Kanooth emphasized that the operation "assumes significance" as Jammu and Kashmir prepares for the assembly elections starting on September 18. Army foils infiltration bid in Rajouri An Indian Army soldier sustained injuries during an operation to foil an infiltration bid by Pakistani terrorists across the Line of Control (LoC) in Rajouri district of Jammu and Kashmir on Saturday, reported India Today. The infiltration was attempted by terrorists in the Kalal area of the Nowshera sector, which was aborted by the Army personnel. According to the security officials, the Indian soldiers noticed some terrorists and challenged them, leading to a gunbattle that continued for some time. Amid the fight, the terrorists managed to escape into the nearby forest and a massive search operation has been launched to track and neutralise them at the earliest. A health worker administers the polio vaccine to a baby in Gaza Photo Courtesy: WHO Around 560,000 children under ten have been successfully vaccinated against polio during the first round of an emergency campaign in the Gaza Strip, the UN World Health Organization (WHO) reported on Friday. WHO chief Tedros Adhanom Ghebreyesus tweeted that it had been a massive success amidst a tragic daily reality of life for more than two million Gazans who have been caught up in the intense fighting since last Octobers terror attacks by Hamas unleashed the war in Gaza. In three phases over twelve days, from 1 to 12 September, UN agencies and partners provided novel oral polio vaccine type 2 (nOPV2) to some 558,963 children, reaching families living in shelters, tents and camps for the displaced. Richard Peeperkorn, WHO Representative for the occupied Palestinian territory applauded the incredible resilience of health and community workers in conducting the campaign at an unprecedented scale and speed under the toughest conditions in the war-battered enclave. Swift action by the Global Polio Eradication Initiative from the moment the virus was detected to the launch of the vaccination campaign speaks to the effectiveness of the polio programme, he said. Moments of calm For each of the phases central, southern and northern Gaza an area-specific humanitarian pause of nine hours each day was agreed to ensure the safety of communities and health workers and enable vaccination efforts. In areas where humanitarian pauses took place, the campaign brought not just vaccines, but moments of calm, Dr. Peeperkorn said. As we prepare for the next round in four weeks, were hopeful these pauses will hold, because this campaign has clearly shown the world whats possible when peace is given a chance, he added. The second round will provide a second dose of the nOPV2 to children in Gaza to stop the outbreak and prevent its international spread. Though the programme faced challenges such as destroyed roads and health facilities, access issues, limited fuel, a shortage of trained health workers, and constant population movements, these were addressed in a timely manner with support of the Palestinian Ministry of Health and the UN Relief and Works Agency (UNRWA). Unfinished business Jean Gough, UN Childrens Fund (UNICEF) Representative in Palestine, welcomed the progress made in the first round, highlighting that the job is far from done. We are poised to finish the task and call on all involved to ensure we can do so in the next round in four weeks' time, for the sake of children everywhere, she said. To reach enough children, and successfully stop further transmission of the poliovirus, WHO, UNICEF and UNRWA urged all parties to the conflict to commit to another round of humanitarian pauses, with unimpeded access to children in areas that need special coordination. Original target WHO noted that the original target for the campaign 640 000 children was estimated without an accurate survey and may have been an over-estimate, due to displacement and ongoing hostilities. The agency added that an additional 65 independent monitors are being deployed to cross-check the proportion of children vaccinated across the Gaza Strip to independently assess the level of coverage achieved in the first round. They need safe, unimpeded access so they can visit households, markets, transit points, and health facilities to check children for the prominent purple dye marked on their little finger when they are vaccinated, it added. These efforts will provide an independent measure of the percentage of vaccination coverage achieved, and how any children were missed. UNRWA staff member killed in the West Bank The ongoing conflict, however, continues to claim in civilian lives, displace thousands, and destroy homes and civilian infrastructure. The United Nations also lost more than 220 UNRWA staff killed during Israels offensive following the 7 October terror attacks by Hamas and other militants. On Thursday, UNRWA lost another staff member, who was killed in the El Fara Camp, in northern West Bank the first such killing in that region in more than ten years. According to the agency, the staff member, a sanitation worker, was shot and killed on the roof of his home by a sniper during an overnight Israeli military operation in the early morning. He is survived by his wife and five children. This marks the first time an UNRWA staff member has been killed in the West Bank in more than ten years. It comes as the West Bank is experiencing unprecedented levels of violence, placing communities at risk, Roland Friedrich, Director of UNRWA Affairs in the West Bank said in a statement. He noted that protracted Israeli military operations, affecting especially the camps of El Fara, Tulkarm, Nur Shams and Jenin, have forced UNRWA to suspend services to refugees because of the unacceptable risk to staff and beneficiaries during these operations. Friedrich also expressed concern over the use of improvised explosive devices (IEDs) by Palestinian armed actors. Photo Courtesy: X page video grab At least two people died and 29 others were injured after two passenger trains collided in Egypt, media reports said on Saturday. Thirty ambulances and reinforcement medical teams were sent to the scene of the collision in the city of Zagazig, the capital of Al Sharkia governorate, Egypt's Health Ministry said in a statement as quoted by CNN. Following the accident, the injured people were rushed to Al-Ahrar and Zagazig University hospitals for treatment. Egypt has recorded at least one deadly railway mishap almost every year for the past 20 years. Egypt recorded 2,044 train accidents in 2018 and 1,793 the year before, according to the Central Agency for Public Mobilization and Statistics (CAPMAS) as quoted by CNN. In 2002, Egypt recorded one of the deadliest train mishaps after a fire broke out on a passenger train travelling south from Cairo to Luxor which left at least 360 people dead. The acceptance of a recent statement of Indias leader of Opposition, Rahul Gandhi on Sikh by the Sikh Extremist based in US and Canada has shown a clear line of division of opinion amongst themselves. Gandhi claimed that Sikhs in India face an existential threat to their right to practice their faith freely, citing symbols such as the turban and kada as under siege. This statement was quickly embraced by Gurpatwant Singh Pannun, a prominent U.S.-based pro-Khalistan extremist and leader of the banned Sikhs for Justice (SFJ), who used it to validate the groups campaign for Khalistan. However, beneath the surface of this apparent unity lies a growing rift within the movement. While Pannun and SFJ see Gandhis statement as an opportunity to further their cause, many pro-Khalistan elements remain skeptical. For them, aligning with any member of the Gandhi family is unthinkable, given the trauma inflicted on the Sikh community during the 1980s. Indira Gandhi, Rahuls grandmother, authorized Operation Blue Star, a military action that many Sikhs view as a desecration of the Golden Temple, leading to a legacy of violence and pain that still reverberates today. This schism within the movement reflects a larger issue. The SFJ, which claims to champion Sikh sovereignty, has shown a willingness to manipulate political moments to serve its agenda. Yet many Sikhs remain unconvinced, viewing the groups support for Gandhis comments as contradictory. While the movement claims to fight for Sikh rights, its willingness to align with figures like Gandhi reveals deeper motivationsmore about self-interest than genuine advocacy for the Sikh community. For years, the Khalistan movement has portrayed itself as a grassroots struggle for Sikh self-determination. But the growing fragmentation within its ranks suggests otherwise. Younger Sikhs, particularly in the diaspora, are being drawn into the movement based on narratives of cultural survival and resistance. In reality, the movement has been co-opted by extremist voices like SFJ, eager to exploit historical grievances for their own gain. Rather than fostering genuine dialogue and addressing contemporary challenges facing Sikhs, such as unemployment and drug abuse, these actors perpetuate cycles of fear and division. The irony is stark: while pro-Khalistan elements decry past injustices, they often overlook the damage caused by their own disunity and manipulation. By endorsing Gandhi, SFJ further alienates Sikhs who remain deeply suspicious of the Congress partys historical role in their suffering. This division underscores that the movement, as it currently stands, lacks a unified vision and has drifted far from its original mission of empowerment. The opinion of Sikhs for Justice (SFJ) differs significantly from the broader Khalistan sentiment. Just as Rahul Gandhis statement has exposed a divide among pro-Khalistan elements, similar disagreements have recently emerged in France and Italy. In France, Khalistani leaders split into two factions, primarily due to a power struggle over control of the Gurdwara Singh Sabha, the largest gurdwara in Paris and a hub for Khalistani activities, which also receives substantial donations. Likewise, in Italy, a conflict between two factions at the Gurdwara Chaar Sahibzaade in Casalpusterlengo, Lodi, led by Sukhwinder Singh (Shinda) and Manjit Singh, has escalated to the point where the gurdwara has been shut down, creating deep divisions within the local Sikh community. These divisions in opinion reveal that the Khalistan movement is increasingly being used as a self-serving shield by certain individuals and factions. Instead of working towards genuine empowerment or addressing real community issues, these groups exploit the cause to gain influence, power, and controlwhether over gurdwaras, donations, or political narratives. Sikh youth, both in India and the diaspora, deserve more than to be pawns in a fractured movement focused on exploiting historical grievances for political gain. The growing divides within the pro-Khalistan camp are not just about political allegiancesthey reveal deeper, shifting motivations behind the cause. As factions break ranks over issues like support for Rahul Gandhi, it becomes increasingly clear that the Khalistan movement is being used by a select few for personal ambition rather than the collective future of the Sikh community. Ultimately, the future of Sikhs must be shaped by dialogue, democracy, and genuine representationnot by those who seek to exploit history for their own purposes. The dream of Khalistan appears less about the Sikh communitys collective future and more about the ambitions of a few. As the movement continues to fracture, we must ask: Who truly benefits from this push for separatism, and at what cost? (Image and text courtesy: Khalsavox.com) Photo Courtesy: Unsplash At least two policemen were killed and another was injured in a planted bomb blast in Pakistan's Quetta region on Saturday. Speaking to the media, Quettas Deputy Superintendent of Police (DSP) Azhar Rashid told Dawn News that the explosives were planted at the site of the incident beforehand and they exploded as soon as the police vehicle arrived. Assistant Sub-Inspector (ASI) Zainuddin and gunman Mohammad Tahir embraced martyrdom whereas the driver got injured and was moved to Mufti Mehmood Memorial Hospital, DSP Rashid said. After receiving medical aid, the driver was shifted to a trauma centre in Quetta, the DSP added. Balochistan Chief Minister Sarfaraz Bugti has condemned the incident. Pakistan is witnessing an uptick in terror activities in recent times. Quoting data shared by Pak Institute for Peace Studies (PIPS), an Islamabad-based think-tank, Dawn News reported that a total of 59 terrorist attacks occurred countrywide compared to 38 attacks in the previous month. The Indian Telugu-language film Maruthi Nagar Subramanyam after its decent theatrical performance, is all set to release in digital space. The film that has actors like Rao Ramesh in lead roles is a family drama. The film will be released on September 20 on OTT platform Aha. According to media reports, the platform has acquired Maruthi Nagar Subramanyam's digital rights at a high price. Here is the trailer for the film: Plot Maruthi Nagar Subramanyam is about Subramanyam, who is shown unemployed in the beginning of the film, and then he eventually finds ten lakh rupees in his bank account. He spends all the money without knowing its source, which leads to an unexpected consequence for him. Cast and crew The Telugu film has been directed and written by Lakshman Karya. Other than Rao Ramesh, the cast of the film includes actors like Ajay, Annapurnamma, Indraja, Ankith Koyya, Ramya Pasupuleti, and Harsha Vardhan in crucial roles. Actor Rao is said to be a comedy character in the film, which was much appreciated by the audience. The Telugu film does not have any famous faces but received a lot of praise for different performances by the actors, including for Ankit Koyya and Ramya Pasupuleti in two of the lead roles. The actors were praised for looking cute together and showing great chemistry on the big screen. Maruthi Nagar Subramanyam is the debut film of Ramya (a popular influencer). Also Read: Kondal OTT release: Where to watch Antony Varghese's Malayalam film after its theatrical run According to media reports, the makers of Maruthi Nagar Subramanyam had a massive profit with the film's OTT deal with Aha. There is already a decent amount of excitement for this film's OTT release date. For more news and updates from the world of OTT, and celebrities from Bollywood and Hollywood, keep reading Indiatimes Entertainment. The coming-of-age Netflix series from Mark Duplass and Mel Eslyn, Penelope season 1, is all set to be released. The show starring Megan Stott and Austin Abrams in critical roles will be out on September 24 only on Netflix. The show is all about the life of a teenage girl who feels alienated from the modern world. Here is the trailer for the series and everything else you need to know about its plot and cast. The first of eight episodes of Penelope will be out on September 24. Plot Penelope is all about a teenage girl played by actor Megan Stott and her life journey. The trailer for the show starts with a shot of the teenage girl dancing and putting her headphones on during a party. The story takes a dramatic turn when the girl spots a wolf outside in the woods. Suddenly, the girl was seen sitting aboard a train and messaging her mother about her leaving home. Also Read: Maruthi Nagar Subramanyam OTT release date Aha: When to watch this Telugu film Cast and crew Penelope is directed by Mark Duplass. The show has been co-created by Mel Eslyn. The series has been produced by Duplass Brothers Productions, with Duplass and Shuli Harel on board as executive producers of the show. Other than Megan Stott and Austin Abrams in lead roles, there is also Krisha Fairchild part of the star-studded cast of the series. The country of origin of the series is the United States, and thus the original language is English. So if you are excited about the Penelope series, you just have to wait till September 24 to stream it on Netflix. For more news and updates from the world of OTT, and celebrities from Bollywood and Hollywood, keep reading Indiatimes Entertainment. It's been only one day since Netflix's Sector 36 release, as social media platforms are full of reactions. Netizens are talking about the film's plot, actors, and its real-life connection to Noida's famous Nithari case that shook the country years ago. But if you have still not watched the film, below are the top seven tweets you must read before streaming the show this weekend. Following tweets can help you capture different perspectives on the film. 7 tweets you must read before streaming the film on Netflix 1. One of the X (former Twitter) users after watching the film, which is based on a real-life 2006 serial killings in Noida, wrote, "The most surprising thing is that the people who should have been dead in real life i.e. Surinder Koli and Moninder Singh Pandher are free men today. Superb acting by the way and thank you for bringing it to the light of the audience." 2. Another user who praised the actors in the film said, "9months pregnant & i plan to watch #Sector36 .. the first scene itself got me chills & i was told by my family members to not watch such movie ! But i am pretty with @VikrantMassey & @Deepakdobriyaal have done a fantastic job with the movie.." 3. Another user who loved actor Vikrant Massey and Deepak Dobroyal in lead roles wrote, "Watched Sector 36 on @NetflixIndia @netflix, and @VikrantMassey and @Deepakdobriyaal absolutely nailed their roles. Massey brings quiet intensity, while Dobriyals performance has this magnetic edge that pulls you in. Both made the movie worth watching! 4. Many users also found the movie gruesome, as it has a lot of scenes where killing and human blood are shown too much. One such user on X wrote, "Just finished watching Sector 36, and those gut-wrenching scenes hit hard. I remember the Nithari case being all over the news back in 2006/07. Out of curiosity, I googled the case and was shocked to learn that both perpetrators were acquitted after initial death sentence." Other top three tweets on Sector 36: 5. #Sector36 Gore and Violent movie lovers. This is for you. Worthy watch. @VikrantMassey @Deepakdobriyaal Extraordinary performances. Police station sequence Another brilliant film from @MaddockFilms Never disappoints. Quirk47 (@Chay_47) September 14, 2024 6. Just watched #Sector36 ... Scary, Lost hope on Indian judiciary,. . @rashtrapatibhvn No justice in India to poor, women, and those children who were brutally raped and murdered. It's ok if they get murdered. The murderer+rich will definitely have mercy.. No hope. Jai hind Bless (@two20twoty) September 14, 2024 7. Sector 36 isnt just disturbingits a savage, skin-crawling descent into madness. Vikrant Massey is horrifyingly brilliant, delivering a performance that infects your mind with dread and leaves you feeling utterly violated.#Sector36Review #VikrantMassey Varun Srivastav (@Vrun_Srivastava) September 13, 2024 All about Sector 36 now streaming on Netflix Sector 36 is based on real-life 2006 serial killings that took place in Noida. The case is also famous by the name 'Nithari Kand.' Prime suspects who were the talk of the town back then were Moninder Singh and his servant Surinder Koli. The brutal case's investigation started when, in December 2006, two Nithari village residents near Noida reported they knew the location of the remains of children who had gone missing in the previous two years. The location was said to be the municipal water tank behind a house in Noida's Sector-31. Both the villagers had daughters who were missing, and they suspected Surinder Koli was involved in the disappearances. Also Read: Sector 36 on Netflix: 4 theories that Noida police investigated in the real Nithari Kand There were many theories that Noida police investigated in the case, like suspicions of child pornography racket, suspicions of organ trade and cannibalism among others. The Ministry of External Affairs (MEA) has said that a total of forty-five Indians who were duped into joining private militias and fighting in Russia's ongoing invasion of Ukraine have been discharged from the Russian military. Earlier this week, the MEA also said that efforts are ongoing to secure the release of around 50 more Indian youths, while nine have died while serving with the Russian Army. CREDIT: ANI Discharged Indian youth returns home Among those who have been discharged from the Russian military is Mohammed Sufiyan from Narayanpet in Telangana. Upon his release earlier this month, the 22-year-old, who had been in Russia for nine months, successfully returned to his family on Friday. 'Working like slaves' Sufiyan, who was posted some 60 km away from the Ukraine border, said he and others like him were made to work long hours, under inhumane conditions, and under the threat of death from the military officials. CREDIT: BCCL "Our hands were blistered, our backs ached and our spirits were broken. Yet, if we showed any signs of exhaustion, bullets were fired at us," Sufiyan said. Punishment for refusing to work on frontline According to him, after an Indian youth, a resident of Gujarat, was killed in a Ukrainian drone strike in February, some of the others had refused to work on the frontlines. This was met with punishments, including being forced to dig a trench and spend the night in it in freezing temperatures, without food. CREDIT: AP How he ended up in Russia Like others who were trafficked into the warfront, Sufiyan was also duped by agents who promised office security and Army helper jobs in Moscow, and were charged Rs 3 lakh by the recruiter. But once they reached Russia, the youths had their documents seized and were forced into military training and, before they knew it, were pushed into the frontlines of the war. For more news and current affairs from around the world, please visit Indiatimes News. NASA astronauts Sunita Williams and Barry Wilmore, who were the test pilots of the trouble-ridden Boeing Starliner space capsule, addressed Earth from space on Friday. This was the duo's first comment since they were launched into the International Space Station (ISS) in June, in what was supposed to be an eight-day mission. CREDIT: REUTERS Eight-day mission that became eight months Due to technical issues on the Boeing Starliner, which raised serious concerns about the mission and the crew's safety, the space capsule returned to Earth last week, unmanned, leaving the astronauts behind in the ISS. They are not expected to return to Earth before February 2025 on a SpaceX Crew Dragon. My happy place: Williams Amid concerns about their mental and physical well-being due to the extended stay in the ISS, in their first address to Earth, the astronauts said they feel "grateful" to spend more time in space, despite difficulties. This is my happy place. I love being up here in space. Its just fun, you know? Indian-origin astronaut Williams said Friday in her first news conference from the ISS. CREDIT: NASA 'Happy that Statliner is safe' The 58-year-old veteran of space missions said although she was disappointed to have to watch the Boeing Starliner return to Earth without them, she was happy that it was safe. We wanted to take Starliner to completion and land back on land at home, but you know, you have to turn the page and look at the next opportunity, Williams said. Also read: Boeing Starliner returns to Earth, leaving Sunita Williams and Butch Wilmore behind on International Space Station LIVE: From the @Space_Station, astronauts Butch Wilmore and Suni Williams discuss their ongoing mission and answer questions from the media: https://t.co/ytifGf22Gn NASA (@NASA) September 13, 2024 CREDIT: REUTERS Wilmore also echoed Williams and said that he was not disappointed by NASA or Boeing or the decisions that led to their stay on the ISS for an extended period. "We could have gotten to the point, I believe, where we could have returned on Starliner, but we just simply ran out of time," Wilmore said. For more news and current affairs from around the world, please visit Indiatimes News. Engineer's Day 2024 is a special day dedicated to celebrating the incredible work and achievements of engineers across the globe. Every year on this day, we recognize the vital role engineers play in shaping our world, from designing infrastructure to creating technological advancements that make our lives easier. Engineer's Day History and the Legacy of Sir M. Visvesvaraya Credit: Posted on X by @telanganadgp Engineer's Day is celebrated on September 15th, marking the birth anniversary of Sir M. Visvesvaraya, one of India's greatest engineers. Born in 1861, Sir Visvesvaraya was not only a brilliant engineer but also a statesman who made groundbreaking contributions in the field of civil engineering. His notable works in irrigation systems, dam construction, and flood management left a lasting impact on India's infrastructure development. Engineer's Day's history is tied to his legacy, reminding us of his innovations and dedication to improving the nation. Why Engineer's Day 2024 is Significant The significance of Engineer's Day lies in its recognition of the crucial role engineers play in our daily lives. Whether it's building roads, bridges, skyscrapers, or creating cutting-edge technology, engineers are problem-solvers and innovators. They contribute to advancements in fields like healthcare, transportation, and energy, directly improving the quality of life for everyone. In 2024, Engineer's Day will be celebrated on Sunday, September 15. This day encourages us to appreciate the hard work of engineers who solve complex problems and push the boundaries of technology. Celebrations and Events on Engineer's Day 2024 On Engineer's Day 2024, various events such as seminars, workshops, and conferences will be held across the country. These celebrations are often organized by educational institutions, engineering colleges, and professional bodies. They serve as platforms for discussing new advancements in engineering, addressing challenges in the industry, and sharing knowledge. These gatherings not only highlight the latest technological innovations but also inspire young students to consider a career in engineering. Engineer's Day 2024 significance also extends to motivating the next generation to pursue careers in this important field. Happy Engineer's Day Wishes, Messages and Quotes to share with loved ones on WhatsApp If you're looking to send your best Engineer's Day wishes, here are some ideas to share with friends and family: Happy Engineer's Day! Here's to all the creative minds who build the future! Wishing all the engineers a day filled with innovation and success. Happy Engineer's Day 2024! On this Engineer's Day, let's celebrate the problem solvers who make our world a better place. Happy Engineer's Day! Thank you for turning ideas into reality. Wishing a fantastic Engineer's Day to all the brilliant minds shaping our world! May your innovations continue to inspire. Happy Engineer's Day! Happy Engineer's Day to the problem solvers and creators of tomorrow! Engineers build the future, one idea at a time. Happy Engineer's Day! Here's to all the engineers who make life easier with their inventions. Happy Engineer's Day 2024! Happy Engineer's Day! Your hard work and creativity are the foundation of a better world. To the masterminds behind every innovation, Happy Engineer's Day! Engineers are the heroes behind the scenes. Happy Engineer's Day to all the innovators! Happy Engineer's Day! Keep dreaming big and building brighter futures. To the ones who solve the unsolvable, Happy Engineer's Day! Your creativity and dedication make the impossible possible. Happy Engineer's Day! Happy Engineer's Day to the dreamers, creators, and builders of tomorrow! Here's to all the engineers turning challenges into opportunities. Happy Engineer's Day 2024! On Engineer's Day, we celebrate your talent and hard work. Keep making a difference! Happy Engineer's Day messages to share Happy Engineer's Day to all the brilliant minds who design, build, and innovate! Your hard work and dedication to creating a better world inspire us all. May you continue to solve the world's problems with creativity and passion. Wishing a very Happy Engineer's Day to all the incredible engineers! Your contributions to society make life easier, safer, and more connected. Keep up the great work and continue to bring your unique ideas to life. To all the engineers who turn ideas into reality, Happy Engineer's Day 2024! Your creativity and problem-solving skills shape the world around us, from the smallest gadgets to the tallest buildings. Here's to a future filled with innovation! Happy Engineer's Day to those who never stop dreaming and designing! Your work makes our lives more efficient and our world more advanced. May your journey continue to be filled with groundbreaking discoveries and achievements. On this Engineer's Day, let's celebrate the problem solvers who make the impossible possible! Your dedication to creating, building, and improving the world around us is truly admirable. Wishing you success in all your future projects! Happy Engineer's Day to the masterminds who make the world a better place! Whether it's developing new technologies or improving infrastructure, your work leaves a lasting impact. Thank you for your innovative spirit and determination. Wishing all the engineers a very Happy Engineer's Day 2024! You are the true architects of change, making the world smarter and more sustainable. Keep dreaming big and turning those dreams into reality! Happy Engineer's Day to the problem solvers, the creators, and the innovators! Your work drives progress and shapes the future. May you continue to inspire and lead with your vision, hard work, and expertise. On this special day, I want to appreciate all the engineers who work tirelessly to bring new solutions to life. Happy Engineer's Day! Your passion for innovation is truly inspiring and vital for a better tomorrow. Happy Engineer's Day to those who build the future! Your ability to think outside the box and find solutions to everyday problems is what keeps the world moving forward. Keep innovating and shining in all that you do! To all the engineers who turn complex problems into brilliant solutions, Happy Engineer's Day! Your work impacts every aspect of our lives, and we are grateful for your dedication and innovation. Here's to many more achievements! Wishing a Happy Engineer's Day to all the engineers out there! Your creative ideas and passion for innovation continue to shape the world. May you keep building a brighter and more technologically advanced future! Happy Engineer's Day to those who never stop thinking, creating, and improving! Your ideas change the way we live and work, and your impact is felt in every corner of the world. Keep pushing the boundaries of what's possible! On Engineer's Day, let's take a moment to appreciate the hard work and dedication of all engineers! Your vision and creativity make life better for everyone. Happy Engineer's Day and thank you for all that you do! Happy Engineer's Day to the dreamers, the builders, and the innovators! Your work makes a difference in the world, from making cities smarter to improving everyday technology. Wishing you success and happiness in all your future endeavours! Engineer's Day 2024: Inspiring quotes to share You can also inspire others with Engineer's Day quotes like: "Science is about knowing; engineering is about doing." Henry Petroski "Computers are tools, like hammers and screwdrivers. They can be used for good or evil, but they are not inherently evil." - Grace Hopper "Genius is 1% inspiration and 99% perspiration." - Thomas Edison "The only way to achieve the impossible is to believe it is possible." - Hedy Lamarr "The engineer has been, and is, a maker of history." James Kip Finch "Engineering is the art of directing the great sources of power in nature for the use and convenience of humankind." Thomas Tredgold "The day science begins to study non-physical phenomena, it will make more progress in one decade than in the preceding centuries." - Nikola Tesla "Imagination is the beginning of creation. You imagine what you desire; you envision what you aspire to." - Alexander Graham Bell "The scientist discovers a new type of material or energy and the engineer discovers a new use for it." Gordon Lindsay Glegg "At its heart, engineering is about using science to find creative, practical solutions. It is a noble profession." Queen Elizabeth II "Innovation distinguishes between a leader and a follower." - Steve Jobs "Engineering is not only the study of 45 subjects but it is the moral study of intellectual life." Prakhar Srivastav "Nothing in life is to be feared, but only to be understood. Now is the time to understand more, so that we may fear less." - Marie Curie "Engineers like to solve problems. If there are no problems handily available, they will create their own problems." Scott Adams "If something doesn't seem impossible, you're not aiming high enough." - Elon Musk "Engineers are the architects of a better tomorrow." "Without engineers, the world would just be an idea. Happy Engineer's Day!" Engineer's Day 2024 WhatsApp Status Ideas Share the spirit of Engineer's Day 2024 by updating your WhatsApp status with messages that honor engineers. Here are a few ideas: Building the future, one innovation at a time. Happy Engineer's Day! Saluting the problem solvers of tomorrow. Happy Engineer's Day 2024! Where creativity meets logicHappy Engineer's Day! Engineers make the impossible possible. Celebrating their brilliance today! Bridges, buildings, and breakthroughsHappy Engineer's Day! Cheers to the masterminds behind every invention. Happy Engineer's Day! Engineering the future, one idea at a time. Happy Engineer's Day! Happy Engineer's Day to all those who turn dreams into reality! Celebrating the innovators who make the world a better place. Happy Engineer's Day! To the creators of tomorrow's wondersHappy Engineer's Day! Dream, design, buildHappy Engineer's Day 2024! The world runs on engineers! Wishing everyone a Happy Engineer's Day! Innovation starts with engineersHappy Engineer's Day! Here's to the builders of our modern world. Happy Engineer's Day! Engineering excellence at its bestHappy Engineer's Day 2024! Celebrating the minds that shape the world! Happy Engineer's Day! Engineers turn dreams into reality. Salute to all the engineers out there! On this Engineer's Day, let's recognize the innovators who make our lives easier. Whether you're sharing Engineer's Day WhatsApp status, wishes, or quotes, this day offers the perfect opportunity to appreciate the engineers in your life. To stay updated on the stories that are going viral follow Indiatimes Trending Negotiations between Greece and Cyprus regarding the Great Sea Interconnector project are ongoing. This ambitious project involves a power cable linking the grids of Greece, Cyprus, and Israel, with the aim of enhancing energy interconnection between the three countries. The Cypriot government is closely examining the project, seeking guarantees and assurances regarding its total cost. While both sides have agreed to continue negotiations, disagreements persist over the potential financial burdens for Cyprus. Cypriot power regulators are concerned about avoiding additional funding or losses if the project is abandoned. Cypriot President Nikos Christodoulides has emphasized the importance of this project for future generations and assured that decisions will be made prudently and based on thorough analysis. He is scheduled to meet with the Greek Prime Minister in Athens on September 19 to discuss the project further. Israel's Energy Minister has also expressed interest in the project, highlighting its geopolitical significance. The United States has also been urging for its implementation. Greek Prime Minister Kyriakos Mitsotakis has stated that the project will proceed if its economic viability is ensured and any geopolitical risks are addressed. However, the Cypriot side remains focused on resolving regulatory issues related to geopolitical risks and cost allocation before moving forward with the project. The primary obstacle in the negotiations appears to be the allocation of costs between Greece and Cyprus, rather than the geopolitical risks involved. iefimerida.gr A near collision between two trains was narrowly avoided on Friday in the northwest Athens district of Aghii Anargyri. The incident was caused by human error, similar to the tragic Tempi rail disaster that occurred in February 2023. A traffic director at Acharnes railway station mistakenly sent two trains onto the same track, heading towards each other at a speed of 60 kilometers per hour. Luckily, the train engineers in both locomotives noticed the error and took immediate action to prevent a collision. One engineer stopped their train completely, averting a potential disaster. The traffic director has been suspended, and an investigation is underway. A prosecutor has ordered a preliminary inquiry into the incident, and police are collecting evidence. The near collision has raised serious concerns about the safety of Greece's railway system. It is a stark reminder of the importance of preventing such incidents and ensuring the safety of passengers. iefimerida.gr The letter of Prime Minister Kyriakos Mitsotakis to European Commission president Ursula von der Leyen follows: Dear President, I am writing to you about the issue of electricity prices. In the span of a few months, wholesale electricity prices in Greece have more than doubled from 60 /MWh in April to 130 G/MWh in August. This increase has occurred despite our remarkable progress in accelerating the energy transition. Relative to last summer, our generation from wind and solar increased by 25%, while output from lignite fell 27%. This is exactly what we want in our electricity system. Yet prices rose to levels last seen in early 2023, when we were still coping with the aftermath of the most acute energy crisis in our history. This disconnect between an energy transition that is highly successful, and electricity prices which jump suddenly to extreme levels requires a political response. Left unaddressed, it threatens our citizens and our competitiveness. It could undermine support for our EU Green Deal. In large part, the increase in prices in Greece reflected regional factors. Similar increases were seen in Bulgaria, Romania, Hungary, Croatia, and other Member States. This is a regional crisis. A number of factors explain this shock: very warm weather, exacerbated by climate change, outages of generation and cross-border capacity, and low rainfall during the winter, which left reservoirs with less water for the summer season. But our region has faced an additional burden: Russias attacks against the Ukrainian grid have turned Ukraine into a significant net importer. This deficit is being met by EU countries. This is another cost that Russias devastating war is imposing on our economies. At the same time, this shock has not impacted all Member States equally. The region from the Czech Republic to Greece normally has similar electricity prices. In early 2024, the average monthly price among countries in this region varied by just a few euros. But this summer, the disparities in prices grew larger. Some hours the price varied by over 100 /MWh among neighbors. Often, the differences were much higher. At one extreme point, the price of electricity in Hungary reached 940 G/MWh while in neighboring Austria it was 61 /MWh a 15-time difference for the same product at the same time across an internal EU border. Over several weeks, these disparities add up. What used to be a difference of a few euros became a difference of almost 100 during July. In August, the gap between the most and least expensive EU member state in this region was 45. Multiplied by the amount of electricity consumed, this is an unprecedented extra cost. And it undermines the spirit and purpose of the internal market. What is even more worrisome, the system is so complex and opaque that is virtually impossible to understand precisely what is driving prices at any given point and time. We have created an incomprehensible black boxeven to experts. And we cannot explain convincingly to our citizens why the price they pay is rising so suddenly. This is politically unacceptable. In light of these developments, we propose the following. First, we need stronger governance. This episode underscores the need for more coordination and planning at a regional level. It is especially important to ensure that country-level decisions (e.g., a planned outage) are taken with a view towards broader regional dynamics to avoid instances where such an event causes ripple effects across a much wider space than anticipated. We need a system that allows more EU input into country decisions. Second, we need more EU regulatory oversight. When prices in one country are shaped by events hundreds or even thousands of kilometers away, country-level regulation is of limited use. No regulator has a remit to inspect actors across such a wide geography to ensure that markets are functioning properly. This is a job that must be taken up by the EU. We need an EU-wide regulator for electricity that can look at multiple markets at onceand reassure consumers that there is no foul play. Third, we need a special accommodation regarding Russias targeting of Ukrainian infrastructure. Without sufficient electricity transfers within the EU, the impact of electricity exports to Ukraine is only felt only by some countries. The new Electricity Market Design offers some options to deal with a prolonged crisis that raises prices. Greece will explore these options with the aim to claw back windfall profits from producers and protect consumers during this shock. And finally, we need a new push for electricity interconnectors. We must complete the internal market. Localized congestion can affect a broad geography, making each cross-border point a matter of general interesta fact that should affect how we plan and promote cross-border capacity. Moreover, when price disparities between countries can reach such extreme levels, the cost-benefit proposition of interconnections is much stronger. With these points in mind, the new Commission should take up the task of pushing through more cross-border capacity. The events of the past few months underscore something we have long known: that the energy transition is a journey. It will require constant vigilance and adjustment. I believe the ideas we have articulated here can help correct some of the weaknesses in our market and they are aligned with the clear message of the Draghi report that we must strengthen the internal market for energy. It is imperative that we take up this challenge. The success of the EU Green Deal depends upon it. iefimerida.gr Greek police are interrogating a 40-year-old Turkish national, allegedly affiliated with the notorious Turkish criminal organization known as the "Hawks." The suspect was arrested on Wednesday on the island of Chios, raising concerns about cross-border criminal activity. During a raid on the man's residence , authorities uncovered a handgun, ammunition, and forged Italian identification documents and drivers licenses. The individual is now facing charges of illegal possession of weapons and document forgery. The arrest comes in the wake of previous operations by Turkish authorities, codenamed "Cell," which resulted in the capture of several members of the Hawks, including a suspected leader with reported connections to Devlet Bahceli, head of Turkey's far-right Nationalist Movement Party (MHP). Greek authorities are continuing their investigation, aiming to uncover the full extent of the suspect's activities on Chios and any possible links to broader criminal networks. iefimerida.gr Monday Okpebholo, the All Progressives Congress (APC) governorship candidate for the 2024 Edo State poll, has been summoned to respond to charges of forgery and perjury. A Magistrate Court sitting in Abuja summoned the candidate following the complaint filed by Honesty Aginbatse, a Peoples Democratic Party (PDP) chieftain. According to the complainant, there is discrepancy in the birth date provided in the nomination forms he submitted to the Independent National Electoral Commission (INEC). Advertisement Okpebholo is to appear at the court on September 20 before Magistrate Abubakar Mukhtar by 12 noon. Investigations revealed that Okpebholos 2023 Senate nomination form listed his birth date as March 29, 1970. However, his 2024 governorship nomination forms contained conflicting birth dates: August 29, 1970 on an age declaration, August 29, 1972 on his WAEC certificate, and August 1, 1977 on his INEC voters card. The summons read, The defendant, on 24/03/2024, submitted a list of his personal particulars dated 05/03/2024 to INEC wherein he made a statement in item number 4 in Part B, stating that he was born on 29/08/1970. A certified true copy (C.T.C) of the list of personal particulars submitted to INEC by the defendant is attached to this complaint and marked as Exhibit B. READ ALSO: Ill Claim N20m From FG For Interstate Roads I Fix For N10m Edo APC Candidate, Okpebholo In the same Exhibit B, the defendant made a declaration on oath dated 05/03/2024, supported by a verifying affidavit, wherein he attached several documents, including a Statutory Declaration of Age deposed to on 04/06/2022 by one Okpebholo Ojeifo Joseph; marked as Exhibit C in this complaint, and a West African Senior School Certificate with Candidate and Certificate numbers 4320545182 and NGWASSCS11023655 respectively, marked as Exhibit D in this complaint. The said Exhibit D contains a statement indicating that the defendant was born on 29/08/1972, which is false in all its material particulars. This is particularly so, as the statutory declaration of age mentioned in paragraph 7 above as Exhibit C, which in law stands in the stead of the defendants Birth Certificate, definitively affirmed that the defendant was born on 29/08/1970. The allegations, first raised by the PDP have prompted a call for criminal investigations into possible forgery in Okpebholos documents. Section 182.1 (d) of the Nigerian Constitution, a conviction for offenses involving dishonesty or fraud disqualifies a person from running for governorship position. Reacting to media enquiries, Aginbatse, stated that no one is above the law. Edo state cannot have characters of questionable character in public office. Sen. Okpebholo must come to tell the judge why he filed four different dates of birth under oath. The APC too owe Edo citizens a public apology for fielding such a candidate, he said. The outgoing Governor of Edo state, Godwin Obaseki, has declared the September 21 governorship election in the state as a do or die affair. Recall that Obaseki, during a visit by Abdulsalami Abubakar, former military Head of State and Chairman, National Peace Committee, alleged that police who are expected to enforce the peace accord were working for the All Progressives Congress. The outgoing Governor claimed that the police force has detained 10 members of the Peoples Democratic Party, including a Local Government chairman, without sufficient evidence or valid cases against them. Advertisement Speaking at the PDP grand finale rally in the Ekenwan area of Benin City, the state capital, Obaseki berated Adams Oshiomhole, his predecessor, for performing below his tenure. He said: The person I took over from had no respect for our people, had no respect for women, encouraged prostitution and womens trafficking. READ MORE: Edo Poll: I Wont Support Obaseki, PDPs Candidate Ighodalo Wike When I took over office, our pensioners wore black on Labor Day, but today they wear white. When I took office, our youths had no jobs, but today dont they have jobs? After eight years, is Edo not one of the safest in Nigeria? This election is a do or die. Do you want insecurity? Do you want people that didnt go to school to lead us? Next Saturday is the election, you will vote for PDP and our next governor is Asue Ighodalo. INFORMATION NIGERIA reports that Asue Ighodalo, candidate of the PDP, Monday Okpebholo of the APC, and Olumide Akpata of the Labour Party, are the main contenders in the election. Several students of the University of Benin have been trapped in a three-storey building that collapsed in Ekosodin area of Edo state. INFORMATION NIGERIA reports that the collapse, which was said to be attributed to a heavy downpour, started in the early hours of Saturday around 10 am, left some yet to be identified numbers of students trapped. Advertisement A student of the varsity, who refused to disclose his identity told SaharaReporters that a university rescue squad was able to free some of the individuals, caged inside the building. He further stated that the injured were quickly taken to the hospital for immediate medical care. The student said: The rescue team is still searching for one victim who remains trapped inside the rubble. It was reported that the victim called from inside the building, pleading for help, and efforts are ongoing to locate and rescue them. READ MORE: UNIBEN Suspends Student Union Over Attack On LP Guber Candidate, Akpata Also confirming the incident on Saturday, a staff of the school, who preferred to speak under the condition of anonymity, urged people to assist the institution in searching for other trapped students. He said: The incident happened earlier morning on Saturday. We were on duty when we got the call and we are on the scene, some people have been rescued others are still trapped. We are calling for more hands to ensure that the occupants are rescued. I am still at the scene. Eleven decomposed bodies have been found in different parts of Maiduguri, Borno State, as police rescue operations persist in the aftermath of the recent incidents. According to reports, catastrophic flooding in Borno State had caused extensive damage as it ravages the state. The military, volunteers, and other security forces relocated thousands of residents stuck in flood-damaged parts of Maiduguri to safer locations. Advertisement SP Ahmed Wakil, the Supervising Police Public Relations Officer for the Northeast, informed journalists in Bauchi on Friday that the Command is committed to reducing additional casualties and safeguarding the safety of Maiduguri citizens during this difficult period. READ MORE: Troops Eliminate Notorious Bandit Chief, Kachallah Buzu In Zamfara The statement reads, The joint efforts by CP Yusufu Mohammed Lawal psc, as well as the dedicated officers and men of the command, are actively engaged in addressing the flood disaster in Maiduguri metropolis (MMC). Regrettably, a total of eleven decomposed corpses have been recovered as a result of the recent flood disaster, and the rescue operations are currently ongoing. Following reports from various divisions, including Lamisula, Jere, GRA, Bulabulin, and Dandal Divisional Police Headquarters in Maiduguri, it was confirmed that a total of 11 drowned corpses were recovered in different areas between the hours of 1000hrs to 1400hrs on 11/09/2024 and 12/09/2024. Given the gravity of this tragic situation, the Command is committed to dedicating all possible efforts to ensure that recovery and rescue operations are carried out effectively in the affected communities. The Nigeria Army says it troops deployed for counter-terrorism operations have rescued 13 kidnapped students in Kaduna State. The rescued students comprise six males and seven females. Advertisement According to the Army, the students were rescued after a fierce gun duel with terrorists at Chigbolu village, Kachia Local Government of the State. As disclosed via a statement on Friday, dangerous weapons were recovered from the terrorists during the operation. The statement read, In aggressive offensive operations against terrorism and insurgency, Nigerian Army troops have obliterated yet another terrorist stronghold, successfully rescuing kidnapped students, and seizing a significant cache of arms and ammunition. Troops also eliminated some insurgents, while some others were captured alive. On September 12, 2024, acting on reliable intelligence, troops stationed in Kaduna State launched a highly coordinated operation aimed at rescuing abducted persons from violent extremist camps. Engaging in an intense firefight at Chigbolu village, Kachia Local Government Area, the troops overpowered the terrorists, forcing them to flee and abandoning their captives. READ ALSO: Troops Eliminate Notorious Bandit Chief, Kachallah Buzu In Zamfara A thorough sweep of the area led to the rescue of 13 victims, comprising 6 males and 7 females, as well as the recovery of one AK-47 rifle, 87 rounds of 7.63mm ammunition, 4 magazines, a locally fabricated rifle and the sum of 192,220.00. Additionally, 5 solar panels, 5 mobile phones, dry rations, and clothing items were recovered. The rescued victims have been promptly evacuated to 1 Division Medical Services and Hospital for medical attention. Additionally, speaking on the killing of terrorist kingpin, the Army said that in a ferocious strike on Thursday, it eliminated Halilu Buzu and a good number of his fighters in Mayanchi village. L The troops took the offensive to the notorious terrorists identified crossing point in Mayanchi, Maru Local Government Area of Zamfara State. The terrorists kingpin and his fighters, who put up a firece resistance on encountering the troops, however succumbed to the overwhelming firepower of the valiant troops. On winning the fire fight, the troops recovered two Rocket Propelled Grenade (RPG) guns, three PKT guns, five AK-47 rifles, 479 rounds of PKT ammunition, 366 rounds of 7.62mm (special) ammunition,182 rounds of Machine Gun1 ammunition, one RPG Bomb, 29 AK-47 Magazines, 30 Motorcycles, two Android phones, and two Yeekee phones, the statement added. The Presidential Committee on the Sale of Crude Oil and Refined Product has announced that sale petroleum products to local refineries from the Dangote Refinery will be in Naira. Minister of Finance and Coordinating Minister of the Economy, Wale Edun, disclosed this on Friday in Abuja shortly after the Technical Sub-Committee meeting Advertisement Executive Chairman, Federal Inland Revenue Service (FIRS), Zacch Adedeji, representing the Minister, announced the completion of all agreements and modalities for the implementation of the Federal Executive Council (FEC) approval on the sale and corresponding purchase of petroleum products The loading of the first batch of petrol from the Dangote Refinery, he said, will commence on Sunday, September 15. Under the leadership of President Bola Ahmed Tinubu, FEC had approved the sale of crude to local refineries in Naira and corresponding purchase of petroleum products in Naira READ ALSO: Petrol: We Dont Know Dangotes Price Marketers Deny Alleged Boycott The initiative to sell and purchase in naira is to reduce pressure on the Naira, eliminate unnecessary transaction costs, and improve availability of petroleum products in the country. Adedeji said: I am glad to announce that all agreements have been completed and loading of the first batch of PMS from the Dangote Refinery will commence on Sunday 15th September From 1st October, NNPC will commence the supply of about 385kbpd of crude oil to the Dangote Refinery to be paid for in Naira. In return, the Dangote Refinery will supply PMS and diesel of equivalent value to the domestic market to be paid for in Naira Diesel will be sold in Naira by the Dangote Refinery to any interested offtaker. PMS will only be sold to NNPC, NNPC will then sell to various marketers for now. All associated regulatory costs (NPA, NIMASA, etc.) will also be paid for in Naira. We are also setting up a one-stop shop that will coordinate service provision from all regulatory agencies, security agencies, and other stakeholders to ensure a smooth implementation of this initiative. This will be located in NPA, Lagos. He further appreciated the Committee for the hard work and patriotism exhibited and commended Tinubu for championing this novel initiative and would like to assure Mr. President that he can count on us to implement his vision. The member representing Munya Constituency in the Niger state House of Assembly, Joseph Haruna Sduzaof has died. INFORMATION NIGERIA reports that the states lawmaker passed away on Friday evening, following a brief illness. Sduzaof, who served as the Chairman of the House Standing Committee on Security and Intelligence, was said to be a prominent figure in the assembly. Advertisement The lawmakers death was contained in a statement released to the public on Friday, by the Head of Media and Public Relations of the Niger State House of Assembly, Farouq Isah. The statement reads: The death occurred this evening Friday, 13th September 2024 after a brief illness. We pray that God Almighty grant his soul eternal rest and give the family, leadership, members, and staff of Niger State House of Assembly, Constituents of Munya local government Area the fortitude to bear this loss. READ MORE: Mother Of Late Former President, Yaradua Dies At 102 Also reacting to the report, the Speaker of the Niger State House of Assembly, Rt Hon Abdulmalik Sarkindaji, expressed shock over the death of Hon Joseph. The Speaker, in a statement signed by his Senior Special Assistant on Media, Mallam Shamsudeen Lawal Binaira, described the demise of the lawmaker as a painful loss. He said: The news of the death of the lawmaker, who only last week attended a security meeting in the House of Assembly, came as a great shock. The late Duza, as he was popularly called during plenary by fellow members, offered quality representation of his Constituency regardless of party affiliation. He will not only be missed by his immediate family, his Constituency, and the state House of Assembly, but by the entire state. He was very passionate about security issues in his Constituency and the state in general. The Nigerian Air Force has announced that its special forces have successfully neutralized a high-profile terrorist leader, Halilu Sububu, in a targeted operation. In 2021, he carried out an audacious raid on a military base in Katsina, killing a number of soldiers. Despite being based in Zamfara, Sububu terrorised populations in Sokoto, Niger, and Kaduna states. Advertisement Sububus execution comes just a few hours after the Nigerian Army confirmed the death of Halilu Buzu, another terrorist mastermind in Zamfara state. In a statement released on Friday, the Nigerian Air Forces Deputy Director of Public Relations and Information, Group Captain Kabiru Ali, confirmed Sububus death and stated that 38 others were killed in Zamfara on September 12. READ MORE: Nollywood Mourns As Actor Big Larry Passes Away He said, In a decisive joint operation led by three personnel of the Nigerian Air Force Special Forces, a notorious bandit, Halilu Sububu, and over 38 terrorists were neutralized during an engagement near Mayanchi on 12 September 2024. The operation was part of an ongoing effort by NAF and ground forces to exploit intelligence and secure the area following contact with hostile elements. Ali also stated that the terrorists were found in possession of three PKT machine guns, five AK-47 rifles, 29 magazines, and over 1,000 rounds of ammunition of various calibres. He said, NAF Special Forces of Operation HADARIN DAJI, in the company of other ground troops, initiated a follow-up exploitation mission after troops encountered terrorists in the vicinity of Mayanchi. During the mission, it was confirmed that 38 terrorists had been neutralized, with 4 bodies retrieved for verification. In addition to the elimination of the terrorists, a significant cache of weapons was recovered, including 2 Rocket-Propelled Grenade tubes, 1 RPG bomb, 3 PKT machine guns, 5 AK-47 rifles, 29 magazines, and over 1,000 rounds of ammunition of varying calibres. This operation underscores the effectiveness and professionalism of the NAF Special Forces in leading critical missions in conjunction with other services aimed at neutralizing threats and maintaining national security. The King of Jeans sign, on East Passyunk Avenue in South Philadelphia, when it went up in 1994. Read more When developers replaced the iconic King of Jeans sign with condos in 2015, they asked the signs designer, Angel Anderson, if she would like to have it back. By then, the sign, which hung for two decades in all its garish, suggestive glory above Passyunk Avenue, had transformed into a celebrated piece of South Philly iconography. Andersons towering, shirtless jeans-wearing King and squatting Queen in hot pants and heels inspired album titles, short stories, and role-swapping T-shirts. Hailing it as a shrine to the avenues fading kitsch and unself-conscious weirdness, civic groups strove to keep it in the neighborhood. A museum dubbed it a 20th century landmark. Advertisement Anderson, who designed the 16-foot-tall sign for a clothing shop across the street from her studio, had lived in the shadow of her famous sign long enough. It became iconic to everyone but her, said Andersons brother, Joe Scorza. Even before the sign came down, Anderson, who died in July at 58 after a long illness, had ascended into an entirely different realm of art one that would take her far from the avenue and her sign. She painted soaring artwork for a mosaic installation in the Basilica of the National Shrine of the Immaculate Conception in Washington, the largest Catholic church building in North America. She spent months restoring biblical murals and other artwork inside a crumbling 19th-century cathedral in the Caribbean. And she repaired irreplaceable religious statuary and art pieces, including a historic oil painting commissioned by St. John Neumann himself. The artist who airbrushed stunningly lifelike portraits of Madonna, Scarface, and Kurt Cobain across a generation of South Philly T-shirts, jackets, and backpacks, and who was perhaps most famous for designing an almost-obscene mens denim ad, had found her second calling restoring the face of God. A professor told her she would never make it She was my sister, but I was in awe of her talent, said Scorza, of Anderson, who was treated at a subacute care facility for nearly two and half years after suffering cardiac arrest in 2022. The youngest daughter of a vending machine mechanic and a waitress, she grew up on Ritner Street and studied at the Art Institute before dropping out. Ironically, a professor told her she would never make it, Scorza said. Instead, she took a job airbrushing T-shirts in Wildwood. Soon, she opened her own studio on Passyunk Avenue. Her photo-like portraits of rock stars, pinup girls, and celebrities decorated the window. The shop quickly became a neighborhood staple. Growing up in South Philly in the 1990s, everyone had to have an Angel piece, said Tony Trov, cofounder of the South Fellini T-shirt shop. It was the hottest thing. You almost couldnt get your hands on it. Her stuff was hyper real. Before long, her airbrush artistry was recognized nationally, with three of her works, including an early religious piece, a reproduction of Botticellis The Madonna of the Magnificat, published in a 1994 airbrush anthology. Soon, her designs dotted neighborhood businesses, like Lorenzo & Sons Pizza on South Street. It was amazing how she could work the details with that airbrush, said Steve Calabrese, owner of Uneeda Sign, who often worked with Anderson. She could get that airbrush and pull an eyelash. She could paint something so fine. Then, the King of Jeans called. It spoke to the moment It was just another commission. Anderson and Calabrese did it together. She worked over the design of the lip-locked couple, while Calabrese built out and partially painted the sign. Later, Anderson would sit in Calabreses studio and laugh over the iconic status of the sign, an homage to the popular Patrick Nagel-inspired style of the 1980s. Wed say, Whats the fascination? he said. Some saw it as art. Some saw it as kitsch. Many saw it as a depiction of the prelude to oral sex. More simply, it caused anybody who ever saw it to look up and say, Oh, my god. It became part of East Passyunk. It spoke to the moment in the neighborhood, said David Goldfarb, of the Passyunk Square Civic Association, who tried finding the sign a new home in the neighborhood in 2015. A possible deal with a South Philly business who wanted to display the sign at the time was scuttled by a neighboring business, he said. Its now stored at a Northern Liberties salvage company under the agreement it not be sold. Some people loved it, some didnt, Goldfarb said. I dont think you could ask for much more in a piece of commercial signage. I love it and miss it. After all those years, Anderson was just tired of looking at it. Andersons legacy Angels talent was like that of a highly trained classical artist, said Louis DiCocco, president and director of the St. Jude Liturgical Arts Studio, which restores church artwork. She may not have considered herself that, but she was right up there. DiCocco initially hired Anderson around 2005 for her airbrushing skills, a common medium for art restoration, he said. But she quickly moved from murals to hand-painting, gold-leafing, modeling, and statue work. Anderson seemed to bring the religious artifacts to life, DiCocco said. She was able to convey such a sense of depth and shadowing detail that she really made the statues almost seem to talk, he said Her Passyunk Avenue studio became filled with sacred artwork, her family said. Shed text me, and say, Look, heres Jesus new hand, said Andersons sister, Marie Silvestro, with a laugh. She loved that work. Anderson thrilled in the details and challenge of the restorations, taking a class in oil painting before delicately repairing a fragile portrait of St. Anthony that now hangs in St. Peter the Apostle, the church located above the National Shrine of St. John Neumann. She climbed on rickety scaffolding to hand paint artwork inside a nearly 200-year-old cathedral in the U.S. Virgin Islands. Around 2015, in by far her most prestigious job, she painted the original art used for the final mosaic installation in the striking Trinity Dome of the Basilica of the National Shrine of the Immaculate Conception in Washington. Her artwork completed the ornamentation of the Shrines great upper church, a project that began in 1959. For months, she sketched and painted images of the four evangelists in her fine art studio, Bach playing on the radio, her cat stalking her feet, and her door open to South 13th Street, and the nearby avenue, where her famous sign once hung. That is her legacy, DiCocco said of the mosaic installation. The Basilica is one of the most beautiful churches in the world. To be able to say that youve done artwork there is quite a feather. In the days after her sisters death, friends flooded the family with photos of T-shirts and sweaters that Anderson had long ago airbrushed, but that they had never worn or washed, said Marie Silvestro. They didnt want to ruin it, she said. And when she lay dying, her friends and family hung images of her work around her bedside, everything from pinup portraits from the King of Jeans days to her grand religious works, so she could be surrounded by all the beautiful things she created. A 28-year-old woman who was working as a nanny was arrested Friday and accused of criminally neglecting a 3-year-old child who drowned in a pond earlier this month in a case that puts a focus on the tragic consequences of cell-phone distraction. Imani Lewis of Richmond, Va., was charged with involuntary manslaughter and endangering the welfare of a child, the Chester County District Attorneys Office announced. Bail for Lewis was set at $100,000 and her preliminary hearing was scheduled for Sept. 26. Advertisement Shortly before 7 p.m. Sept. 2, Tredyffrin Township police responded to Wilson Farm Park, a 90-acre recreational facility on Lee Road in the townships Chesterbrook section, for a report of a missing 3-year-old boy who was nonverbal, according to the affidavit of probable caused filed in support of Lewis arrest. Lewis was working as the childs nanny and called 911 to report him missing. According to the affidavit, Lewis told the 911 call taker she last saw the child in the area of the all-abilities play set. He had removed his shoes and Lewis, who was seated on a bench nearby, had gotten up to retrieve them, and when she got back to the bench she could no longer see the child, Lewis initially told police, according to the affidavit. She started looking for him, including asking others at the park if they had seen the child. One person urged her to call 911, which she told police she did about 10 minutes after last seeing him, according to the affidavit. An investigation, which included video surveillance of the park, revealed that it was more like 48 minutes before she called police, the affidavit states, and that she was on her phone for much of that time. When police arrived, they searched the area and found the child unresponsive and floating face-up in a stormwater retention pond about 310 feet and down a slight embankment from the playground. A split-rail fence borders the play area, according to the affidavit. The child, whose identity was not released, was in 16 inches of water about 6 feet from the ponds edge, the affidavit says. After removing him from the water, police started attempts to resuscitate him and continued those efforts while transporting him in a patrol vehicle to Childrens Hospital of Philadelphias King of Prussia campus, where he was pronounced dead. An autopsy revealed the cause of death as drowning. Tredyffrin Detective Michael Cermignano wrote in the affidavit that he asked Lewis if she had been on her cell phone while the child was playing. She said that she had but only briefly to compile a grocery list, adding, according to the affidavit, I think thats when I looked up and didnt see the child. Investigators later determined that the Defendant had been in contact with several people through phone calls and text messages, before, during and after the victim had gone missing, the District Attorneys Office said. Video surveillance at the Wilson Farm Park showed the child victim utilizing the playsets while the Defendant was observantly using her cellphone and distracted from supervising the victim. Lewis told Cermignano she had checked the retention pond, saying she had taken the child there in the past to see the ducks, according to the affidavit. After police found the child in the water, Lewis asked if she was going to be in trouble and added, according to the affidavit, at some point, it seems like neglect because I should have been paying more attention. Video shows the child walking off the all-abilities play set at 5:57 p.m., climbing through the split-rail fence, while Lewis, about 90 feet away on a bench, does not appear to notice, according to the affidavit. The child can be seen running, walking, and then running downhill toward the retention pond and is out of camera view by 5:58. About a minute later, Lewis looks up, then stands up and starts walking around the play area looking for the child, all while using her phone, according to the affidavit. Lewis would not call 911 until 6:47 p.m. The investigation revealed that between 5:41 p.m. (when Lewis and the child arrive at the play area) and 6:47 p.m., Lewis was using apps, making voice calls and text messages, and browsing the internet, according to the affidavit. In support of the criminal charges against Lewis, Cermignano wrote in the affidavit that the child required reasonable and vigilant supervision while at the park, both due to his age and developmental ability and his prior behavior at that specific location. As his paid caregiver and nanny, Lewis owed a legal duty of care, protection and support to the victim child. It could not be determined if Lewis has hired an attorney. Tredyffrin Police Chief Michael Beaty said in a statement: This is a devastating death for the victims family. Our entire community has felt the effects of it. Staff writer Vinny Vella contributed to this article. A 37-year-old Philadelphia woman has been charged with stealing nearly $100,000 in disability benefits intended for a woman whose body was found in 2022 buried under concrete in the basement of a home in the citys Frankford section, U.S. Attorney Jacqueline C. Romero announced Friday. Holly Sadowski was charged by a grand jury indictment with Social Security fraud, wire fraud, and aggravated identity theft, for concealing the womans death, Romero said. Advertisement Two federal defenders listed as Sadowskis lawyers could not be reached for comment Friday. The indictment was filed in May but only unsealed last week. The deceased woman, who is identified only as E.W. in the indictment, was last seen alive in December 2012 during an appointment with a service provider that she had been visiting monthly since 2004. The indictment said that E.W. scheduled her next appointment for January 2013 but did not appear for the appointment, and that she never contacted the provider again. In December 2022, a court authorized the search of a home on the 5200 block of Burton Street where E.W. had lived, the indictment said. Human remains were found buried under six to eight feet of concrete in the basement of the home. DNA testing confirmed the remains belonged to E.W. A forensic anthropologist concluded that E.W.s cause of death was blunt trauma to her head and neck and the manner of death was homicide, the indictment said. It was estimated that E.M. had died four to 10 years prior to the discovery of her remains. The indictment does not describe any details from the citys homicide investigation. According to the indictment, from 2013 through April 2023, the Social Security Administration transferred $99,447.90 in funds to a bank account belonging to E.W. Sadowski allegedly accessed those funds during that period and even submitted an online banking application in E.W.s name in 2021. Online records show Sadowski had some connection to E.W.s address since 2009, three years before E.W. was last reportedly seen. A Philadelphia Police Department website dedicated to unsolved Philadelphia murders reported that the remains belonged to Elaine Whitman, and that they were unearthed inside the basement of a residence on the 5200 block of Burton Street on Dec. 19, 2022. An examination by the Office of the Medical Examiner determined that the homicide was caused by blunt force trauma. Investigators believe that Elaine was assaulted in May of 2014, in the same residence where her remains were found, the website said. Whitman was 44 years old, according to the website. Online records show that Whitman lived at the house on Burton Street. Optometry students hold signs on Friday in support for their Dean Melissa Trego during a rally at Salus University in Elkins Park. The leadership of Salus has removed the dean as the university works to implement its merger with Drexel University. Read more The leadership of Salus University is removing the dean of its Pennsylvania College of Optometry as the university continues to implement a merger with Drexel University, faculty said Friday. The leadership change was expected to take effect Friday, and faculty in the optometry college have approved a vote of no confidence in Michael Mittelman, president of the small, private health sciences university based in Elkins Park, and Provost Gerard OSullivan. Faculty sent the results of the vote along with remarks to both the chair of the Drexel board and interim President Denis OBrien. Advertisement Dr. Mittelmans decision to remove Dr. Melissa Trego, the current dean, who has been an outstanding leader for the past eight years, has created widespread concern and discontent among faculty, staff, students, and alumni, faculty wrote in a letter, noting that 55 of 62 eligible faculty voted in favor of the no-confidence measure. Dr. Tregos leadership has been pivotal in advancing the colleges academic programs, supporting PCO students and faculty, and ensuring the fiscal stability of the college. READ MORE: Drexel Universitys merger with Salus gets approval as President John Fry prepares for a likely exit No-confidence measures are largely symbolic and carry no authority but send a strong signal of the facultys discontent. More than 360 students also approved a no-confidence vote in Salus leaders, they wrote in a letter to Drexel leadership and shared with the Inquirer. Students put up signs in support of Trego and many wore white, a departure from their gray scrubs. They also put hearts on Tregos door with messages of support; one says Dr. Trego is PCO. Trego, who was offered the opportunity to remain on the faculty, declined to comment. Salus in a statement said Trego stepped down. This change reflects Salus continued commitment to improving the academic performance of the optometry program, and it follows multiple years of declining National Board of Examiners in Optometry (NBEO) scores, Salus said in a statement. We can and we must do better to prepare our students for success in the classroom and beyond, and for this reason, the leadership change at PCO is the correct course for our future. An interim dean is expected to be appointed in the coming days, the university said. Drexel said in a statement that although its merger agreement was approved by the Middle States Commission on Higher Education, Salus academic operations will continue to be run independently until the U.S. Department of Education gives its approval, which is likely next summer. As such, any decisions made regarding academic operations at Salus are handled by the Salus administration, Drexel said. Drexel looks forward to the final merger at which time in accordance with accreditation requirements, the College of Optometry will remain a stand-alone college. Faculty said Trego was told the Salus administration was concerned that students first-time pass rates on part one of the exam had fallen below the national average over the past few years. But they say its unfair to blame the dean and assert that the school has continued to meet accreditation standards that require that 80% of students pass all three parts within six years of matriculation. Ive witnessed things that I do think have contributed to low board scores, said Maria Armandi, an assistant professor of optometry, citing a decrease in the applicant pool, more competition for students and a decline in the national average of scores. Our dean is not one of them. Armandi said the dean has implemented changes, including concentrated review courses, a practice exam and external test preparation courses. READ MORE: Drexel and Salus Universities have decided to proceed with a merger It is without hesitation that I have put my support behind her because of the type of leader she is, because of the type of person she is, because of the type of optometrist she is, and because of the type of educator she is, Armandi said. She is an example for all of us. The board exam is made up of three parts: Part one covers the basic sciences, while the second is focused on case scenarios, and the third on the practical exam, she said. Armandi said faculty already are on edge with the implementation of the merger with Drexel. Dr. Trego was really trying to make her best effort to help us through the challenging part of this transition and creating a sense of opportunity and positivity about what our future will be like at Drexel, she said. READ MORE: Drexel University is consolidating schools and asking several deans to step down Earlier this week, Drexel asked several deans to step down as part of a consolidation of two colleges and one of its schools into a new entity. The move is coming amid a budget crunch at Drexel and as the West Philadelphia university prepares to move from a quarter system to a semester system, which is part of a larger academic restructuring. But the dean change at Salus does not appear to be budget related, faculty said. Optometry is one of three colleges at Salus and is the foundation of its history. Founded in 1919 as the Pennsylvania State College of Optometry, it is one of the oldest optometry schools in the United States. It became part of the newly created Salus University in 2008. Faculty also are concerned about the potential impact the dean change will have on the schools optometry reaccreditation process next year. All schools must undergo accreditation reviews regularly. Stephanie Holt, an assistant professor of optometry, said she has known Trego since 2000 when they both enrolled as students at the optometry school and graduated together in 2004. Trego has been on the faculty of the school since 2006 and also has had a national leadership role, recently serving as president of the Association of Schools and Colleges of Optometry. Shes created a community and family with our students, our faculty, our staff, our alumni and I think thats why theres such a show of support, Holt said. She truly has an open-door policy. She listens to people. PJ Harvey in a photo from 2023. The British songwriter played the Met Philly on Friday night. Read more PJ Harveys show at the Met Philly on Friday was ruthlessly efficient and ultimately satisfying. It was a taut, terrific, 1-hour, 45-minute performance full of mystery and drama that met the needs of both artist and audience. It was Harveys first time in Philadelphia in seven years. The British songwriter, vocalist and multi-instrumentalist was last in town at the Fillmore in 2017 behind The Hope Six Demolition Project, an album whose global geopolitical aspirations drew on research conducted in Afghanistan, Kosovo, and Washington. Advertisement This time, Harvey and her fabulous five-piece band which included longtime collaborator John Parish on guitar came to Philly with music focused far closer to Harveys home in Dorset in the west of England. Her 2023 album I Inside the Old Year Dying is a folky, spooky adaptation of Harveys 2022 epic poem Orlam, a coming-of-age fable in a frightful, magical setting perhaps inspired by her childhood growing up on a sheep farm in the region. The songs on I Inside are bewitching, often quite beautiful, and also enigmatic as they put ancient Dorset dialect to use in songs that make reference to Shakespeare and Elvis Presley. The latter turns up in Lwonesome Tonight, as Harvey asks Are you Elvis? Are you God? Jesus sent to win my trust? Also, A Childs Question, August repeatedly references Love Me Tender. Many among the generations of fans who filled the Met some old enough to remember her first Philly performance at the Chestnut Cabaret for her debut album, Dry, in 1992 did not seem to be familiar with Harveys new material. But rather than sprinkle the new songs into her set, Harvey started the show by playing the new album in its entirety, right from the get-go. And since there was no opening act and this was only the second night of a U.S. tour that began earlier in the week in Washington that meant that much of the crowd was still filing in only to find that Harvey was already on stage at 8 p.m., conjuring a lovely but inscrutable spell. Good for Harvey, 54, on that front. Three decades ago, she released a trio of masterly albums Dry, followed by 1993s Rid of Me (produced by Steve Albini, who died last year. He changed the course of my life, Harvey said then), and 1995s To Bring You My Love. Harvey could easily be in the anniversary tour business at this point, celebrating the pulverizing power of her astonishing early work. Instead, shes pushing forward as an artist and inviting her people in to a mystical, strangely beautiful world. On Friday night, she moved about the stage dressed in a Todd Lynn-designed cape imprinted with the images of leafless trees, her expressive voice in gloriously good form. She spoke not a word of stage patter, not even a How ya doin, Philly? Each song in the quickly paced show was greeted with polite applause, as the crowd wondered if they were ever going to get the songs they came to hear. Never fear. Harvey exited the stage after the album-closing A Noiseless Noise, leaving the band Parish, drummer Jean-Marc Butty, multi-instrumentalist Giovanni Ferrario, and bass player James Johnston to step forward and sing the jaunty The Colour of the Earth, from 2011s Let England Shake. Then, she was immediately back for a second set, the intermission lasting approximately 15 seconds. And from there, the show dug in to Harveys illustrious back catalog, beginning with two antiwar songs also from Let England Shake. On the martial, ironic This Glorious Land, she plugged in and played electric guitar, and on The Words That Maketh Murder, she switched to Autoharp as the band chimed in backup vocals borrowed from Eddie Cochranes Summertime Blues: Im gonna take my problem to the United Nations. Early on in the second set, a sound problem required a roadie named Buddy to come on stage to work on Harveys guitar amp before Angelene, a song sung from a sex workers perspective from 1998s Is This Desire? that observes Dear God, life aint kind / People getting born and dying. The glitch slowed the quickly paced show down, and led to an inspired burst of Philly fandom. While the roadie was on stage, chants of Bud-dy! Bud-dy! broke out, echoing the 40,000 who called out Phillies infielder and Millville, N.J., native Buddy Kennedys name at Citizens Bank Park earlier in the week. Genius! But I digress. Much of what makes Harvey uniquely compelling on stage is derived from her sense of reserve. Shes a disciplined performer whos never indulgent, adept at bringing her songs to abrupt, dramatic conclusions, and leaving the audience wanting more. That was true on Friday as she moved from strength to strength toward the end of the show, as she reached back to Dry for the fiercely feminist Dress, to the epic, biblical To Bring You My Love, on which she bellowed with primordial force. But she was equally powerful on Man-Size Sextet as she rode a rugged-yet-restrained guitar riff, sounding superpowered and understated all at once. No need to shout? she asked. Can you hear, can you hear me now? Indeed we could. DOVER, Del. Police dispatchers in Delawares capital city are being lauded for helping direct rescuers to a boat foundering in the English Channel thousands of miles away. Dispatchers for the city of Dover found themselves at the center of an international rescue effort last month after receiving a call from an Albanian man who thought he was calling emergency personnel in Dover, England. Advertisement The mix-up happened Aug. 27, when the man learned that his brothers boat was sinking in the English Channel, according to Delaware authorities. The caller had conducted an internet search for the Dover Police Department and the first search result on the screen proved to be the Dover, Delaware Police Department, police officials said in a news release Thursday. The family member thought they were calling Dover, England but was connected with our agency here in the United States. Recognizing that trying to refer the frantic caller to authorities in England could cost valuable time, Communications Operator MacKenzie Atkinson kept the man on the line and began collecting critical information. The caller provided the coordinates of the vessel, and Atkinson, who had recently acquired certification from the International Academies of Emergency Dispatch, followed protocols for a vessel in distress, officials said. Meanwhile, Communications Officer Connor Logan began making international notifications. Within four minutes, he established contact with the several agencies, including the U.S. Coast Guard, French Coast Guard, His Majestys Coastguard in England, the United Kingdoms Maritime and Coastguard Agencys Coordination Center, and police stations in Dover, England, officials said. Dover police said that 15 minutes and 48 seconds after the initial call, the Maritime and Coastguard Agency confirmed that rescuers were on their way to the vessel. Dover police said they received confirmation on Sept. 5 that the vessel and everyone on board were safely returned to the Port of Dover, England. Dover police officials have nominated Atkinson, Logan and two other communications officers on duty during the emergency for a Distinguished Unit Commendation. Secretary of State Antony Blinken speaks during a news conference about Russia's election interference at the State Department on Friday. Read more WASHINGTON The U.S. State Department announced new sanctions on Russian state media Friday, accusing a Kremlin news outlet of working hand-in-hand with the Russian military and running fundraising campaigns to pay for sniper rifles, body armor and other equipment for soldiers fighting in Ukraine. While the outlet, RT, has previously been sanctioned for its work to spread Kremlin propaganda and disinformation, the new allegations suggest its role goes far beyond influence operations. Instead, Secretary of State Antony Blinken said, RT is a key part of Russias war machine and its efforts to undermine its democratic adversaries. Advertisement RT wants its new covert intelligence capabilities, like its long-standing propaganda disinformation efforts, to remain hidden, Blinken told reporters. Our most powerful antidote to Russias lies is the truth. Its shining a bright light on what the Kremlin is trying to do under the cover of darkness. RT has also created websites posing as legitimate news sites to spread disinformation and propaganda in Europe, Africa, South America and elsewhere, officials said. They say the outlet has also expanded its use of cyber operations with a new unit with ties to Russian intelligence created last year. The crowdsourcing effort ran on Russian social media platforms and sought to raise funds for military supplies, some of which were procured in China, officials said. There were no obvious connections between RT and the fundraising campaign, or any indication that Chinese officials knew their products were being sold to Russia. The list of supplies also included night-vision equipment, drones, radios and generators. RTs actions show its not just a firehouse of disinformation, but a fully fledged member of the intelligence apparatus and operation of the Russian government, said Jamie Rubin, who heads the State Departments Global Engagement Center. The sanctions announced Friday target RTs parent organization, TV-Novosti, as well as a related state media group called Rossiya Segodnya and its general director Dmitry Kiselyov. A third organization and its leader, Nelli Parutenko, were also sanctioned for allegedly running a vote-buying scheme in Moldova designed to help Moscows preferred candidates in an upcoming election. Russias Foreign Ministry spokeswoman Maria Zakharova suggested the sanctions against RT were unnecessary because it has already been sanctioned. I think a new profession should appear in the United States a specialist in sanctions already imposed against Russia, she wrote on her Telegram channel. Russias global propaganda work is receiving extra scrutiny in the months leading up to the U.S. election. Last week, the Biden administration seized Kremlin-run websites and charged two RT employees with covertly paying a Tennessee company nearly $10 million for its content. The company then paid several popular far-right influencers, whose content often mirrored Russian talking points. Two of the influencers said they had no idea their work was being supported by Russia. This summer, intelligence officials warned that Russia was using unwitting Americans to spread its propaganda by disguising it in English on sites popular with Americans. Officials say Russia seeks to divide Americans ahead of the election as a way of reducing support for Ukraine. Russias influence operations also appear designed to support former President Donald Trump, who has criticized Ukraine and the NATO alliance while praising Russian President Vladimir Putin. The Pennsylvania Supreme Court ruled Friday that counties can reject mail ballots over missing or incorrect dates. Read more Thousands of undated and wrongly dated mail ballots expected to be cast in Novembers election could be thrown out after the Pennsylvania Supreme Court on Friday overturned a lower court ruling ordering these votes be counted. In a 4-3 ruling, the state high court justices threw out that earlier decision last month in which the Pennsylvania Commonwealth Court ballots could not be rejected under a provision of state law that requires Pennsylvania voters to hand write the date on the outer envelope of their ballots for it to count. Advertisement But the justices did not engage with the lower courts reasoning that the law unfairly disenfranchised otherwise eligible voters and instead vacated its ruling on procedural grounds, citing defects in how the case was originally filed. The reversal, which comes less than two months before Election Day and just days before mail ballots could start going out in the critical swing state, delivered the latest turn in a long-running legal back-and-forth that has left the fate of ballots missing dates or dated incorrectly in question election after election. But Fridays court ruling may not be the last word. Separate legal challenges to the states dating requirement remain pending before a federal judge in Western Pennsylvania and the U.S. Supreme Court. Pennsylvania Supreme Court Justice David Wecht, in a dissent from his colleagues ruling Friday, questioned their decision to overturn the Commonwealth Court without a full briefing, and said it was high time the matter was settled for good. A prompt and definitive ruling on the constitutional question presented in this appeal is of paramount public importance inasmuch as it will affect the counting of ballots in the upcoming general election, Wecht, a Democrat, wrote in a statement that was joined by Chief Justice Debra Todd and Justice Christine Donohue, both Democrats. The courts four other justices two Democrats and two Republicans made up the majority. In a statement Friday, the Pennsylvania Department of State echoed Wechts sentiment, and said it hoped the issue would be resolved as soon as possible. Todays decision is disappointing and leaves unanswered the important question of whether the dating requirement violates the Pennsylvania Constitution, as the Commonwealth Court found, Geoff Morrow, a spokesman for the department, said. Voting rights groups including the American Civil Liberties Union and the Public Interest Law Center, which originally brought the lawsuit the state high court resolved Friday have long sought to get rid of the date requirement, arguing it serves no purpose, as election administrators dont use the written dates to determine whether a vote was cast on time. More importantly, they argue, the requirement results in the rejection of thousands of votes each year which were otherwise legally cast. The Republican National Committee and Pennsylvania Republican Party have fought to retain the date requirement, arguing it would be improper for the court to reject requirements put in place by the state legislature. In its ruling last month, the Commonwealth Court agreed that the dating requirement served no compelling governmental purpose and tossing undated ballots infringed upon state protected voting rights. It ordered election boards across the state to enforce the requirement only when it was necessary to prevent voter fraud. The state Supreme Court did not engage with the reasoning behind the lower courts decision as it overturned that ruling Friday. Instead, the court majority said the Commonwealth Court lacked the jurisdiction to issue its ruling and that the parties whod brought the suit needed to have sued elections officials in all of the states 67 counties. (Their original lawsuit only named Pennsylvania Secretary of State Al Schmidt and the Boards of Elections in Philadelphia and Allegheny Counties as defendants.) Steve Loney, supervising attorney for the ACLU of Pennsylvania, said he disagreed with the courts decision and was disappointed the justices had declined to resolve the issue. He said the organization would continue working to eliminate the date requirement before the election. The ACLU is representing some of the plaintiffs pursuing ongoing litigation in the federal courts over whether the disqualification of votes for missing or incorrect dates violates the U.S. Voting Rights Act. Still, the Republican National Committee, which had led the appeal before the Pennsylvania Supreme Court decided, hailed Fridays ruling as a victory. The Keystone State will be absolutely critical in this election, and the Supreme Court has decided a major victory for election integrity, RNC Chairman Michael Whatley said in a statement. State and local officials had sought to minimize the number of ballots rejected in the April primary through newly designed envelopes that filled out part of the date for voters, leaving them to finish the rest. But the envelopes did not fully solve the problem. In Philadelphia, more than 400 ballots were rejected in the primary election because they were undated or incorrectly dated. Statewide, nearly 8,000 ballots were rejected for issues with the date, signature or privacy envelope. Spotlight PA is an independent, nonpartisan, and nonprofit newsroom producing investigative and public-service journalism that holds power to account and drives positive change in Pennsylvania. Sign up for our free newsletters. HARRISBURG Funding public transit, reauthorizing utility shutoff protections, and agreeing on new rules for hospitals are all at the top of the legislatures priority list when it returns to Harrisburg later this month. Advertisement Just over a dozen voting days are scheduled before the end of the current two-year legislative session, all in the shadow of the forthcoming presidential election. Bills that are not passed by both chambers by the end of this year must start the legislative process from scratch when the new session starts in January. While state legislators and Democratic Gov. Josh Shapiro passed a bipartisan budget deal in July that included a major increase for K-12 education funding and long-sought permitting reforms, compromise on other issues remained elusive within the divided General Assembly. READ MORE: Suburbs of small cities like Harrisburg are shifting blue and could help Kamala Harris win Pa. The chances of some key measures advancing in the last weeks of session from a minimum-wage increase to relief for child sexual abuse survivors are low amid partisan distrust and election-year politicking. But some less-politicized issues could receive bipartisan support as lawmakers up for reelection finalize their closing pitch to voters, according to legislative leaders and other Capitol sources. We got a lot of other work to do, Shapiro told reporters last month. In the years remaining session days, starting when the state Senate returns on Monday, expect to see debates on the following issues: Is taxing skill games the path to more transit funding? At the top of the list for fall is reaching a compromise on funding the commonwealths 50-plus public transit agencies, from SEPTAs sprawling commuter rail network to rural medical transit services, all of which face budget deficits as pandemic subsidies dry up. But finding a solution within the divided General Assembly will likely require solving another politically thorny issue: the regulation and taxation of skill games, slot machinelike devices that have proliferated in recent years in bars, restaurants, stores, and social clubs. Currently, the state gives transit agencies about $2 billion in aid each year, mostly from state sales tax revenue. In his February budget address, Shapiro called for $283 million in new funding starting this year. However, state Senate Republicans, reluctant to raise spending, agreed to a one-time, $80 million boost in the final budget deal after a last-minute push by Philadelphia legislators. The legislature used surplus dollars to fund the spending. We didnt get what we anticipated, what we were hoping for and fighting for, State Rep. Morgan Cephas (D., Philadelphia) told reporters in July, but at the very least, this down payment is the start of a conversation. READ MORE: Pa. lawmakers reach $47.6 billion budget deal with sweeping changes to public education Transit agencies said the funding was just a stopgap. In the meantime, they are trying to balance their budgets through other approaches. SEPTA announced earlier this month that it would effectively raise fares for tens of thousands of riders by eliminating a 50-cent discount for paying with a card instead of cash. So how do skill games fit into the picture? State Senate Republicans have placed some big conditions on supporting new transit funding, and one is finding a new revenue source. I cannot fathom any way we can address [transit funding] under our existing revenue streams, state Senate Majority Leader Joe Pittman (R., Indiana) told Spotlight PA last month, echoing a point he has made since June. And from my vantage point, the only area of significant revenue that Ive heard some degree of consensus on is the need to regulate games of skill. In a statement last week praising SEPTAs decision to raise some of its fares, Pittman also noted another condition for Republicans. Any new investment in SEPTA must be coupled with road and bridge spending across the state, he said, arguing that its hard to ask rural constituents to invest hundreds of millions of dollars into mass transit. If were going to deal with both issues, we need a new revenue stream which will not place additional pressure on the General Fund, he said, referencing Pennsylvanias checking account. But despite the promise of $150 million or more in revenue, the legislature has not advanced a skill games bill, as skill games operators and casino interests have spent millions of dollars lobbying for and against it. Legislative proposals on the issue range from lenient rules and taxation to an outright ban. The measures are often sponsored by lawmakers who report gifts or big-money campaign checks from the very groups they are attempting to regulate. In a statement, state House Democratic spokesperson Elizabeth Rementer said the caucus is willing to talk about a way forward on skill games that balances the needs of social clubs that benefit financially with the existing casino industry while preventing underage and problem gambling. Its a tricky issue for lawmakers from Philadelphia, where City Council passed an ordinance restricting skill game terminals to bars and restaurants. Cephas, chair of the citys legislative delegation, said she wants any legislation regulating skill games to give Philadelphia the freedom to make its own rules. An expiring utility lifeline The state House and Senate are also pressed to reauthorize a key piece of state law that regulates how utilities treat customers struggling to keep up with their electric or gas bills. The provision, also known as Chapter 14, was created 20 years ago to establish standards and procedures for utilities to collect unpaid bills and terminate service for delinquent customers to help keep rates down across the board. The law also added a few consumer protections, such as a ban on shutting off utilities during the winter. The law expires every 10 years and must be renewed by the end of 2024. In recent years, advocates for the states poorest consumers have argued that by allowing utility companies to tack additional fees, fines, and deposits onto low-income customers bills, the law has become more punitive than protective. A bill introduced in the state House folded in some of the advocates suggestions, including proposed bans on summer utility shutoffs and reconnection fees for low-income customers, as well as an extension of medical waivers that protect vulnerable customers utility access from 30 to 90 days. It currently sits in committee. The state Senate, meanwhile, has already passed a bill that would reauthorize Chapter 14 and includes modest changes such as more time between a shutoff notice and losing service, and allowing for longer repayment plans. It would also allow medical waivers to last for 60 days. State House Democrats, state Senate Republicans, and the Shapiro administration have all said the issue is a priority. We continue to work in good faith with the Senate and stakeholders on a compromise that balances consumer protections and availability of these essential utilities, Rementer, the Democratic spokesperson, said in a statement. Shapiro has publicly signaled support for parts of the lower chambers bill. Tucked into the bottom of a release about an unrelated energy proposal this spring, the administration said it supports commonsense protections for consumers against unfair practices such as excessive reconnection fees and greater access to medical waivers. The expanded consumer protections are opposed by utility companies main lobby, the Energy Association of Pennsylvania, which argues the bills will give more leverage to customers who are behind on payments and lead to increased costs for all consumers. According to data from the Public Utility Commission which regulates hundreds of providers across the state gas and electric utilities wrote off $187 million due to nonpayment in 2022, out of a total of $11.2 billion they billed residential customers for services. It is our strong preference that the House and Senate reach a reasonable compromise and reauthorize the law rather than require the affected parties to go through another lengthy, difficult process at the PUC, Terrance Fitzpatrick, president of the Energy Association of Pennsylvania, told Spotlight PA in an email. Absent action, the law will expire on Dec. 31. If that happens, the Public Utility Commission will have to step in and develop new rules to regulate shutoffs. However, the commission has already adopted some existing protections such as the ban on winter utility disconnections into its regulations, utility advocates say, and they will remain in force. Proposals target hospital staffing, transparency Since the start of session last year, the Democratic-controlled state House has passed a half dozen bills that would tighten hospital regulations, all with bipartisan support. That includes a union-backed proposal to cap the number of patients that each hospital nurse attends to, another that would give the state attorney general more power to block hospital mergers, and one that would mandate hospitals publicly release their prices for all procedures and services. The staffing proposal is a top priority for the nurses trade association and labor unions, including the influential SEIU, which represents thousands of Pennsylvania nurses and contributes millions of dollars to Democrats campaign coffers. The proposals backers argue it will prevent burnout in the already strained nursing workforce and lead to better outcomes for hospital patients. They also argue that decreased readmissions and shorter hospital stays will make up for additional staff costs. The Hospital and Healthsystem Association of Pennsylvania, however, opposes the measure. In a letter to lawmakers last year, the powerful advocacy arm for the states hospitals said staffing ratios will lead to fewer open beds, if not the outright closure of some facilities, particularly in rural areas. The 240-member association employs four lobbying firms and has spent almost $2.4 million to reach lawmakers since the start of 2023. Its members, from giants like UPMC to smaller regional hospital networks, have separately spent hundreds of thousands of dollars to reach legislators. In a statement, association chief executive Nicole Stallings said that legislators need to focus on the causes, not the symptoms, and instead address structural issues such as workforce shortages and persistent underpayment for medical services across payers. The state Senate has been slow to take up many of the state Houses hospital bills, but not altogether unwilling. During the summer, for instance, the upper chamber overwhelmingly approved a bill banning noncompete clauses for some medical professionals employment contracts. Shapiro signed it into law in July. Pittman declined to comment on the nurse staffing bill but told Spotlight PA that the hospital pricing bill has merit. The latter is sponsored by a mix of progressive Democrats and Freedom Caucus Republicans and is backed by conservative groups such as Americans for Prosperity. Transparency, Pittman said of the proposal, is always a positive for the consumer. BEFORE YOU GO If you learned something from this article, pay it forward and contribute to Spotlight PA at spotlightpa.org/donate. Spotlight PA is funded by foundations and readers like you who are committed to accountability journalism that gets results. Vice President Kamala Harris will be interviewed live by members of the National Association of Black Journalists on Tuesday afternoon at WHYY, Philadelphias NPR affiliate. The conversation, which will be streamed on Facebook and YouTube starting at 2:30 p.m., is scheduled to come roughly seven weeks after former President Donald Trump first publicly questioned and attacked Harris racial identity during a combative interview at the groups conference in July. The conversation is not a campaign event, but it underscores the important role that Philadelphia continues to play on the national political stage. The conversation will take place a week after Harris and Trump debated at the National Constitution Center across the street from WHYY. Advertisement Harris appearance before an organization representing Black journalists also highlights the importance that Black voters will play in determining who wins the presidential race. Trumps disastrous NABJ interview in July, just 10 days after Harris entered the election campaign, backfired, with even Republican allies distancing themselves from his false suggestion that she had misled voters about her racial identity. She was Indian all the way and then all of a sudden she made a turn and she went she became a Black person, Trump said of Harris, whose mother emigrated from India and whose father emigrated from Jamaica. I think somebody should look into that. Harris has since responded to Trumps racist remark, most recently on the debate stage Tuesday. I think its a tragedy that we have someone who wants to be president who has, consistently, over the course of his career, attempted to use race to divide the American people, Harris said. Harris, who attended historically Black Howard University, would be the first Black woman to serve as president if elected, in addition to being the first Asian American or woman of any race. The vice presidents NABJ conversation in Philadelphia will be attended by professional and student members of the organization, along with 100 journalism and communications students from local HBCUs. It coincides with the White Houses national HBCU conference taking place at the Philadelphia Marriott Downtown through Thursday. Tuesdays event will mark Harris 13th scheduled visit to Pennsylvania this year. The vice president has been giving special attention to Philly in the past several days. Harris sat down for a pretaped interview with 6ABCs Brian Taff that aired Friday evening at 6, she stopped by a watch party after the debate at the Cherry Street Pier, and her campaign rolled out Philly-specific advertisements the usual: pretzels, cheesesteaks, Wawa around the city on the day of the debate. The spotlight on Philadelphia is not a coincidence. Locked in a dead heat with Trump in the commonwealth, according to recent polling, both candidates will likely need to secure as many votes as possible in its largest city to be victorious in Pennsylvania, a crucial battleground state that will play a role in determining the outcome of the election. Tuesdays event with Harris is not open to the public, but the livestream will be widely available online. PolitiFact will fact-check the conversation in real time through the hashtag #NABJFactCheck and through a live feed on the NABJ website. This week, the EU ordered Apple to pay an outstanding bill of 13.8bn to the Irish state, in a landmark ruling covering tax owed from 2003 to 2014, bringing the full total of money on hand from Apple judgements to 14.1bn. This news, though welcome, was slightly complicated by the well-known fact that the Irish government didnt appear to want the money and had, in fact, aided in fighting the courts attempting to make this judgement happen. That process having failed, the Irish state and therefore all of us were being served a piping hot plate of 14bn that nevertheless bore the bitter taste of defeat. From a certain angle, I can understand the Governments reluctance to take the sum. It seems like a lot of hassle, especially when you consider that Ireland is a perfect country with no problems and a packed schedule of infrastructure projects marching ahead on time and under budget. The temptation would be, one worries, to spend it on something frivolous or unnecessary; to whip oneself into a frenzy at the sight of a bike languishing, wet and unsecured, outside government; or the threadbare stock of iodine tablets within our homes, by this point very much a national disgrace. No. We cannot rush out, pockets bulging, at the first sight of a shiny bauble we feel we need. It is a sober and reflective period of calm thats called for, not a glad-eyed splurge at the seaside sweet shop. Theres also the personal dimension. Apple are our dear friends, and they really need that money. Our favourite multinational tech behemoth is currently struggling by on a little over $40bn (36bn) of pure profit per quarter. This they have done with little complaint, persevering in their poverty with a quiet dignity that has touched us all. Though they would never say it, we know the loss of 14bn would hit them pretty hard. I, for one, shudder to think how many replacement Macbook chargers theyll have to sell to recoup that amount of money. Six? Seven, even? SERIOUS BUSINESS But the moneys ours now and it behoves us to deal with it seriously. Having spent my column last week talking about the long recent history of Irish government overspending, it would be churlish for me to turn around and say I was particularly confident that theyd apportion this money wisely. In that column, I mentioned eye-watering sums spent on things like the childrens hospital, Berties e-voting machines and the Dublin Metrolink, among a dozen other failed, abandoned, or grossly inflated infrastructure projects. All of those put together cost the public purse less than a third of the money the Government now has on hand. I thought there would, at the very least, be a great deal of similar columns for me to write in the future, on an Irish space programme perhaps, or abortive plans to gift every teenager in Ireland their own mech suit. Unfortunately for my future deadlines, the Taoiseach appears to have other ideas. The Court of Justice of the European Union (CJEU) has ruled that Apple must pay Ireland 14.1 billion in unpaid taxes. Activists Charlie Kiehne (19) and Samuel Bosch (18) hung a banner on one of Dublin's Apple stores in 2021. Obviously he said on Wednesday, one-off resources cannot be used for day-to-day spending but careful consideration should now be given to how best to utilise this for Ireland and the needs of our country. I know when Im being asked to roll up my sleeves and do the sums for my country, so I will not let him down. Many will say the first things to solve are the existing challenges Ireland faces. Current estimates put the current number of unhoused people in Ireland at 14,000. The State has gained, overnight, enough money to spend 1m per unhoused person, and surely even a fifth of that expenditure would completely eliminate the human catastrophe if not the moral stain that this represents. You might argue this shouldnt even be up for debate since doing so would not require even the slightest lessening of anyone elses quality of life, given that this money has to use a term known primarily to seasoned economists been plucked right out of Gods arse. You could also make a decent stab at the housing crisis, currently paralysing an entire generation of young adults in overpriced rental accommodation, or indefinite suspension within their childhood homes. Last year, Eurostat reported that 68% of Irish adults between the ages of 25 and 29 lived with their parents. Even after setting aside 200k for every unhoused person in Ireland, you could still build 30,000 new houses with the remaining Apple money, all without raising a penny of tax. Minister for Public Expenditure, NDP Delivery and Reform, Paschal Donohoe T.D.: moral obligation now to assist an obscure, struggling business. Photograph: Eamonn Farrell AN ELEMENT OF SELF-INTEREST Cynics might say the only reason such schemes have not yet been mooted is because any amount of large-scale house building will lower the value of existing Irish housing stock, which has been allowed to aggregate purely for the enrichment of the property-owning, political-doning, and media-working classes who drive debate in this country. But that is cynicism indeed. The only real option, obviously, is to give the entire amount back to Apple as a token of friendship. Ill not lie, theres an element of self-interest in this. Irelands current tax arrangements are designed to be as attractive as possible to multinational investment, and we cant jeopardise that. If all those companies start thinking they have to pay tax just because they make use of the infrastructure, knowledge base, workforce, economic connections, and health and education systems that have been provided to them via said tax, then where would we be? Theyd all swan off immediately to somewhere with a lower tax rate, presumably one of the many other English-speaking countries to be found within the worlds largest trading bloc, (which are also rated #1 in the metrics of most educated, and highest quality of life within said bloc). Its not just moral, its sensible. There is the small matter of the law to contend with but were cute enough to get round that. So I propose we transfer the entire balance in a one-off bulk order of 14.1bn worth of Apple goods. What, after all, is six Macbook chargers between friends? As a young child, Evie spent time in hospital, and books really helped her. But when the issue resolved itself, I stopped reading and went out into the world anxious to experience everything Id missed out on. After taking an Erasmus year in France, Evie moved to Montreal in Canada. I was working in marketing, but at 25, I suffered from social anxiety and had to quit my job. I spent a lot of time at home, and I returned to reading. The library sustained me. I read everything by Maeve Binchy and Marian Keyes. It was a world to escape to, out of reality. She also started writing. I wrote three chapters of a book in 2004, she says. After five years in Canada, feeling homesick and wanting a change, Evie moved back to Ireland and worked freelance in admin and audio typing from home. And I kept writing. I self-published my first book in 2013, and a second one a year later. She was also submitting to publishers, and in 2018, she got a deal for her third book, The Story Collector, with a small independent publisher in Britain, but the publisher folded during covid. When, last year, The Lost Bookshop was published and topped charts, her publisher took on her backlist. Who is Evie Woods? Date/ place of birth: 1976/ Galway. Education: Dominican Convent, Taylors Hill; Atlantic Tech University in Galway, business and marketing. Home: Galway city. Family: Parents, sister, and brother. The day job: Full-time writer. In another life: I would be painting. After Canada I had a small exhibition; it could have gone either way. Favourite writers: Claire Fuller; Carlos Ruiz Zavon; Daphne du Maurier; Gail Honeyman; Elizabeth McKenzie; Sally Rooney. Second book: The Mysterious Bakery on Rue De Paris. Top tip: Never give up. Rejections mean the storys not strong enough, but it can be fixed. Website: www.eviewoods.com Instagram: @eviewoods.author The debut The Story Collection One More Chapter, 11.99/ Kindle, 3.86 When the American Sarah Harper arrives in Ireland, her life is a mess. Then she unearths a century-old diary of Anna, whos helping an American translate fairy stories. And unearthly secrets start to unfold. The verdict: Superb. A perfect mix of everyday life and the supernatural. I remember watching the movie The Holiday back in the day and 1. dreaming about owning a cottage as charming as Iriss and 2. like many, being introduced to the idea of a house swap. It saw Iris (Kate Winslet) switch her cosy cottage in Surrey for Amandas (Cameron Diaz) swish mansion in LA. But did I ever dream Id be swapping my own home in Drumcondra 17 years later? Never in a million years. Wed poured our hearts into our home so couldnt have imagined letting complete strangers stay there (I used to mop up water marks on our wooden countertops religiously so you can imagine my horror at leaving them in someone elses hands!). Once our kids came along though, we were nowhere near as precious about it. The couch has seen it all, from chocolate smears to accidents, and the walls have racked up their fair share of crayon marks. The wooden countertops are lucky if they get a mop at all these days! So, when it came to allowing strangers essentially move in, we were more open to it. How it works Friends on our road introduced us to the idea of house swapping. Theyd been doing it for years and had clocked up an impressive travel resume, visiting everywhere from Paris and Madrid to Minnesota and even trading cars along the way. Our wanderlust kicked in last summer and with accommodation prices through the roof, we decided to give it a try ourselves. So how does it work? We signed up to HomeExchange.com for a flat fee of 160 (other sites include People Like Us and Home4Home). This gives you access to their property database for a full year and every exchange is absolutely free. You have to set up a profile with photos and a description (this can actually take a while as youre trying to make your house look presentable), verify your account for added security and then you can search away. The type of exchanges A straight swap is as it seems: you go to a members home, and they come to yours at the same time. You literally swap homes. You can also choose to do a non-simultaneous swap, where you go to their home and agree for them to visit your home at a different time, when you wont be there. Another option is to use guest points which gives you a bit more flexibility. Y ou can build guest points by allowing other exchangers to stay in your house, for example if youre visiting family elsewhere and not doing a direct swap guests give you points to stay in your home. You can then use them to stay elsewhere down the line. Pamela's family home in Drumcondra, Dublin Whats involved beforehand When you realise someone else is going to be sitting on your couch in the evenings and eating dinner at your table, you start to see your house through fresh eyes. And you realise its time to visit Woodies! Wed been in our home eight years and hadnt gone near it with a paint brush since we moved in (we werent great at dusting either to be fair) so it needed a serious clean. And some touch ups. The bathroom walls were looking a bit grubby, grand for us, but Id have been mortified letting someone else stare at them. You can get carried away trying to make it look perfect but, in some ways, it was the push we needed to give the house a much-needed spruce up (and once that initial deep clean is done, its way easier with each exchange). But the thing about home exchange is you thankfully dont expect perfection, its a home youre staying in, not a hotel. The realities of exchanging Is it strange the thought of someone sleeping in your bed? Yes! Most definitely. But I try to comfort myself by remembering youre sleeping in someone elses bed and have slept in the same bed as countless people when you stay in a hotel or Airbnb. Pamela's home in Drumcondra, Dublin It can also be nerve-wracking giving the keys of your precious home to absolute strangers. Most peoples initial response when we tell them we did a house swap is, was your house okay?!. And it was. Probably cleaner in fact (its such a novelty coming home to a tidy house). You get to know these people in the weeks before the swap, arranging logistics and sharing info and tips. Guests have reviews or have been sponsored, and, like us, when youre in someone elses home, you want to look after it. The last thing anyone wants is to damage someones property. The downfalls There are some downsides to a house swap besides worrying about wrecking someones place. It can be full on! Instead of just packing for a holiday, youre prepping your home as well, like making space in your wardrobe and scrubbing the fridge. You cant just dash out the door with an unmade bed, laundry piles and general chaos. It has to be ready for guests, so its tough going that week before with all the tidying. And then theres the madness the morning of the trip; trying to change sheets and get dishes away instead of just leaving them piled on the draining board. But it is so worth it when you land in your new pad in another part of the country or world. Getting to travel light Its also extremely handy in some ways. We have a toddler so packing can be lengthy and bulky. You need a lot as most parents will know. But the beauty of home exchange is you can swap homes with families with a similar set up to you. We used guest points to visit Amsterdam during the summer and the family that hosted us had two boys of similar ages so we arrived to a gorgeous apartment with tons of toys (ones they werent used to bonus!) and they had a cot, high chair and buggy for us to use. They even had bikes with seats for the boys that we could borrow if we fancied exploring Amsterdam like locals. Theyd kindly left out some local treats like Stroopwafels for the boys (much to their delight!) and a bottle of vino for us too. The whole set up was amazing. The toys were a major plus it kept the kids busy in the morning while everybody got ready instead of sharing a couple of bits wed just about rammed into the suitcase. The upside We wouldnt be able to afford to go on as many holidays if we were forking out for accommodation. But over the last year weve had several trips because all weve had to cover was travel. Its a brilliant way to see the world, and theres more to it than just saving a few quid. Living like a local Another benefit is all the useful information exchangers have on the area. We put together a booklet where we shared places of interest, both locally and in Dublin for our guests, and things like the best place to get a good coffee, pizza, brunch etc. And weve found it so handy having similar info at our fingertips when weve been in other peoples home. Youre essentially living like a local and it saves you from trying out a dodgy coffee spot or getting a disappointing lunch. Plus, youve someone to water your plants if need be or mind your cat or dog, potentially. Happy exploring You can get a lot of requests so it can be time consuming thankfully theres a generic no thank you template you can just click if youre not interested. But it can also give you some food for thought and entice you to places that might not have been on your radar. I couldnt get over some of the stunning homes weve been offered; apartments in central Paris, a Brownstone in Brooklyn and even a villa in Guadeloupe in the Caribbean (now that was tempting but the flight prices brought us back to reality!). If youre fond of your interiors, poring through the houses on the site is a great way to find your next potential destination. Weve booked London for our next trip (our little boys love Paddington Bear so were dying to get there and have a fab apartment in Blackheath with swimming pool lined up). Now that weve had a taste of house swapping, I cant see us going back to your typical holidays. Naturally, you save a fortune, but the little extras that come with an exchange are a big draw too. Now to figure out where to go on midterm What's on offer House swap agencies and some sample properties: HomeExchange HomeExchange has over 150,000 members in 145 countries and prides itself on being an affordable and safe way to travel the world. They have been facilitating home exchanges for over 30 years, promoting slower, more conscious travel that encourages authentic experiences. The annual fee is 160 for a year of unlimited exchanges. London apartment, available on HomeExchange Spacious and stylish Zone 1 London apartment - sleeps 8 - 3 bedrooms - 2 bathrooms Our flat is extremely spacious and well-designed. It has a large open plan kitchen, living area and bar. There's two balconies that can comfortably seat 4-6 with great views of the Shard and also the top of Tower Bridge. Everything has been refurbished to a high standard. Whether it's blackout curtains, USB sockets, a boiling water tap, or a wine fridge - our place has everything you need for a comfortable stay. It's a Zone 1 location that manages to retain a neighbourhood feel and is a haven for foodies and art lovers. Home4Home Based in Galway city, Home4Home was set up in July 2021. It's Ireland's first and biggest home swapping company, providing members with value-for-money holidays with all the comforts of your own home. They have a special introductory offer; 99 for your first year which gives you access to unlimited home exchanges in Ireland and abroad (normal price is 149 per property for 12 months). Detached house in Querin, County Clare, available on Home4Home Detached house in Querin, County Clare - sleeps 6 - 4 bedrooms - 1 bathroom Our Willow wooden self-catering lodge is nestled in a wild orchard on the Wild Atlantic Way on the Northern shore of the Shannon Estuary on the Loop Head peninsula. The house has four bedrooms, a south-facing kitchen/lounge, small washroom with handwash basin and toilet and separate shower room. People Like Us People Like Us is a home exchange community that values hospitality, trust, and friendship and allows members to experience local cultures and create authentic connections. Founded in 2018, they have over 10,000 homes in 120 countries and a five-star Trustpilot rating. The membership fee is USD $149 per annum for unlimited exchanges (Premium membership comes with a 30-day free trial). Penthouse apartment in Spain, available on People Like Us Penthouse with amazing sea and mountain views in Sitio de Calahonda, Spain - sleeps 6 - 3 bedrooms - 2 bathrooms Our newly renovated penthouse has the most amazing views from rooftop terrace, living room, kitchen and bedrooms and is just made for 2024 living. If you're looking for a stay in the Costa del Sol, we're just a 10 minute drive from the beach and Costa night life. Our casa is at the mountain side in the very authentic and popular Calahonda, right between Marbella and Mijas. We're 20 minutes from the airport and 25 minutes from downtown Malaga. This is a gated community with private parking and three pools. Mi casa es tu casa! Ian Bailey tried to pin the murder of Sophie Toscan du Plantier on a man charged in East Cork in connection with the possession of two hatchets two weeks before it was known that such a weapon was missing from the Frenchwomans home. The claim is contained in a new book on the murder by journalist Senan Molony, Sophie: The Final Verdict. Sophie Toscan du Plantier with her son Pierre-Louis Baudey-Vignaud. Picture courtesy of Netflix, Sophie: A Murder in West Cork This weekend, Tanaiste Micheal Martin doubled down on comments he made at the book launch on Thursday night during which he said the State had failed in its duty to find and convict a bloody murderer, and our system blocked alternative routes when others were not willing to accept our failures. On Friday, at the North South Ministerial Council meeting, Mr Martin said the evidence in Mr Molonys book led him to the conclusion that the State did fail Ms du Plantier. There has to be space in our public discourse for that kind of reflection, observation, otherwise were reinforcing issues that do need to change over time, the Tanaiste said. Ms Toscan du Plantier, a French film producer, 39, was found battered to death outside her holiday home in Toormore, Schull, on December 23, 1996. Mr Bailey was questioned twice about the killing. Pierre-Louis Baudey-Vignaud, son of Sophie Toscan du Plantier, on the Late Late Show with Patrick Kielty in February. In 2019, Mr Bailey was found guilty in his absence, and without legal representation, of the murder in France. A 25-year sentence was imposed, but his extradition to France was blocked by a High Court decision in October 2020. He died in January after becoming ill on the street in Bantry, as a cold case review into her murder set up in 2022 continued. Bailey article 'showed he knew of hatchet' Mr Molony says in his book that Mr Bailey submitted an article for the Sunday Tribune newspaper on January 3, 1997, in which he referenced the case against a German man who was charged in Midleton some days previously in connection with possession of a petrol bomb and two hatchets. Mr Bailey wrote: There was nobody available at Bantry Garda Station to say whether there is a possible link between the two incidents. However, Mr Molony says that the discovery that a hatchet was missing from Ms du Plantiers home was not made until Ms du Plantiers housekeeper, Josie Helen, noticed it missing on January 17, 1997. Ian Bailey leaving Cork Circuit Court in 2004. Picture: Dan Linehan Mr Molony says that Mr Bailey also nominated a local teenager with mental health problems, and Ms du Plantiers close neighbour Alfie Lyons, as possible murder suspects to gardai. Reacting to questions about Mr Martins comments on Thursday night, Taoiseach Simon Harris yesterday described the ongoing fight for justice by Ms Toscan du Plantiers family as being extraordinarily upsetting. Asked if he would consider a public inquiry into her death, Mr Harris said that the ongoing cold case analysis should be allowed to be completed first. Then, obviously Government can see where the matter stands at that stage, he added. Meanwhile, Mr Baileys solicitor Frank Buttimer told the Irish Examiner that he found Mr Martins comments extraordinary. While describing him as a politician for whom he had much respect, Mr Buttimer said: I cannot understand any circumstances in which he could imagine that it would be appropriate to speak in such a fashion. Ian Bailey relaxing on the pier in Schull, West Cork in 2021. He died on January 21 2024. Picture: Dan Linehan From a politician of ministerial rank and a former taoiseach of the country, I dont recall hearing commentary of this kind or criticism of this kind in relation to our system of justice. He added: His commentary must be seen in the context that is not just in relation to the case of Ian Bailey but seems to be a general criticism also of the justice system. This case was always beyond Ian Bailey once it became an extradition battle between Ireland and France. Cosmetic tourists undergoing plastic surgery abroad are requiring hospital stays and even further operations back in Ireland to treat wound infections, according to a new study. In the largest study of its type, doctors from Connolly Hospital in Blanchardstown, Dublin, examined the records of 30 patients admitted with complications following aesthetic procedures performed between September 2021 and December 2022. Along with tummy tucks and breast augmentation, the surgical procedures carried out on the patients outside Ireland included Brazilian butt lifts, breast lifts, breast reductions, face lifts, neck lifts, liposuction, and hair transplants. Turkey was by far the most common destination, with 20 patients jetting to the country famous for its cheap surgical tourism packages which often include flights and accommodation. Eight patients flew to Lithuania, and Germany and Kuwait accounted for one patient each. Surgical site infections on returning cosmetic tourists treated by doctors in Ireland ranged from superficial skin abscesses to patients with collections of pus deep in their abdomen. Some patients required operations to wash out their wounds or replacement dressings. Some 65% of patients required medical procedures to treat infection, with 10 requiring surgery. Three-quarters of the patients required hospital admission on their return to Ireland, with stays ranging from one day to 20 days, while all patients required antibiotics, with some requiring intravenous antibiotics in hospital. The post-surgery infections, which occurred within 30 days of the initial procedure abroad, included skin necrosis in two cases. 18 species of bugs Overall, researchers found 18 different species of bugs identified in the infected wounds including bugs resistant to several antibiotics. The identification of multiple MDROs [multidrug resistant organisms] in our cohort is a cause for concern for the potential problems they pose for treatment and the infection prevention and control issues they create, said the authors. The presence of multiple concurrent infections in patients who had undergone more than one procedure was also found. The study, carried out by Dr Andrew Keane from Connolly Hospital found 40% or 12 patients had multiple procedures combined into a single surgery abroad. Sixteen patients had an abdominoplasty, which is a tummy tuck, ten underwent breast enlargement, four had a breast reduction, three had liposuction, two had facelifts, two had neck lifts, and two had buttock enlargements. The majority were female, only two of the 30 patients were male, and the average age was 40. The research, published in the Irish Journal of Medical Science, said post-operative infections related to cosmetic tourism are a growing concern in plastic surgery. This practice has been reportedly increasing both in Ireland and internationally in recent years, although it is difficult to obtain accurate numbers either of patients traveling or complications arising, said the authors. The authors highlighted concerns about the ability of patients to check the credentials of operating surgeons when they travel abroad. File picture: Rui Vieira/PA Wire They added: The prevalence of cosmetic tourism, both domestically and internationally, has reportedly surged in recent years, the covid-19 pandemic notwithstanding. Several reasons have been proposed for this in the literature to date including lower costs to patients, lengthy waiting lists, availability of procedures not available in the public health service, and patient perception that quality of care is greater in cosmetic tourism destinations. The authors highlighted concerns about the ability of patients to check the credentials of operating surgeons when they travel abroad. Outside of Ireland, it may be unclear or difficult to check if the surgeon performing the procedure is a suitably credentialled plastic surgeon as there may not be a specialist register easily accessible to members of the public. They said cosmetic tourists often present with complications to local health services in their home country due to limited post-operative care provided in the country of operation and the financial and logistical challenges related to returning to the hospital abroad. Medical and consumer protection legislation may be disparate even between neighboring countries and patients may find they have limited recourse to return and receive further treatment or care in the case of complications," said the authors. Infection is among the most common post-operative complications encountered in cosmetic tourism, often felt to relate to inadequate sterilisation and operative techniques, and presents as wound infection or dehiscence." A 54-year-old man getting into multiple difficulties through alcohol addiction and being homeless in Cork city got his wish of a place to live in Tralee and he has turned his life around dramatically. Judge Mary Dorgan said she would have described Gerard Knightley as a frequent flyer in Cork District Court. However, the judge noted that there has now been a dramatic turnaround in his life and that he was visibly healthier looking as he presented himself in court for sentencing on older offences. A Dublin-based Chinese businessman has been accused of money laundering connected to an international 9.7m "zombie" iPhone fraud. Chengwen Guo, 41, of Ivy Exchange, Parnell Street, D1, was arrested at his home on Wednesday following a three-year investigation by the Garda National Bureau of Criminal Investigation (GNBCI) into an illegal counterfeit Apple phone trade. He was taken to Kevin Street Garda station in the city centre and charged with three offences under section seven of the Criminal Justice (Money Laundering and Terrorist Financing) Act 2010 for possessing crime proceeds totalling 170,460, following searches at three locations in Dublin in January 2022. The offence carries a maximum 14-year sentence. The father of four, who was believed to be running a chain of phone shops since moving to Ireland in 2012, allegedly had 143,245 at his home, 20,700 at Murray Mobile, 15 Main Street, Dundrum, D14, and 6,515 in a 2019-reg Audi Q2 car at Shanowen Road, D9. The court heard fake Chinese Apple phones were dispatched to Ireland and swapped for genuine iPhones sent to China. He was refused bail when he appeared before Judge David McHugh on Saturday at Dublin District Court, which heard gardai believed he was a "central figure in the complex deception that was undertaken to defraud Apple". Detective Garda Conor Cadogan said Mr Guo "made no reply" to the charges, and the officer objected to bail, citing flight risk and witness interference fears. The contested bail hearing was told that the GNBCI probe opened in December 2021 following a report from tech giant Apple about product warranty fraud. "It was suspected that an international organised crime gang (OCG) are involved in procuring counterfeit products and sending them to members of the OCG in Ireland for processing," Detective Garda Cadogan said. He claimed that in July 2021, Customs officers intercepted a package "full with counterfeit items and Apple products" destined for the Murray Mobile phone company at 15 Main Street, Dundrum. The court heard a different name was on the package, but it also had the same address and mobile phone number as the accused. Gardai believed the accused controlled eight Murray Mobile stores in Dublin and Kildare. Ten searches under warrant were carried out on January 10, 2022, and gardai found the cash at the three locations named in court and on the charges. Detective Garda Cadogan said the investigation team froze 14 bank accounts connected to Murray Mobile, the accused, and his wife. He told Judge McHugh there was a total of 168,902 in them. The officer explained that the probe focused on genuine and unique IMEI (International Mobile Equipment Identity) numbers applied to counterfeit Apple phones. Known as "zombie phones", the court heard, they were allegedly supplied to Mr Guo and subsequently used to defraud Apple. This activity involved the phones being brought to a specific phone repair shop. Some 10,685 were submitted for repair from 2019 to 2021, and 9,761 of them have been allegedly confirmed to be counterfeit zombie phones. It was estimated that the accused and his associates made approximately 9.7m from executing this fraud. Detective Garda Cadogan said he was satisfied that it involved members of the international gang in China and Ireland. The court heard that it was believed the fake devices were shipped to Ireland, where genuine IMEI numbers were applied to them. The accused allegedly brought them to the phone repair shop to be processed, and he received genuine replacement iPhones, which were sent to Asia and sold to the benefit of the organised crime gang. Mr Guo's phone was seized in January 2021 and allegedly contained evidence that he was a "central figure in the complex deception that was undertaken to defraud Apple". Judge McHugh heard that the investigation team would recommend that the Director of Public Prosecutions bring further serious charges under organised crime legislation and for trademark, money laundering and theft offences. The court heard that Mr Guo had a Chinese passport, and Ireland did not have an extradition agreement with China. The detective said 57 witness statements had been taken, and he feared there could be interference with witnesses, which could jeopardise the trial process. Questioned by defence solicitor Conor Ruane, the officer agreed that Mr Guo was arrested on Wednesday at his home, which had been searched in January 2022. Mr Ruane stressed that his client continued to work and live here despite knowing of the ongoing investigation. Mr Ruane said his client's wife was an Irish citizen, and his ties to the country were solid. The detective said the businessman travelled to China numerous times a year and had family and friends there, but agreed with the solicitor that the majority of his accounts have now been frozen. Judge McHugh said he was satisfied Mr Guo was a flight risk and refused bail. The accused was remanded in custody to appear at Cloverhill District Court on Wednesday. The ridiculous lack of a 24/7 direct shuttle bus service from Cork Airport to the city centre is having a negative impact on the region's tourism, councillors have claimed. The National Transport Authority (NTA) is being asked by Cork County Council to establish a shuttle bus link from the airport as Cork is one of the few cities in Europe which hasnt got one. Fianna Fail councillor Seamus McGrath said the airport needs a dedicated service, especially as this year it has seen an 11% increase in passenger numbers and is expected to hit three million. Cork's the fastest growing airport in the country and its difficult to believe theres no dedicated shuttle service. It needs to be a 24/7 one to cater for the needs of people who are going and coming from work there as well, Mr McGrath said. There were also calls for it to connect to the train station. Fianna Fail councillor Gillian Coughlan said the bus that runs from the city to Kinsale and back does make a stop at the airport, but it cant handle both and is not a dedicated shuttle. Mayor of County Cork Fianna Fail councillor Joe Carroll said that he would write to the NTA asking it to send senior officials to attend a council meeting to address this and other issues with bus services in Cork. Meanwhile, the council is to write to the Department of Housing, Local Government & Heritage asking it to reduce the astronomical cost of a licence for sandwich board advertising. Independent councillor Ger Curley said the current annual fee is 630 per board and got unanimous support from colleagues to have it reduced to 50. Over 60 bars and restaurants in Cork have closed since the pandemic. Its another tax on struggling businesses, he said. Fine Gael councillor Sinead Sheppard described the 630 charge as astronomical" but cautioned it also needs to be ensured that towns are not "flooded with sandwich boards. The people of Cork and Kerry are facing detrimental cuts to their ambulance services if management plans to cut back cover is implemented, union officials have warned. Siptu has completely rejected cooperation with the roster changes, which are set to begin on Monday week, September 23. The plans, announced suddenly to the union on Friday by National Ambulance Service (NAS) management, follow a decision by the HSE to cut funding. The measures are set to cut services in Castletownbere, Skibbereen, Clonakilty, Mallow, Millstreet, Macroom, Kanturk and Fermoy in Cork and Tralee, Dingle, Listowel, Killarney, Kenmare and Caherciveen in Kerry. We are 100% not going to accept this, Siptu assistant industrial organiser Rory Hawkins told the Irish Examiner. There is an IR process there to be followed and we made that clear to management [on Friday]. We are not talking about monetary gain for our members this is about the service to the community that will be affected. Union officials were presented with the plans at a meeting on Friday, which Siptu said was supposed to be an opportunity for new representatives and new managers to meet each other. In a letter sent after the meeting to general manager for NAS (Southwest) Robert Moriarty, Mr Hawkins said that what was presented was a unilateral change of work practice. This instruction will have a direct effect not only on our members' established work practices but also have a detrimental effect on communities that will no longer have an ambulance on certain days of the week, Mr Hawkins said. It will also increase the workload of the ambulance that will be on the road in both Cork and Kerry as they will have a greater geographical area to cover and will only result in longer response times. He said management need to sit down with union officials and shop stewards to discuss the issue. The cuts are the result of a HSE decision to no longer fund non-rostered days (NRD), which is where staff are taken from a relief panel, or through overtime, to fill the place of a member who is on a relief day at home. The ambulance crews work on a two-person basis. If the relief system is no longer there, it means that a crew member would have to travel from the likes of Killarney to Kenmare to fill a two-person crew. Sources have said this means that shifts will be consolidated in each base, so that, in a typical station, one entire day shift per week will be dropped. According to Siptu, from September 23, the planned changes in Kerry will be: Tralee one crew only on Monday night; Dingle no crew Tuesday day shift; Listowel no crew Wednesday day shift; Killarney no crew Thursday day shift; Kenmare no crew Monday day shift; Caherciveen no crew Wednesday day shift In Cork the planned changes will be: Castletownbere no crew on Tuesday day shift; Skibbereen no crew Monday day shift; Clonakilty no crew Thursday day shift; Mallow - dropped shift on Monday; Millstreet - dropped shift on Tuesday; Macroom - dropped shift on Wednesday; Kanturk - dropped shift Thursday; Fermoy - dropped shift Wednesday. One paramedic said: This decision involves the removal of relief shifts, significantly reducing ambulance services on certain days in numerous regions. This change, made without proper consultation, will not only severely impact frontline ambulance workers but also put public safety at serious risk nationally. Another source said: Communities will now face days without any ambulance cover, leaving them vulnerable in emergency situations. Areas that are already understaffed will be forced to stretch their resources even further, potentially leading to disastrous consequences. Sources explain that crews will have to cover much large geographical areas resulting in potentially dangerously extended response times. The Irish Examiner understands that there are in the region of 300 personnel in the NAS service in Cork and Kerry, which sources said is around 50 less than it should be. It is thought that HSE has informed the NAS that the current numbers, including positions that are vacant, is the new whole time equivalent (WTE) staffing for the service. Estimates of the fund for the relief system is calculated to be in the hundreds of millions of euro. Sources said this was "not just a regional issue" and could extend to much of the country. The HSE said the National Ambulance Service is consulting with unions on "the best use of all available staffing hours in the South West (Cork and Kerry) within our approved resource level". "This is to ensure that staffing hours are effectively deployed to cover all forms of leave and that the approved level of service for NAS can be maintained during all leave and training arrangements without relying on excessive overtime, which can be detrimental to staff wellbeing. "This is being done on a phased basis over September and October but please be assured that the NAS service level in the South West has not been reduced below our approved resource level." Sinn Fein TD for Cork South Central Donnchadh O Laoghaire has claimed an ambulance took 85 minutes to reach Pairc Ui Rinn on Friday night when a Glenville player was injured at Kinsale v Glenville. 85 minutes for an ambulance to come is far, far too long," he said. "Unfortunately we are hearing too many examples of this in Cork and right across the country. The National Ambulance Service staff are phenomenal but they are stretched to breaking point. "I understand the medical staff at the ground did an excellent job while awaiting the support of the ambulance staff, and I wish the player a quick recovery. When you see them for the first time, you literally stop in your tracks. And your reaction is just to go wow. Its like seeing something completely out of place. Its like as if you looked out your window in the morning and saw aliens standing in your driveway. This is how Stewart Andrews felt the first time he saw Sherman tanks on the ocean floor, off the Donegal coast. The deep sea diver reckons there are about nine of them resting there. The 27-plus tonne steel hulks, some on their sides, others flat on their tracks, peer out at different angles with their 76mm guns from a cold 66-meter deep watery darkness. That murky darkness has surrounded them since they spilled out of the SS Empire Heritage when the tanker hit the ocean floor about 30km off Malin Head after being torpedoed twice by a German U-Boat at around 6am on September 8, 1944. Stuck in time at the depth it sank to, the debris that litters the resting place of the 155-metre ship includes reminders of the 111 souls, including crew, gunners, and passengers, who died. Stewart, who has been deep sea diving for more than 37 years, adds: It's fascinating to look around the Shermans, because they're totally intact. I think they've still all got their guns connected to them. They're all very impressive. You wouldnt try and interfere with them, but even if you wanted to try and look inside, you cant. All the hatches are in effect concreted in place, and calcified. The calcium builds up to the point where you can't shift anything. Besides, disturbing wrecks is not really cool anymore. Apart from anything else, you can get into trouble for that sort of thing, because anything older than 100 years is protected by the National Monuments Service. New shipwrecks located Andrews made the comments after three new shipwrecks were located off the coast of Dublin by underwater photographer and drone operator Nigel Motyer, on the Portmarnock Strand. A shipwreck which was recently discovered on Portmarnock Strand. The vessel, possibly the remains of a 19th-century fishing trawler, is recorded in the Wreck Inventory of Ireland and was last visible in 2017. However, during its investigation, the National Monuments Service (NMS) team that went to look at it discovered three previously undocumented wrecks, which appear to be from the 19th century. But as fascinated as the rest of us might be in them, veteran divers and shipwreck hunters like Roy Stokes are not overly impressed. From a shipwreck hunters point-of-view, there really is very little interest in them, said the 75-year-old diver, who started shipwreck hunting in 1980. This is because when they originally came to grief, they were high and dry on the beach and everything of any value would have been taken off them by the locals, all those years ago when it first came to ground. Roy believes there are hundreds more wrecks that are in effect hiding in plain sight, like in Portmarnock. But not all of them will be found on a beach, and in the cases of some of the Spanish Armada ships wrecked off the west coast, you would have to dive down to see them. It depends where you are and on the weather, he said. At this time of year, you usually have big tides and a combination of contrary winds can strip out a lot of sand off beaches and surrounding areas, and a wreck may then show itself. The Armada vessels that wrecked up along the west coast show themselves from time to time in just a few metres of water, but then they sink back into the sand and might not be seen again for years You would be forgiven for thinking it might be eerie even scary diving down to the dark underwater wrecked hulk of a ship where people have died. No, its exciting, Roy says with a laugh. People ask me about the bones and the bodies and all that. In some cases, I've seen a few bones, but they were probably cattle bones. I dont know. His Irish Wrecks Database on irishwrecks.ie has more than 15,000 records. The National Monuments Service (NMS), which is based at the Department of Housing, runs what is regarded as the countrys most authoritative database. Its Wreck Viewer map shows the details of 4,000 wrecks with precise locations around Ireland. The 13.5in guns of the battleship HMS Audacious, lying upside down 64m deep on the ocean floor, some 15km off the Donegal coast. Picture: Stewart Andrews. The NMS also has records for another 14,000 for which a location has yet to be confirmed, but the number of wrecks being located is increasing all the time, with around 50-a-year being located. There are, according to the NMS, around 100 different vessel types recorded as being lost off the coast of Ireland and in the inland waterways. These include logboats, ocean liners, sailing ships, fishing boats, yachts, currachs, merchant ships, paddle steamers, Spanish Armada vessels, WWI and WWII warships, along with around 170 submarines and a number of planes. Oldest wreck The earliest wreck remains date back to the late Neolithic, early Bronze Age, with the oldest wreck being the so-called Lurgan Canoe. Discovered in a Galway bog in 1902, the 14-metre vessel - which is on display at the National Museum - was carved from a large oak tree around 4,000 years ago. While wrecks have been recorded for every period of Irelands history, more than 50% of them date to the 1800s. A view inside the detached bow of the HMTS Justicia, which lies 70m down on the seabed off the Donegal coast. Picture: Barry McGill Indepth Technical Diving. Picture: Barry McGill Indepth Technical Diving. In 1851, for example, 56 vessels were reported as wrecked off the coast, while in 1858, there were 168 vessels wrecked off the coast of Ireland averaging out at nearly one every second day. Around 1,800 wrecks date back to both the First and Second World Wars, and each one tells a story. The SS Justicia lies 70m beneath the waves off the Donegal coasts. A passenger ship repurposed as a troop transport, at 32,234 tons it was the second largest ship sunk the First World War. In July 1918, it was stalked by a German submarine, UB-64, which hit it with four torpedoes before Justicias escort ships chased it away. Limping but still afloat, Justicia was vulnerable, and another German submarine, UB-124 was able to track it down and finish it off with two further torpedoes. But despite being able to carry 4,000 troops, the liner was unladen at the time and casualties were limited to its 16 crew. UB-124 paid a heavier price, being sunk by Justicias escort ships. State Underwater Archaeologist Dr Connie Kelleher, who has worked in the National Monuments Service since 2000, said: If you want to see most of Irelands wrecks, you are going to have to learn to dive. But there are other ways of engaging with them as well. Some of the human stories behind the wrecks are equally as interesting and equally as important. A wreck is very much a time capsule at the moment in time when it wrecked. Everything to do with that ship or boat going down is captured in a moment. So is the story of the people on board captured in a moment. For example, we know that the crew of one of the wrecks we have a record for that was washed up on Portmarnock Strand had to strap themselves overnight to the mast of the ship, so that they wouldn't be washed overboard and drowned. They had to wait until the next day before they were rescued. Other examples of such historic wrecks like the ones in Portmarnock can be found on Ballyheigue and Banna Beaches in Co Kerry, Dollymount Strand in Dublin and in Castlehaven in Co Cork. Dr Kelleher says it is not unusual or unexpected that wrecks would show themselves. Wreck remains emerge around the country every now and then, following maybe storm events, she said. That's where we have to consider climate change, where you have this increase in storminess and bad weather events that they may uncover sooner and more frequently. We need to be ready to record them when they do, because they'll either be lost or they'll cover over again. Our sands have been mobile forever, especially our offshore sandbanks that are continuously moving. They have always been and remain hazards to shipping, and that's why in places like the Arklow banks off the east coast, you have a number of shipwrecks covered by the sands that are constantly moving. The Register Society We probably wouldnt know as much about wrecks as we do today, were it not for a man who used to run a coffee shop in London more than two centuries ago. Detailed recording of wrecks began in the 1760s, with the establishment of what was known as the Register Society. This was a charitable society that was set up by customers of a famous central London coffee house, known as Lloyds Coffee House. It was a popular meeting place for sailors, commodities merchants and shipowners, and a source for reliable shipping news. The best of it was printed out in a single sheet of paper and circulated to customers by the coffee shop owner, Edward Lloyd. In 1834, the society became Lloyds Register of British and Foreign Shipping, before changing its name to Lloyd's Register of Shipping in 1914. Our most famous wreck is after the Royal Mail Ship (RMS) Titanic the RMS Lusitania. The Cunard liner Lusitania was hit by a torpedo fired from a German U-boat on May 7, 1915. Lying just 18km off the Cork coast, it is also a protected war grave, as 1,197 of the 1,960 people onboard died when it sank around 18 minutes after it was torpedoed by a German U-boat on the afternoon of May 7, 1915. Only 12 bodies were ever recovered. Stewart Andrews is a veteran of dives down to the wreck and is one of the few people to have seen it multiple times. As it sits some 93 metres underwater, it is not easy to get to and even when you get to it, it is hard to even see the wreck. The biggest thing that strikes you is not just the depth, but the poor visibility on the south coast of Ireland at that depth, he said. Asked about how it feels to be near a war grave, and the resting place of so many bodies, he replied: I suppose initially, it's on your mind. I prefer to dive wrecks where nobody died, and it is possible to dive where nobody died, or very, very few people died. But there's a lot of wrecks in Donegal that I can mention, in which everyone died, literally everyone. The vessels were unsuitable to be merchant cruisers or whatever and then they hit a minefield, and they go down, and literally nobody even knows where they sunk. That's how fast vessels can go down. They don't even get a chance to report on their sinking, unlike the Titanic, where it took two and a half hours to go down. It's not always like that. It can go down in minutes, like Lusitania. Another notable wreck, and one that was one of the worst maritime tragedies ever to take place in Irish waters, is the RMS Leinster. The steamer, whose wreck now rests on the shallow Kish Sandbank in Dublin Bay around 11 km from the coast, had survived several close encounters with German U-boats throughout World War I. But just weeks before the war ended, it was torpedoed on October 10, 1918, by a German U-boat en route to Holyhead. Some 567 people died. Also there are the wrecks of 26 of the 1,588 Spanish Armada ships known to have been lost off the Irish coast, with the locations of just six of those known. The NMS carried out a targeted excavation in 2015 on one of the three wrecks lost in Streedagh Bay in Sligo, La Juliana, when it became exposed on the seabed following the shifting of sands after the major storms of that year. Deep sea diver Yvonne Lynch inspects the remains of the firemans tunnel, behind the bridge of the HMTS Justicia. The tunnel was near the bottom of the ship and used only by the stokers of the coal-fired steam boilers to get to and from their living quarters without being seen by any passengers. Picture: Stewart Andrews. The extensive wreck site was mapped, with carriage wheels, anchors, hull timbers, pottery and guns recorded. Nine cannons were recovered along with a bronze cauldron and carriage wheel and these are currently undergoing active conservation by NMS colleagues in the National Museum. While the wrecks have been adapted into Irelands maritime heritage, they also remain part of Spains heritage. Indeed, the Spanish Armada Visitor Centre in Grange, Co Sligo, is very much on the destination list for tourists, especially those from Spain. Next week, for example, will see a delegation from Spain attending a one-day seminar hosted by the NMS. Its part of the annual Remembering the Armada series of commemorative events taking place 19-22 September 2024 in Sligo and at Grange, Streedagh Beach and Killybegs. Dr Kelleher said: We are a maritime nation despite the fact that so many of us probably don't think about Ireland as having, you know, a vested interest in the sea. As a maritime nation, our history is indelibly linked to the sea, and to water. Yes, ships came here from the continent and Europe, and they visited our harbors and our ports but equally, we went out and explored other worlds over the centuries. We migrated and we got on boats to do that. So ships and boats and craft of all sizes, including in our inland waterways, have been a part of who we are as an island nation for as long as we have existed. In terms of how just about anyone lucky enough to dive down to see our wrecks are concerned, there is an ethos they stick to which Dr Kelleher sums up briefly. Anyone who goes down there should take nothing but memories, and leave nothing but bubbles, she said. Seeing shipwrecks While it is possible to see wrecks in shallow waters with little effort, youll need a lot of money, time and a bunch of licenses if you want to see many of Irelands more interesting wrecks. For a start, any wreck over a century old is protected by the National Monuments Acts and policed by the National Monuments Services and the various bodies they work with. They include Customs, the Irish Naval Service, the Garda Water Unit, the National Museum, and the Antiquities Unit in the National Bureau of Criminal Investigation. Prosecutions do happen but they are rare because most people behave themselves. Basically, you are not allowed to interfere with them or take anything from them, and any time you want to dive down to them, you need a licence. However, getting a licence is probably the least of your worries if you want to get to some of the deeper wrecks. To get to Lusitania, for example, it can take about ten years. You need to have done a minimum number of dives, you need a lot of expensive equipment, and you need to be part of a team that will be prepared to trust you. On a dive to the Lusitania, for example, divers have little more than between 20 and 40 minutes down there - and where there is not great visibility for a start - and then they have to start returning back to the surface. Every minute on the bottom is about another 15 minutes of decompression. So, if you're already in for three hours of decompression - which involves making a number of stops on the way back up to the sea surface - having spent a half an hour on the bottom, every minute on top of that is another 15 minutes. You would want to have a very good reason to stay down longer than 30 or 40 minutes. You know a politician has been irked when they refer to a journalist by name in responding to a question. Its a natural tell Ive picked up on from attending countless, and sometimes heated, media doorsteps over the years. For Taoiseach Simon Harris, the suggestion this week that Fine Gael is a party that robs the policies of others and repackages them appeared to cut too close to the bone. A party that has the same old plans as everyone else, or at least a version of them, doesnt align with the narrative of a new energy and a new approach. Neither does going to the voters with the same senior political faces as in the last election. On Monday, Green Party leader Roderic OGorman said that the State must take an even greater role in the delivery and provision of childcare because of the lack of community and private providers in some places. The move, while radical, is not new within the Green Party, and is similar to a view Mr OGorman uttered in an interview with the Irish Examiner in 2020. Roderic O'Gorman's statement on childcare provision is similar to a view he expressed in an interview with the 'Irish Examiner' in 2020. Picture: Niall Carson/PA By Wednesday, Mr Harris was also making bold, but familiar, statements about childcare, promising that his party would begin a consultation on setting up a public childcare and early-education service. I ultimately believe the model of childcare in Ireland, and early childhood education, needs to be in the public space and needs to be more closely linked to our education system, Mr Harris said. Addressing the media at the Fine Gael think-in in Tullamore this week, Mr Harris admitted that in a three-party Government, no party owns an area or policy. We listen to each other, we work together, Mr Harris said. And despite being three distinct parties, weve collaborated really well on lots of issues. We go forward in the election, when it happens, as three distinct parties, and Im sure therell be areas of agreement, and Im sure therell also be policy nuances as well. He remained upbeat in explaining that the three parties will be grounded in the forthcoming Housing For All targets, due to be brought forward by Housing Minister Darragh OBrien soon. However, the energy soured when he was asked whether his party was stealing ideas from others childcare plans from the Greens; housing policy from Fianna Fail and bundling them up as Fine Gael ideas. No, and maybe before you put that question, youd read the policies when they are produced, because we havent produced the policy, Elaine, Mr Harris said. If you heard my comments earlier, were going out to consultation this Friday in relation to the policy. Its my clear view, and Ive been very clear on this since becoming leader of this party, that I want childcare to be a part of the publicly-funded education system. That was me put in my place: By name. But the tetchy exchange unmasked what could become a real issue for the Taoiseach: That soundbites and enthusiasm dont automatically equate to ingenuity or delivery. The Harris on this side of the Atlantic is facing a similar conundrum to another Kamala across the ocean: How to spin it that you are offering something new when you have been an intrinsic part of an established government? How do you sell yourself as a leader with fresh ideas without disrespecting the previous leadership, or the colleagues that you may need again after the election? And how Mr Harris will explain to voters why, after 13 years, Fine Gael is only now offering up proposals to address childcare, and other inadequacies, will be interesting. Mary Lou McDonald said the Taoiseach and his Government have had 'many, many years' to take significant steps to support parents. Picture: Sasko Lazarov/RollingNews.ie Sinn Fein leader Mary Lou McDonald, launching her own childcare policy, which includes a promise to limit creche costs to 10 a day, was only too keen to draw attention to this dilemma, stating that the Taoiseach and his Government have had many, many years to take significant steps to support parents. Instead, it seems, on the brow of an election, they are running with the soundbite, rather than a clearly thought-out proposal, or plan, or a clear-cut commitment to parents in the here and now. Fine Gael members, including his predecessor, have been buoyed by Mr Harris. Theres no doubt about that. Leo Varadkar, free of the shackles of power, has found a new voice, or perhaps just the freedom to air his true opinions. Since stepping down as taoiseach and leader of Fine Gael in March, Mr Varadkar has peppered his social media with a mix of personal posts, political updates, and bold statements. Get em girl!! Mr Varadkar wrote in bright-red captions, alongside a screengrab detailing the fact that JK Rowling and Elon Musk had been named in a cyberbullying lawsuit filed by boxer Imane Khelif after her Olympic win. He accompanied the image with a detailed statement of support, hitting out at a bunch of bullies looking for a soft target. Mr Varadkar added that he would be happy to make a donation towards the lawsuit. There have been pictures from dinner dates with Mr Varadkars partner Matt, as well as multiple photos out on canvasses with Fine Gael candidates, and videos from his trip to the US to attend the Democrats convention. Paschal Donohoe and Simon Harris at Fine Gael's think-in on Wednesday. Picture: Fergal Phillips At the Fine Gael think-in on Wednesday, Mr Varadkar, perhaps seeing the irony of it all, posted a picture from the back of the room, where in front of him stood Mr Harris at the podium and a projector screen that read: New Team, New Energy. The problem for Mr Harris is that, apart from Mr Varadkar and Simon Coveney, who both stood aside, his Cabinet team is the same. And many of the ideas he has already tried to promote as priorities are shared with his Coalition colleagues, and, in some cases, have also been the stated policy of the opposition. He needs to somehow convince the electorate that what he is offering is fresh, but with familiar faces. Mr Harris may not be able to adjust his front bench ahead of the election, but the direction he takes on policy must show that he can convert his energy into real and tangible change. A military court in Congo handed down death sentences Friday to 37 people, including a Briton and three Americans, after convicting them on charges of taking part in a coup attempt. The defendants, who also included a Belgian, a Canadian and several Congolese, can appeal the verdict on charges that included terrorism, murder and criminal association. Fourteen people were acquitted in the trial, which opened in June. America Marcel Malanga is one of more than 30 people sentenced to death on charges of taking part in a coup attempt (AP Photo/Samy Ntumba Shambuyi) Six people were killed during the botched coup attempt led by the little-known opposition figure Christian Malanga in May, which targeted the presidential palace and a close ally of President Felix Tshisekedi. Mr Malanga was fatally shot while resisting arrest soon after live-streaming the attack on his social media, the Congolese army said. Mr Malangas 21-year-old son, Marcel, who is a US citizen, and two other Americans were convicted. His mother, Brittney Sawyer, has said her son is innocent and was simply following his father, who considered himself president of a shadow government in exile. Tyler Thompson flew to Africa from Utah with Marcel Malanga for what his family believed was a vacation(AP Photo/Samy Ntumba Shambuyi) The other Americans were Tyler Thompson Jr, who flew to Africa from Utah with Marcel Malanga for what his family believed was a vacation, and Benjamin Reuben Zalman-Polun, 36, who is reported to have known Christian Malanga through a gold mining company. The company was set up in Mozambique in 2022, according to an official journal published by Mozambiques government, and a report by the Africa Intelligence newsletter. Mr Thompsons family maintains he had no knowledge of the elder Malangas intentions, no plans for political activism and did not even plan to enter Congo. Mr Thompsons stepmother said he and the Malangas were meant to travel only to South Africa and Eswatini. The reading of the verdict and sentencing before the open-air military court were broadcast live on television. Last month, the military prosecutor, Lt Col Innocent Radjabu called on the judges to sentence to death all of the defendants, except for one who suffers from psychological problems. Earlier this year, Congo reinstated the death penalty, lifting a more than two-decade-old moratorium, as authorities struggle to curb violence and militant attacks in the country. Donald Trump has continued to disparage Haitian migrants in an Ohio community, even as the city saw bomb threats and school evacuations with local officials calling for a cooling of the anti-immigrant rhetoric. We will do large deportations from Springfield, Ohio, Mr Trump said on Friday during a news conference in California. He said he could possibly hold a campaign event or town hall in the city and claiming the migrants are destroying the way of life. Ohio authorities have said there are no credible or detailed reports to support the debunked allegations circulated this week by both Mr Trump and his running mate, Ohio Senator JD Vance, that Haitian immigrants are eating domestic pets and birds in the citys public parks. Mr Trump mentioned the claims during a debate on Tuesday with his Democratic rival, Vice President Kamala Harris, prompting her to laugh and call the Republican presidential nominee extreme. Q: There are bomb threats at schools in Springfield, Ohio and kids are being evacuated. Why do you still spread misinformation? Trump: No pic.twitter.com/TIQ2LUgUns Kamala HQ (@KamalaHQ) September 13, 2024 Springfield Mayor Rob Rue on Thursday called on politicians to calm down the rhetoric. All these federal politicians that have negatively spun our city, they need to know theyre hurting our city, and it was their words that did it, Mr Rue said in an interview with WSYX. A city spokesperson said an emailed threat claimed bombs had been planted in the homes of Springfields mayor and other city officials. A second email claimed bombs had been placed at locations including Springfield City Hall, a high school, a middle school, two elementary schools and the local office of the state motor vehicles bureau. The buildings were evacuated and authorities with explosive-detection dogs swept and cleared them, officials said. On Friday, President Joe Biden said the Haitian community is under attack and called for an end to Republicans comments. Its simply wrong. Theres no place in America, Mr Biden said at a White House luncheon. This has to stop, what hes doing. It has to stop. Mr Trump suggested local officials are not being truthful about the problem because of its severity. In Springfield, Ohio, there has been a massive rise in communicable diseases, rent prices, car insurance rates, and crime. This is what happens when you drop 20,000 people into a small community. Kamala Harris's immigration policy aims to do this to every town in our country. JD Vance (@JDVance) September 13, 2024 While he stated the real threat of immigration is happening at the Southern border, he said: The people of Ohio are scared. In a post on X on Friday, Mr Vance stated, without evidence, that Springfield has experienced a massive rise in communicable diseases, rent prices, car insurance rates, and crime. Dont let biased media shame you into not discussing this slow-moving humanitarian crisis in a small Ohio town, he said. Mr Vance repeated those claims in another X post later on Friday, although he added: Nothing justifies violence or the threat of violence levied against Springfield or its residents. We condemn both. Mr Trump and his supporters have used the furore over migrants in the Ohio community to draw further attention to Mr Trumps signature campaign issue of immigration reform and blame Harris for an influx of migrants into the US. It is a theme Mr Trump has used throughout his bids for public office. The situation surrounding Springfield, a city of roughly 60,000 located west of Columbus, started with an online post that was fueled by right-wing actors on social media. Springfield has seen its Haitian population grow in recent years. It is impossible to give an exact number, according to the city, but it estimates that Springfields entire county has an overall immigrant population of 15,000. Republican presidential nominee former president Donald Trump speaks during a campaign event. (AP Photo/Alex Brandon) The city also says that Haitian immigrants are in the country legally under a federal programme that allows them to remain in the country temporarily. Last month, the Biden administration granted eligibility for temporary legal status to about 300,000 Haitians already in the United States because conditions in Haiti are considered unsafe for them to return. Haitis government has extended a state of emergency to the entire country due to endemic gang violence. Following Mr Vances initial post, the internet was filled with AI-generated imagery of Mr Trump appearing to rescue dogs, cats and birds from harm, with Mr Trump posting several of the memes to his own Truth Social account. Mr Trump repeated the claim during Tuesdays debate. In Springfield, theyre eating the dogs. The people that came in, theyre eating the cats, he said. Theyre eating the pets of the people that live there. And this is whats happening in our country. And its a shame. Debate moderators pointed out that city officials have said the claims are not true. At least 14 people have died after Israeli air strikes targeted central and southern Gaza overnight into Saturday, civil defence officials have said. The news emerged as friends and family members of a Turkish-American activist killed by an Israeli solider prepared to honour her in a funeral. The air strikes in Gaza City hit one home housing 11 people, including women and children, and another strike hit a tent in Khan Younis housing Palestinians displaced by the Israel-Hamas war, Gazas civil defence said on Saturday. They followed air strikes earlier this week that hit a tent camp on Tuesday and United Nations school housing displaced people on Wednesday. Meanwhile, the body of Aysenur Ezgi Eygi, the Turkish-American activist killed on September 6 by an Israeli soldier, was returned to her home town late on Friday accompanied by a police honour guard, the official Turkish news agency reported. Israeli soldiers take up positions next to an entrance of a tunnel which the military says Hamas militants used in the southern Gaza Strip (Leo Correa/AP/PA) Draped in a Turkish flag, the coffin carrying her remains was carried from a hearse to a hospital in Didim by six officers in ceremonial uniform. Her funeral is due to be held in the coastal town in western Turkey later on Saturday. The 26-year-old activist from Seattle, who held US and Turkish citizenship, was killed after a demonstration against Israeli settlements in the occupied West Bank, according to an Israeli protester who witnessed the shooting. The Israeli military said on Tuesday that Ms Eygi was likely shot indirectly and unintentionally by Israeli forces. Turkey announced it will conduct its own investigation into her death. Anadolu Agency reported her body arrived in Didim after a post-mortem examination at the Izmir Forensic Medicine Institute. As Ms Eygis family watched the coffin being unloaded, her mother had to be helped by medics, the agency said. Her death earned condemnation from US secretary of state Antony Blinken as the United States, Egypt and Qatar push for a ceasefire and the release of the hostages. Provided photo of Aysenur Ezgi Eygi of Seattle (Eygi family/International Solidarity Movement via AP) Talks have repeatedly bogged down as Israel and Hamas accuse each other of making new and unacceptable demands. The war began when Hamas-led fighters killed some 1,200 people, mostly civilians, in an October 7 attack on southern Israel. They abducted another 250 people and are still holding around 100 hostage after releasing most of the rest in exchange for Palestinians imprisoned by Israel during a weeklong ceasefire in November. Around a third of the remaining hostages are believed to be dead. It has caused vast destruction and displaced about 90% of Gazas population of 2.3 million, often multiple times, and plunged the territory into a severe humanitarian crisis. Gazas health ministry says more than 41,000 Palestinians have been killed since the war began. The ministry does not distinguish between civilians and militants in its count, but says women and children make up just over half of the dead. Israel says it has killed more than 17,000 militants in the war. Dozens of mourners in Uganda have paid respects to Rebecca Cheptegei, the Olympic athlete who died last week in Kenya after her partner set her on fire. Local leaders and others gathered at the local administration hall on Saturday as they awaited her burial at her ancestral home in a village near the Kenya border. Ms Cheptegei died after her body suffered 80% burns in an attack by Dickson Ndiema, who doused her in petrol at her home in western Kenyas Trans-Nzoia County on September 3. Ndiema sustained 30% burns on his body and later succumbed to his injuries. According to a report filed by the local chief, they quarrelled over a piece of land the athlete bought in Kenya. Rebecca Cheptegeis body was returned to Uganda on Friday (AP/PA) The horrific attack shocked many and strengthened calls for the protection of female runners facing exploitation and abuse in the east African country. Ms Cheptegeis body was returned to Uganda on Friday in a sombre procession following a street march by dozens of activists in the western Kenyan town of Eldoret who demanded an end to physical violence against female athletes. The 33-year-old is the fourth female athlete to have been killed by her partner in Kenya in a worrying pattern of gender-based violence in recent years. Ugandan officials have condemned the attack, demanding justice for Ms Cheptegei. Members of Uganda Peoples Defence Force (UPDF) stand at the casket of their colleague Ugandan Olympic athlete Rebecca Cheptegei ahead of her burial (Hajarah Nalwadda/AP/PA) First lady Janet Museveni, who also serves as Ugandas education and sports minister, described the attack as deeply disturbing. Don Rukare, chairman of the National Council of Sports of Uganda, said in a statement on X that the attack was a cowardly and senseless act that has led to the loss of a great athlete. About four in 10 women, or an estimated 41% of dating or married Kenyan women, have experienced physical or sexual violence perpetrated by their current or most recent partner, according to the 2022 Kenya demographic and health survey. Many Ugandan athletes train across the border in Kenya, an athletics powerhouse with better facilities. Some of the regions best runners train together at a high-altitude centre in Kenyas west. Ms Cheptegei competed in the womens marathon at the Paris Olympics, finishing in 44th place, less than a month before the attack. She had represented Uganda at other competitions. Today Mainly clear skies. Low 77F. Winds W at 10 to 15 mph. Tonight Mainly clear skies. Low 77F. Winds W at 10 to 15 mph. Tomorrow Some clouds in the morning will give way to mainly sunny skies for the afternoon. Near record high temperatures. High near 110F. Winds S at 5 to 10 mph. Review of Eugene Rogan, The Damascus Events: The 1860 Massacre and the Making of the Modern Middle East (New York: Basic Books, 2024). Munich, Germany (Special to Informed Comment; Feature) How did Ottoman Damascus descend into violence and looting in July 1860? Why did the Damascene masses fall upon the Christians, leaving around 5,000 of them dead? These are some of the questions that Eugene Rogan seeks to answer in his book The Damascus Events: The 1860 Massacre and the Making of the Modern Middle East. Rogan, a Professor at the University of Oxford, has written some of the go-to books for students and scholars of the Middle East, such as The Arabs: A History. His latest book is motivated by a finding he made more than three decades ago when researching for another project in the National Archives, in Washington, DC. While exploring the archives, Rogan discovered the consular dispatches of Mikhayil Mishaka, the US consul in Damascus when the 1860 Massacre shocked the Ottoman Empire. In The Damascus Events, Rogan contextualizes Mishakas first-hand account, as well as other contemporary sources, in the broader historical setting. The result is a gripping and vivid portrait of one of the worst episodes of intercommunal violence in the Ottoman Empire. By the beginning of the nineteenth century, the once mighty Ottoman Empire was severely weakened. The empire had initially granted, from a position of strength, extraterritorial rights to foreigners to facilitate trade with Europe. This set of rights, detailed in what was known as the Capitulations, allowed protected foreigners to enjoy preferential terms of trade and taxation and the right to be judged by their consuls. As the balance of power between the Ottoman Empire and Europe shifted to the latters benefit, and Europe gained a stronger economic presence in Ottoman lands, the Capitulations became increasingly problematic. Foreign diplomats and merchants in the Ottoman Empire enrolled in their service a growing number of local Christians and Jews, who in turn profited from the same extraterritorial benefits. Mishakas case represented a step further. He was not a foreigner, but an Ottoman Christian born in Lebanon. Even so, he worked as a diplomat for a foreign country, the US. The Damascus Events have their roots in the destabilization of Greater Syria (which roughly included present-day Palestine, Israel, Syria, Jordan, and Lebanon) in the first half of the nineteenth century. In 1831, the armies of Egyptian ruler Muhammad Ali rolled into Greater Syria. The Ottomans could not repel the occupation forces by themselves, and it was thanks to the European powers military help that Egypts presence in Greater Syria came to an end in 1840. This display of weakness opened new avenues for European powers to intervene economically and politically in the Ottoman Empire. In 1843, the Ottoman Empire and the European powers established a new system of rule in Mount Lebanon that undermined the privileges of the local elites by giving more power to local councils. Commoners in Mount Lebanon all suffered under the quasi-feudal rule of the regions notables but were divided along religious lines, mainly between Christian Maronites and Druzes. The Druzes profess a faith that originated as a schism of Shia Islam but became a distinct religious tradition. The local elites in Mount Lebanon, intent on stopping their loss of power, succeeded in thwarting inter-religious cooperation. Resentments were largely articulated along sectarian lines instead of class. Intercommunal tensions grew increasingly violent, with both Maronites and Druzes establishing armed groups. The Druzes, being numerically inferior and lacking the kind of foreign patron the Maronites had in France, went on the offensive in May 1860. They burnt down Christian villages and killed the men who crossed their path, before moving to mixed towns and villages. It is estimated that eleven thousand Christians died and around one hundred thousand became homeless. After the Mount Lebanon massacres, large flows of Christian refugees moved to Damascus and the areas surrounding the city. Tensions were high in the Syrian capital. Local Christians feared they would be killed like their Mount Lebanon co-religionists. Meanwhile, Damascene Muslims were worried that the local Christians, together with the newly arrived Christian refugees, would seek revenge for the massacres they had suffered at the hands of the Druzes. It was tragically unfortunate that Damascus happened to have a deeply incompetent Ottoman governor, Ahmad Pasha, at a time of major crisis. In front of the governors erratic behavior, writes Rogan, Muslims and Christians, notables and commoners alike, were left perplexed.[1] Around the Feast of the Sacrifice, when Muslims traditionally assemble in the mosques, there were unfounded rumors that Christians would use the festive opportunity to attack Muslims. The governor sent soldiers to protect the mosques but the faithful, afraid of the Christians, did not turn up neither did the governor himself. Later on, young Muslim men went through the Christian quarters of Damascus drawing crosses on the floor and upsetting the neighbors, who did not want to step on the symbol of their faith. Eugene Rogan, The Damascus Events: The 1860 Massacre and the Making of the Modern Middle East (New York: Basic Books, 2024). Click here to Buy . Ahmad Pasha overreacted once again. He arrested young Muslim men suspected of having drawn the crosses and put them in chains. He then forced the men to sweep the streets for everyone to see them. Muslims perceived the governors measure as a great humiliation and relatives of the young men shattered their chains. Soon, false news of Christians having killed a group of Muslims spread. A perfect storm had gathered. Damascene Muslims had long resented the Christians growing economic prosperity, facilitated by Europes interference in the Ottoman Empire. The massacres in Mount Lebanon had put everyone on edge, and the Ottoman governor had increased the fears of both Christians and Muslims. When the storm broke, it did so with unprecedented violence and went on for a week. Groups of armed Muslims attacked the Christian neighborhoods, killing and looting. Men were forced to convert, although this did not necessarily save their lives, or directly killed. Women were generally not murdered, but there were many cases of rape. When US consul Mishaqa realized what was happening, he understood his life, as well as his familys, were on the line. He decided to abandon his house, located in a Muslim quarter. According to his account, Mishaqa twice had to throw coins at marauders to escape before and he and his family came across a heavily armed mob. The mob spared the rest of the family but severely injured Mishaqa. Only by paying the mob a fortune did he save his life. Mishaqa and his family would eventually find refuge in the house of Emir Abd al-Qadir, a former Algerian revolutionary. Al-Qadir, who had fought against Frances occupation of Algeria, was forced into exile after being captured by the French in 1847. He had finally settled in Damascus with fellow Algerian veterans, making up more than one thousand armed men. During the Damascus Events, Al-Qadir and his men saved the lives of many Christians. They looked for those who were hiding from the mob and rescued them. Once Al-Qadirs house was full, they accompanied the Christians to the Damascus Citadel, where they suffered hunger and deprivation but were safe from the attacks. The Damascus governor, and the small contingent of soldiers he commanded, did not intervene. The pleas of the British consul, the only diplomat who continued to enjoy freedom of movement during the massacre, were in vain. According to Mishaqas estimates, around 5,000 Christians had been killed during a week of uncontrolled violence in Damascus. Rogan notes that the Damascus massacre was a genocidal moment, but it was not a genocide.[2] He substantiates this claim by noting that outside the Damascus city walls, Christians had been protected by their Muslim neighbors and no violent events had occurred. Within the walls, not only Al-Qadir and his men but also a small group of influential Muslim notables had prevented even larger carnage. As the violence subsided and the Sultan was informed of the events in Damascus, the Ottoman ruler knew that he had to act decisively. The priority was to recover the trust of his Christian population and avoid a military intervention of the European powers in Syria under the guise of protecting the Christians. Fuad Pasha, a former foreign minister, was chosen by the Sultan to restore order. The contrast between Fuad Pasha and Ahmad Pasha, whose incompetence as a governor had proven deadly during the Damascus Events, was striking. Fuad Pasha first traveled to Beirut, where he negotiated a truce between the Maronite Christians and the Druzes and consulted with European diplomats. He promised them that those responsible for the Damascus Massacre would be severely punished. He marched into Damascus with a strong military detachment and visited the survivors of the massacre. A group of fifty-seven Muslim notables who had stood by during the killing, or even incited it, were hung after a rushed trial. More than one hundred irregular soldiers and policemen, negligent at best and complicit at worst, were killed by a firing squad. Former governor Ahmad Pasha was also executed. Fuad Pasha had to balance competing interests. On the one hand, he had to reassure the Damascene Christians that they were safe and convince the European powers that the Ottomans had the situation under control. On the other hand, Fuad Pasha could not alienate the majority Muslim population to the point that they would rise against him or return to violence against Christians. The situation was further complicated by the need to provide temporal accommodation to the Christians who had lost their homes while beginning the construction of new houses and providing compensation for the lost goods. The budgetary crisis of the Ottoman Empire hardly allowed this. Fuad Pasha forced some Muslims to vacate their houses to make room for Christians and imposed a new tax to collect money for reparations. Only a fraction of what was owed to the Christians was finally paid, but Christians with fewer possessions were prioritized. Mishaqa complained for years that he had not been properly compensated, but this had much to do with his wealth, far above the average. Fuad Pashas reaction would be alien to any current notion of the rule of law or human rights. Still, it was overall effective. Re-construction is always far more complicated than destruction, but Damascus progressively recovered both socially and economically from the 1860 massacre. The Damascus Events are far removed from our times, but they have more modern echoes. Some of these are found in Syria, where the civil war that started in 2011 has left many episodes of killing along religious lines (most clearly, but not only, by the so-called Islamic State). Still, the potential for false rumors to circulate and de-generate in violence that we observe in the Damascus Events is universal. After three young girls were mortally stabbed in the English town of Southport, online misinformation spread that the attacker was a Muslim migrant who had recently arrived in England. This resulted in thousands of right-wing extremists flooding the streets of different towns and cities across the United Kingdom, attacking those they perceived to be foreign and engaging in looting. In the English town of Rotherham, for instance, a hotel hosting asylum seekers was surrounded by 400 people and set on fire before the flames could be put down. The Damascus Events is a story of how a society breaks apart and the long and complicated way to societal recovery. In this sense, it is also a story about our present day. [1] Eugene Rogan, The Damascus Events: The 1860 Massacre and the Making of the Modern Middle East (New York: Basic Books, 2024), p. 129. [2] Ibid., p. 163. Middle East Studies Association Board | Committee on Academic Freedom | Dear President Biden, Vice-President Harris, Secretary of State Blinken, and Secretary of Defense Austin, We write to you on behalf of the Committee on Academic Freedom (CAF) and the Board of Directors of the Middle East Studies Association of North America (MESA) to vehemently condemn the government of Israels brutal and cruel military campaign against the Palestinian people of Gaza and beseech you to urgently insist upon its immediate cessation. According to the Palestinian Ministry of Health Gaza, the death toll of Gazan Palestinians from Israeli attacks from 7 October 2023 until 9 September 2024 reached a shocking 40,972, with at least 94,761 wounded and untold numbers of dead who remain beneath the rubble. In this letter, as in our last two letters to Israeli government officials (25 January 2024; 21 November 2023), we focus on the decimation of the education sector in the Gaza Strip through the militarys killing of students, faculty, and staff and its targeted destruction of schools, university buildings, and related facilities. We are especially concerned to underscore the magnitude of the decimation by identifying by name and affiliation as many of the murdered Gazan scholars as is possible at this time. MESA was founded in 1966 to promote scholarship and teaching on the Middle East and North Africa. The preeminent organization in the field, MESA publishes the International Journal of Middle East Studies and has nearly 2800 members worldwide. MESA is committed to ensuring academic freedom and freedom of expression, both within the region and in connection with the study of the region in North America and elsewhere. Over the past 11 months, the unprecedented extent of death and destruction wrought by Israels war on Gaza has devastated the educational sector. According to the United Nations (UN) Office for the Coordination of Humanitarian Affairs (OCHA), more than 625,000 students90,000 of whom are university studentsand close to 23,000 teachers have been impacted by attacks on educational facilities and school closures. All remain without access to formal education or even a safe place to shelter. According to the UN in conjunction with the Ministry of Health, as of 8 September 2024, more than 10,000 students and 411 educational staff have been killed, while more than 15,394 students and 2,411 teachers have been injured since 7 October (OCHA, Humanitarian Situation Update #215, Gaza Strip, 9 September 2024). Moreover, 90 percent of school buildings have been damaged, and 85 percent of educational facilities are out of service due to direct and deliberate targeting (OCHA, Gaza Humanitarian Response Update, 22 July4 August 2024). Within the first 100 days of the onslaught, all major universities were destroyed [see our letter 25 January 2024]. To date, numerous colleges and technical institutes have been severely damaged, if not destroyed. The physical infrastructure necessary for higher educationincluding laboratories, libraries, classrooms, the latest technologies, etc.no longer exists. Furthermore, in the absence of (reliable) electricity, internet connectivity and even phone reception, even remote instruction is extremely challenging, if not practically impossible. As for primary and secondary education, 191 schools, including those run by UNRWA, have been bombed or vandalized. UNRWA, for one, has reported that no official schooling is available at any of its 200 schools. While 119 government schools have been heavily damaged, more than 62 have been completely destroyed. Many schools that have served to shelter internally displaced Palestinians, either because of the destruction of their homes or because of (repeated) evacuation orders, are among those facilities that have been destroyed, if not severely damaged, leading to the deaths of many of those taking shelter. Recent examples include: incidents on 6 and 7 September, when a tent housing internally displaced persons in Halima Al Sadia School in Jabalya Camp and a prayer hall inside Amr Ibn Al Aas school in Gaza city were hit; the targeting of a school in Deir el-Balah on 20 August, a school in Gaza City on 10 August (OCHA Humanitarian Situation Update #203, 12 Aug 2024) and two on 8 August (OCHA Humanitarian Situation Update #202, 9 August 2024), causing tremendous loss of life. Just the week before, four schools serving as shelters were attacked. Indeed, according to an assessment conducted by UNICEF, OCHA and Save the Children, 53% of schools used as shelters amounting to some 190 have been directly and deliberately hit by Israels military in the last 11 months. In addition to the massive destruction of Gazas physical educational infrastructure the rebuilding of which will require many years and billions of dollars the systematic killing of our Palestinian colleagues in Gaza needs to be highlighted. Scholars from across the humanities, social sciences, and STEM fields, widely respected by their peers and students, have been targeted and murdered. These academics represented the intellectual leadership of the Palestinian community in Gaza and were the foundation for the accumulation and dissemination of knowledge. Various organizations have been documenting the Israeli militarys killing of Palestinian scholars and university professors. As a precise accounting is obstructed by the ongoing attacks and insecurity, we provide below only a partial list of the names and, where possible, academic affiliations of those scholars who have been killed. These individuals represent a very small percentage of those who have been integral to higher education and intellectual life in the Gaza Strip and have been catastrophically affected by death and suffering: the hundreds of faculty and staff and thousands of students and their families who have been killed in military assaults, bombings, or through prolonged exposure to starvation and disease since 7 October 2023. University Presidents : Professor Sufyan Tayeh, Islamic University of Gaza Professor Said Al-Zibda, University College of Applied Sciences Professor Muhammad Eid Shabir, former President, Islamic University of Gaza Deans : Dean Ibrahim al-Astal, Islamic University of Gaza Dean Khitam Al-Wasifi, Islamic University of Gaza Dean Mahmoud Abu Daf, Islamic University of Gaza Dean Omar Farwanah, Faculty of Medicine, Islamic University of Gaza Dean Taysir Ibrahim, Faculty of Sharia and Law, Islamic University of Gaza Dean Nasser Abu Al-Nour, Faculty of Nursing, Islamic University of Gaza Dean Ahmed Abu Absa, University of Palestine Dean Ahmed Al-Dalu, University of Palestine Dean Naim Baroud, Faculty of Arts, Islamic University of Gaza Professors and academic staff (listed in groups by institutional affiliation): Professor Adham Hassouna, Al-Aqsa University Professor Ahmad Mahmoud al-Qara, Al-Aqsa University Professor Nesma Abu Shaqra, Al-Aqsa University Professor Abdel-Nasir Al-Saqqa, Al-Aqsa University Professor Nidal Qaddura, Al-Aqsa University Professor Wiesam Essa, Al-Aqsa University Professor Fadil Abu Hain, Al-Aqsa University Dr. Mohamad Hammad, lecturer in Business, Al-Aqsa University Yahya Ghabban, lecturer, Faculty of Arts, Al-Aqsa University Professor Jihad Al-Masri, Al-Quds Open University Professor Hassan Kafarneh, Al-Quds Open University Professor Muhammed Atef Awad, Al-Quds Open University Professor Muhammad Al-Nabahin, Al-Quds Open University Professor Ibrahim Barhoum Abu Salah, Islamic University of Gaza Professor Prof Mohammad Bakheit, Islamic University of Gaza Islam Suleiman Haboush, Islamic University of Gaza Professor Midhat Saidem, Islamic University of Gaza Professor Nahed Al-Rafati, Islamic University of Gaza Professor Refaat AlAreer, Islamic University of Gaza Professor Mohammed Awad, Islamic University of Gaza Professor Rizq Arruq, Islamic University of Gaza Professor Azzu Affanah, Deputy Dean, Faculty of Education, Islamic University of Gaza Professor Muhammad Bakhit, Islamic University of Gaza Professor Salem Abu-Mukhdah, Islamic University of Gaza Professor Muhammad Dabbour Assad, Islamic University of Gaza Professor Nasir Al-Yafawi, Islamic University of Gaza Professor Sharif Al-Assali, Islamic University of Gaza Professor Youssef al-Kahlout, Arabic Language & Literature, Islamic University of Gaza Rahaf Hanideq, lecturer, Islamic University of Gaza Professor Mohamad Abu Al-Saeed, Islamic University of Gaza Dr. Hossam Hamada, Faculty of Medicine, Islamic University of Gaza Amin Dabbour, Professor of Political Science, Islamic University of Gaza Dr. Mohammad Dabbour, cancer pathologist and head of the preclinical department, College of Medicine, Islamic University of Gaza Dr. Adnan Ahmad Al-Barsh, Professor, Faculty of Medicine, Islamic University of Gaza Mohamad Al-Bakhiet, Islamic University of Gaza Professor Amin Al-Bahtiti, Al-Azhar University of Gaza Bassam Shahin, Deputy Dean, Faculty of Intermediate Studies, Al Azhar University Professor Ali Al-Qirinawi, University of Palestine Professor Ibrahim Saidam, University of Palestine Professor Mustafa Al-Laqta, University of Palestine Professor Mustafa Al-Naqib, University of Palestine Anas Al-Bursh, lecturer, Faculty of Law, University of Palestine Director Tareq Thabet, University College of Applied Sciences Professor Shaher Yaghi, University College of Applied Sciences Professor Wael Al-Zard, University College of Applied Sciences Professor Mohamad Abd Al-Ghuffur, Islamic Dawah College Marwan Tarazi, Director, Center for Continuing Education at Birzeit University, Gaza office Doa Al-Masri, librarian and researcher, Edward Said Library Faculty, academic staff and researchers (institutional affiliation incomplete): Dr. Ziad Tatri, researcher in Neonatology and lecturer Dr. Mohamad Adwan, professor of Medicine Shahidah Al-Bahbani, poet and writer Abd Al-Karim Hashash, historian, researcher, writer Rola Fadl Abd Al-Jawad, professor of Multimedia Muhamad Fayez al-Najjar, professor of Engineering Hassan Al-Rafid, researcher, writer, lecturer in Economics Dr. Rafet Lobad Professor Khalil Abu Yahya Dr. Maisara Al-Rayyes Professor Sereen Al-Attar Professor Usama Al-Muzayni Professor Ismail Abu Saadah Professor Khaled Al-Ramlawi Professor Said Al-Dahshan Professor Raed Qaddura Professor Muhammad Abu-Zur Professor Yusuf Jumaa Salameh Professor Nida Affanah Professor Mumin Shuwaydah Professor Siddiq Nassar Professor Ahmad Abu Saadah Professor Jamilah Al-Shanti Professor Muhamamd Jamil Zaanin Professor Ismail Al-Ghamri Professor Walid Al-Amudi Professor Abdullah Al-Amudi Professsor Hassan Al-Radi Professor Muhammad Abu Amara Professor Mahmud Al-Loh Professor Khalid Al-Najjar Professor Muhammad Al-Najjar Professor Muhammad Hassounah Professor Yasir Radwan Professor Jihad Al-Baz Professor Hazem Al-Jamali Professor Muhammad Nassar Professor Essam Al-Lulu In our letters to Israeli government officials regarding the current war on Gaza [25 January 2024; 21 Nov 2023], we insisted that as the occupying authority, Israels targeting of the Palestinian educational sector buildings through bombings and other forms of aggression constituted a violation of the Fourth Geneva Convention of 1949, relating to the protection of civilians in time of war. The government and militarys resultant obstruction of education has also been a clear violation of the right to education enshrined in Article 26 of the 1948 Universal Declaration of Human Rights and Article 13 of the 1966 International Covenant on Economic, Social, and Cultural Rights. The right to education is binding under all circumstances and to be protected in all situations, including during crises and emergencies resulting from civil strife and war. Israel is a party to the UDHR and a signatory to the ICESCR and is therefore obligated to uphold them. We remind you that as a party to the Geneva Conventions and as a leading supporter of international human rights law, the United States also bears a substantial responsibility to ensure that countries like Israel that receive substantial financial and military support from the United States observe their obligations under international human rights and humanitarian law. The massive assaults against educational infrastructure, educators and students at all levels and throughout Gaza since 7 October are part and parcel of a larger, deliberate campaign of ethnic cleansing intended to destroy the very foundations and bases of continued Palestinian life in Gaza, in violation of all of Israels legal obligations and, indeed, in violation of basic precepts of humanity. See summaries and statements of the International Court of Justice 19 July 2024, 24 May 2024; MESA letter 11 March 2024. We heed the call of our esteemed Palestinian colleagues in Gaza (Open letter 29 May 2024) to support them as they resist the brutal military onslaught and strive to continue to teach their students and rebuild their education sector. We urge the United States Government to demand an immediate and permanent cease-fire and the total withdrawal of Israeli military forces from the Gaza Strip indeed, the termination of the genocidal violence against the Palestinian people. We look forward to your response. Sincerely, Asl U. Bali MESA President Professor, Yale Law School Laurie Brand Chair, Committee on Academic Freedom Professor Emerita, University of Southern California ( Otherwords.org ) When my dad moved to southwest Ohio in the early 1970s, the Dayton-Springfield areas second city was home to over 80,000 people. When I was growing up nearby in the 1990s, it was 70,000. Today, its less than 60,000. Springfields decline looks like an awful lot of Rust Belt cities and towns. And behind those numbers is a lot of human suffering. Corporations engineered trade deals that made it cheaper to move jobs abroad, where they could pay workers less and pollute more with impunity. As the regions secure blue collar jobs dried up, so did the local tax base and as union membership dwindled, so did social cohesion. Young people sought greener pastures elsewhere while those who remained nursed resentments, battled a flood of opioids, and gritted their teeth through empty promises from politicians. Its a sad chapter for countless American cities, but it hardly needs to be the last one. After all, the regions affordable housing and infrastructure built to support larger populations can make it attractive for new arrivals looking to build a better life. And they in turn revitalize their new communities. So it was in Springfield, where between 15,000 and 20,000 Haitian migrants have settled in the last few years. On Sunday afternoons, you could suddenly hear Creole mass wafting through downtown streets, NPR reported. Haitian restaurants started popping up. One migrant told the network hed heard that Ohio is the [best] place to come get a job easily. He now works at a steel plant and as a Creole translator. Local employers have heaped praise on their Haitian American workers, while small businesses have reaped the benefits of new customers and wages have surged. Reversing decades of population decline in a few short years is bound to cause some growing pains. But on balance, Springfield is a textbook case of how immigration can change a regions luck for the better. Immigrants are good for this country, my colleagues Lindsay Koshgarian and Alliyah Lusuegro have written. They work critical jobs, pay taxes, build businesses, and introduce many of our favorite foods and cultural innovations (donuts, anyone?) They make the United States the strong, diverse nation that it is. In fact, it was earlier waves of migration including African Americans from the South, poor whites from Appalachia, and immigrants from abroad that fueled much of the industrial heartlands earlier prosperity. Lady Liberty, Digital, Dream / Dreamland v3, 2024. But some powerful people dont want to share prosperity equally. So they lie. From politicians who win office with anti-immigrant campaigns to white supremacists who peddle racist conspiracy theories and corporations that rely on undocumented workers to keep wages low and deny workers rights, Lindsay and Alliyah explain, these people stoke fear about immigrants to divide us for their own gain. So it is with an absurd and dangerous lie peddled recently by Donald Trump, JD Vance, Republican politicians, and a bunch of internet trolls that Haitian Americans are fueling a crime wave in Springfield, abducting and eating peoples pets, and other racist nonsense. According to interviews with a dozen local and county and officials as well as city police data, Reuters reports, theres been no general rise in violent or property crime or reports or specific claims of pets being harmed in Springfield. Instead, many of these lies appear to have originated with a local neo-Nazi group called Blood Pride who are about as lovely as they sound. In reality, immigrants commit fewer crimes, pay more taxes, and do critical jobs that most Americans dont want, Lindsay and Alliyah point out. Politicians who want you to believe otherwise are covering for someone else like the corporations who shipped jobs out of communities like Springfield in the first place all to win votes from pathetic white nationalists in need of a new hobby. Its lies like these, not immigrants, who threaten the recovery of Rust Belt cities. Springfields immigrant influx is a success story, not a scandal. And dont let any desperate politicians tell you otherwise. Otherwords.org The K-drama Queen Woo has quickly captured the attention of the audience as a work full of royal intrigue, passion, and power struggles. The second part of the show, which premiered on September 12 on TVing, has caused confusion for the viewers as to whether the character of Queen Woo is based on historical facts. The answer to this question is "yes." The character of Queen Woo, also known as Queen U, comes from the historical world. It has roots in the rich history of Goguryeo, one of the Three Kingdoms of Korea. The Real Queen U: A Powerful Woman in a Man's World While the show may have exaggerated the events of the life of Queen U, the basis of the storyline corresponds to history. Queen U was one of the members of the U clan and was married to King Gogukcheon, who also found himself under the name Go Nammu, in 180 AD. The historical figure's life is a one-of-a-kind story that reflects the characteristics of a person with the political intellect needed to survive in a man's world. The king unexpectedly died in 197 AD, and the queen found herself at a time when it was necessary to determine the right to receive the throne. According to historical data, the queen began to comprehend the events taking place in the Goguryeo court. SEE: Queen Woo' Episode 8 Ending Explained: Fans Disappointed Over Lack of Actual Battle - Season 2 Coming? The throne did not necessarily go to the first son, as there was no direct heir of the deceased king, and many princes and barons of the court were contenders for the throne. Prince Balgi, King Gogukcheon's brother, has shown the greatest desire to take the throne. However, he was a rude man who did not have subtlety in politics, which Queen U did not like. It alarmed the queen and she realized that Yeonu, the brother of Balgi, was a person who was more fit for the throne. A Calculated Move: The Fall of Prince Balgi Queen U became an exceptional lady and inherited the riches of nature. Her value should be assessed by the fact that she decided to revive the old custom recognized in Goguryeo, in an era of relationships filled with intrigue and constant internecine wars where many people's blood was spilled. The right to marry the widow of the king was exclusively for his brother. But now more than ever, new laws have appeared. The position of the Great Queen allowed her to fulfill her wish, and she married the new head of the royal household, Sansang. Since she was an important person, she became the first wife of the new monarch. The Great Queen carried her influence and the title of the ruler's official wife. The Great Queen of Goguryeo was known for her wisdom and strategic thinking. She set a glorious example for future generations. The story highlights the brightness, eminence, and boldness of Queen U. Her legacy is preserved through her notes on elegant plates and other belongings." A Remarkable Remarriage and Queen U's Legacy Queen U was known for many things, but one of the most notable was her second marriage. It symbolizes the unusual practice of levirate marriage that was permissible in Goguryeo and later adopted as customary law. In case of a husband's death, a widow may be taken in marriage by her brothers-in-law. Her second marriage not only underlines the position of the Great Queen, but it was also a savvy move. Thanks to this unique marriage, she remained queen. In fact, the queen's uncle was crowned her consort, and this unusual arrangement was in place for half a decade. Many of these events, however, required U to wield a lot of. The right to marry the widow of the king was power. In contrast, she encountered frustration in getting along with her new husband or the crown prince, as indicated in the historical text. The appeal of Queen Woo seems to come from its deviation from history, which allows for the transformative representation of a woman whose existence spans both dramatic fiction and tangible history. The interest in Queen U's relationships with the princes and her reliance on the partnership between her and her dead husband's brothers suggests the type of bond those around her had once formed and wished to forge with her. Hence, the reason why the princes found Queen Woo so desirable was that the princes hoped to take advantage of her inside knowledge of palace politics and negotiations. They also saw a real symbol of successful adeptness in a man's world, wherein Woo assuming the throne was a bold statement and professional declaration. Cast and where to watch Queen Woo features an utterly spectacular cast, including Jun Jong Seo as Queen Woo, Ji Chang Wook as King Gogukcheon, and Lee Soo Hyuk as Prince Balgi. The drama is available for watching on tvN and is also streaming on Viu and Paramount+. A historical K-drama with real roots The questions about who Queen U was as a person are also deeply connected to why she was so missed and wanted by the princes. Viewers are watching reimagined characters but asking questions. She is a real queen who thrived as a royal force in this dynasty of Goguryeo. SEE: 'Queen Woo': Did Ji Chang Wook and Jung Yu Mi Use Body Doubles For Their Explicit Scenes? What can you say about the story? Share your comments below. Kdramastars own this. This article was written by: Rovelyn Barba Chinese ambassador vows to expand cooperation with Ghana Xinhua) 10:24, September 14, 2024 ACCRA, Sept. 13 (Xinhua) -- Chinese Ambassador to Ghana Tong Defa late Thursday pledged to expand cooperation with Ghana in various fields. At a press briefing on key outcomes of the just-ended 2024 Summit of the Forum on China-Africa Cooperation (FOCAC), Tong highlighted the significance of China-Ghana relations and vowed China's commitment to further deepening the relations for mutual benefit. The ambassador expressed satisfaction that the friendship between the two countries has remained strong and enduring despite changes in the international landscape. "China is ready to strengthen the synergy of development strategies with Ghana and continue providing assistance to the best of its ability to Ghana in developing the economy and improving people's livelihoods," he said. "China is ready to expand cooperation with Ghana in the fields of agriculture, fisheries, energy, mineral resources, and infrastructure." The ambassador also pledged China's support for Ghana in its work as a member of the United Nations Human Rights Council for the 2024-2026 term. "China is ready to enhance strategic coordination with Ghana on international and regional issues." Tong also said China is willing to work with Ghana to jointly implement the various results of the 2024 FOCAC summit. (Web editor: Zhang Kaiwei, Liang Jun) Saturday, September 14, 2024 - Environment Cabinet Secretary, Aden Duale, has joined 48 Mt Kenya Members of Parliament in slamming Deputy President Rigathi Gachagua for undermining President William Ruto. Gachagua has been traveling across the country urging the President and his team to stop deceiving Kenyans and to be honest with them, rather than making fake promises. Gachaguas sentiments seem to have irked Duale, who stated that it is wrong for Kenya Kwanza Alliance leaders to criticize the government they are serving in. The former Defence CS pointed out that while some Kenya Kwanza leaders are vocal in attacking Ruto, they forget that they were all part of the campaign that brought him to power. "If you want to be respected by those above you, you must also show respect. "So, I ask Kenya Kwanza leaders, we were all with the president; we campaigned with him. "We collectively committed ourselves to the president's manifesto. "Today, you cannot hold a position in government and at the same time contradict and say it is only the president who must deliver. "No. All of us must deliver for the people of Kenya. As for me, I assist the president," Duale said. Duale's sentiments come a day after 48 members of Parliament abandoned Gachagua and endorsed Interior Cabinet Secretary, Kithure Kindiki as the regions political kingpin. The Kenyan DAILY POST Saturday, September 14, 2024 - Detectives from the Directorate of Criminal Investigations (DCI) are close to getting to the bottom of the fire tragedy that killed 21 pupils at Hillside Endarasha Academy after intensifying the probe. Central to the investigation is the role of a matron who was mysteriously absent from the dormitory on the night of the fire. Investigators are focusing on three critical leads, including the matrons unexplained absence, the buildings structural integrity, and the possibility of an electrical fault. The DCI is questioning why the matron, who was responsible for the well-being of the boys, was not present during the incident, raising concerns about her whereabouts and duties on that fateful night. Kenyans have been demanding answers as to what caused the fire, and whether it was an incident of negligence or arson. This comes as a trend of school fires has engulfed the country in this third term, exposing the underbelly of poor infrastructure and indiscipline in the building of school dormitories and classes across the country. Sources close to the investigation reveal that detectives are working to establish if her absence was linked to an accident she reportedly had, preventing her from reporting to work. However, conflicting reports have cast doubt on whether she had officially communicated her inability to perform her duties that evening. The Kenyan DAILY POST Saturday, September 14, 2024 - A middle-aged lady from Kasarani is crying for help from relevant government authorities after she was held hostage in India. She was reportedly promised a job in India by a lady who paid for her flight and facilitated her trip to the Asian country. Upon arrival, she was held hostage in a house and forced to do dirty jobs. She landed in India in April last year and since then, she has been suffering in silence. The lady who brought her to India confiscated her passport and demanded Ksh 300,000 to return it. So far, she has paid Ksh 230,000 and is pleading for help to clear a balance of Ksh 70,000. Watch the video here Link>> The Kenyan DAILY POST. Saturday, September 14, 2024 In a move to assert his authority as Mt. Kenya kingpin, Deputy President Rigathi Gachagua hosted a group of leaders from the region who are seen as loyal to him. This came after 48 MPs from Mt. Kenya distanced themselves from Gachagua and backed Interior Cabinet Secretary Kithure Kindiki as their direct link to the Executive. The meeting was held in the morning at the DP's residence in Karen. Present at the meeting were several MPs including; Joseph Munyoro (Kigumo), George Koimburi (Juja) Benjamin Mejja Donk (Embakasi Central), James Gakuya (Embakasi North), and Trizah Wanjiru (Nominated). Nyandarua Senator John Methu and former Laikipia Woman Representative Cate Waruguru were also present at the meeting. According to sources, the meeting revolved around crafting strategies to stem the latest wave of rebellion in the Mt. Kenya region. The declaration by the 48 MPs was covertly planned and executed, with members of the press only learning of the declaration after the lawmakers issued a joint statement. The MPs blamed Gachagua for failing to demonstrate leadership, with the rebel MPs citing stalled infrastructure projects in the region as evidence. The lawmakers further claimed that landmark infrastructure projects such as the Mau Mau Road have stalled despite Kenya Kwanza's pledge to ensure completion of the same. The Kenyan DAILY POST Saturday, September 14, 2024 - Former Nyeri Town MP Ngunjiri Wambugu has criticized the move by 48 Mt Kenya MPs to endorse Interior Cabinet Secretary Kithure Kindiki as the region's kingpin just to spite and undermine Deputy President Rigathi Gachagua. In a statement, Wambugu, Gachaguas ally, noted that the move was bound to fail owing to his experience. Wambugu reminisced when he, alongside some of his former colleagues in the Kieleweke group, issued an unpopular statement with the voters. According to him, most of the MPs who belonged to the Kieleweke group were voted out during the 2022 polls, a scenario he hinted would likely happen to the MPs undermining the DP. "During Kieleweke days I once convened a meeting with a long list of MPs (I think they were 48 including some absent but in concurrence). One person responded by saying We now know which MPs will go home He was right. We went home," he stated. Kindiki was tasked with safeguarding the interests of the vote-rich region in government despite being junior to the DP. Notably, Gachagua has been accused of undermining President William Ruto by leaders including Kikuyu MP Kimani Ichung'wah. The Kenyan DAILY POST Saturday, September 14, 2024 - Deputy President Rigathi Gachagua has responded after 48 Members of Parliament from the Mt. Kenya region denounced him and endorsed Interior Cabinet Secretary Kithure Kindiki as the region's political kingpin. Speaking at the Central Kenya ASK show's official opening in Kabiru-ini grounds, Nyeri, on Friday, Gachagua termed the MPs as sell-outs. The DP likened them to characters from an old Kikuyu tale involving a hyena and a hare where the hyena and the hare agree to beat their wives. In the tale, the hare cleverly uses drums to avoid inflicting harm, leaving the hyena to do all the damage. "Do you know our hyenas? Do you know them? Do you know them? Now our people, with everything that is going on, is there anything that you do not know? "You know who the hyena is in this story, and you know the fools beating their wives. "Despite the tribulations, I will stand firm. I fought for this government, and I will not move," Gachagua posed The second in command further insisted that the MPs were motivated by personal greed rather than the interests of the Mt Kenya community. He accused them of attempting to create divisions within the region for their gain, undermining the unity of the Kenya Kwanza administration. Gachagua revealed his awareness of the political maneuvering at play and his readiness to address it in due course. "I do not know a lot of things. I am not so clever, but I am no fool either. There's is something that I know and when the right time comes I will speak," he said. The Kenyan DAILY POST Saturday, September 14, 2024 - Safina Party Leader, Jimi Wanjigi has revealed how the Kenya Kwanza Alliance administration is using police and other security agencies to frustrate him and his family. Wanjigi is among the fearless leaders who have been exposing President William Rutos administration, especially when it comes to corruption and bad governance. Last month, Ruto ordered police to raid Wanjigi's home, accusing him of being in possession of explosives but the court dismissed the case. On Friday evening, Wanjigi claimed that an unmarked car had been following him for the past week, equipped with jammers that disrupted both his and his family's phones. "Why have unmarked vehicles outside my residence in Muthaiga for the last week? "This is clearly harassment on me and my family, this surveillance is unprecedented! "These vehicles are jamming all communication to my residence!" Wanjigi wrote on his X The Kenyan DAILY POST Saturday, September 14, 2024 - An outspoken Orange Democratic Movement (ODM) MP has defended Acting Inspector General of Police Gilbert Masengeli, who was sentenced to six months in prison on Friday for contempt of court. Masengeli was required to appear in court on Monday to explain the circumstances surrounding the disappearance of three people in Kitengela. They went missing on August 19. Several summons have been issued by the court but Masengeli has snubbed all of them. Following his imprisonment, Saboti MP Caleb Amisi claimed that Masengeli's case is politically motivated, stemming from internal conflicts between President William Ruto and Deputy President Rigathi Gachagua. Amisi urged the government to stop dragging Masengeli into what he deemed political games. "We don't want Masengeli to be dragged into Rigathi and Ruto's politics. "He is a well-educated policeman with a master's degree, and he uses his intellect; he won't be just any ordinary officer," Amisi stated. The Kenyan DAILY POST Saturday, September 14, 2024 The rogue cop who was captured on camera shooting Rex Kanyike at point-blank during the anti-government protests has reportedly been transferred and promoted. The dirty cop, identified as Ndumba Murangiri, was previously attached to the Central Police Station, where he was a member of a dreaded police hit squad. According to blogger Aoko Otieno, Murangiri was transferred from Central Police Station and promoted, despite millions of Kenyans calling for his arrest after he shot dead Rex Kanyike. He reportedly led a covert operation recently after getting a promotion. Murangiri was among the rogue cops deployed to the streets during anti-government protests to unleash terror on peaceful Gen Z protesters. He ruthlessly enforced shoot-to-kill orders and even brutalized journalists without fear. Check out Aokos tweet. The Kenyan DAILY POST. Saturday, September 14, 2024 - The United States embassy has issued a terror alert in Kenya, advising its citizens to limit travel to hotels, shopping malls, and other crowded public places. According to the embassy, terrorists may target locations that are highly frequented by their citizens and other foreigners, including tourists in Nairobi and other parts of the country. "The US Embassy in Nairobi reminds US citizens in Kenya that they should exercise increased caution due to the risks of terrorism and kidnapping. "Locations frequented by US citizens and other foreigners and tourists in Nairobi and elsewhere in Kenya continue to be targets to terrorists," the alert reads. It further warned that terrorists may attack with little or no warning, targeting locations including hotels, restaurants, embassies, malls, and markets. They may also attack schools, police stations, places of worship, and other places frequented by foreigners and tourists. "While attacks can occur at any time, concerns are heightened in proximity to anniversaries of prior terrorist attacks, such as September 11th, the September 21st Westgate Mall attack, and the October 7th Hamas attack against Israel," the embassy stated. Citizens have been advised to stay alert in locations frequented by tourists/foreigners, review their personal security plans, and be aware of their surroundings. The Kenyan DAILY POST I have a problem with some of the continuing medical education courses offered by large health care institutions, even those like Harvard and Mayo, which have outstanding reputations for evidence-based medicine. Thats because what they teach, although scientifically sound and in line with the Department of Health and Human Services and CDC recommendations, can get you prosecuted and convicted by the DEA. If you get targeted for practicing the way you have been trained, the doctors who made these recommendations almost universally refuse to appear in court on your behalf. I have personally experienced this, and Ill relate those events while protecting the doctors privacy since it seems to have been fear of being targeted that influenced his decision. This fear is not unfounded, as many true medical experts are now terrified. When I say true medical experts, Im talking about the doctors in the trenches treating these conditions on a daily basisnot someone who has taken a big paycheck to call themselves an expert who does not treat these disorders or prescribe the medications they are supposedly experts on. As any soldier will confirm, there is a gulf between theoretical and practical experience. In my case, a psychiatrist from the largest teaching hospital in our state spoke during an education conference held by our state medical society. Standing in front of a mostly primary care physician crowd, he told us that if we have a drug screen with an unexpected result from a patient on opiate therapy, do not just cut them off or think that a taper is mandatory, as this puts the patient at extreme risk of overdose, addiction, and death. The reasoning was that most people cannot tolerate severe pain indefinitely and will seek relief. Having no source of safe medications makes them subject to unsafe ones. This is a very reasonable argument and extremely effective for those of us who prioritize keeping our patients alive over politically correct considerations. We had all seen the death rates of fentanyl poisoning skyrocket as the DEAs restrictions on controlled medication production, combined with a surge in physician prosecutions, left those patients abandoned. Our state medical board had also developed a red flag notice so we could all be on the same page. This document said that more than two unexpected urine drug screens in six months were a red flag, and opiate use disorder should be considered. This would imply that one in a six-month period was perhaps a yellow flag. My clinic established the policy of holding medications when there was an unexpected result and investigating to see what might have happened. Its not uncommon for patients who are constantly told to use the minimum amount of medication necessary to control their pain to have a good couple of days and test negative, or to have surgery and test positive for something. Or false positives and negatives were occurring. This all seems reasonable until you run into a problem and come to the attention of the DEA. How does this happen? Ive written about them, but the three most common ones are: you must tell a patient on controlled medications they cant have them anymore because they kept breaking the rules; you must fire an employee for ineffectiveness or misbehavior; or a jealous competitor complains that youre busier because you give patients what they want (and not that the complainer is a jerk). I must now add one more. It has become extremely common for jails to report any death they are responsible for as an overdose to the family. This stigmatized manner of death causes the family to feel shame and not look further. This happened when a young father in his twenties, in jail for minor offenses, was sexually assaulted and beaten over several days while tied up. The jail guards must have seen what was happening on their rounds but did nothing. When he became unresponsive, they sent him to the hospital and did not notify the family for a week, letting the bruising heal while he was on life support. Telling the family he had suffered a drug overdose and not letting them see him. He died, and his family saw the medical notes on his condition at admission and, despite the lies they were told, started asking questions. Details are here. So why is this now threat number four? Once the family is told their loved one died of an overdose, they turn their ire from the jail to the doctor, calling the DEA and demanding justice. The DEA these days is only too happy to ignore law enforcement crimes and lock up doctors, as these outcomes are politically popular right now. They would probably fail if the state tried this, but federal courts are very different from state courts. The federal government controls access to evidence, can threaten or reward witnesses, and has access to millions of dollars to hire experts and make a physician look guilty of something. Now, add into this minefield that we have CME courses recommending bridging when going from a full opiate agonist for pain treatment to buprenorphine, a partial agonist, when the patient is believed to be in danger of developing or has developed opioid use disorder. What does this create? Essentially, it is a confession that the DEA can use to put you in prison. When there is a single drug screen with an unexpected result, which is usually what makes you start thinking of OUD, the DEA can use any prescription written after to argue to a jury that you put the patient at risk of OUD by continuing to treat them. This ignores the risk of a patient with untreated pain developing an OUD after being abandoned, but there you go. If they have no doctor, theres no one to lock up. That makes the only legally safe choice to fire the patient. This makes almost everyone happy, particularly the DEA and the local undertaker, but makes the doctor complicit in the patients death. Taking the advice of the psychiatrist who spoke at the conference, I followed his advice, holding prescriptions for two negative drug screens at least a week apart and educating my patients about the risks of illicit drug use or overuse. This is excellent medicine. When I was targeted and asked the psychiatrist to repeat in court what he told us in the CME, he initially seemed receptive. Then he came back and said that, as his institution received federal grants, it was a conflict of interest, and they wouldnt let him. I could not, in fact, find any organization willing to stand behind their CME. Ill be sentenced next week. Now, as an eternal optimist, Im still taking CME and listening to very knowledgeable physicians from my alma mater, the Mayo Clinic, talk about starting low-dose buprenorphine therapy while someone is on a full opiate agonist. This makes perfect medical sense, as the buprenorphine binds stronger than even fentanyl, and as a partial opiate agonist at about 60 percent efficacy at activating the mu opiate receptors, it is indeed a smart way to help someone step down. Until you get to court. Then, your notes about OUD concern will be used to convince the jury that you knew the patient was at high risk, which the DEA has been able to convince juries means you cannot treat the patient with controlled medications. Again, this is accomplished using what I consider mercenary experts who argue whatever the DEA asks them to. For a price, of course. I say mercenary because, while two experts can have differing opinions, no true experts opinion should contradict what is taught in textbooks and CME. Thats because holding a physician to the standard of whatever is in the mind of an outlier medical professionals personal opinion creates an impossible barrier to knowing what will and will not be considered criminal. Everything I just heard while listening to 10.5 hours of CME through Mayo.edus online DEA requirement course seems reasonable. But doctors around the country have gone to prison for practicing exactly according to the training they present. Now, the doctors at Mayo are protected by a multibillion-dollar operation ($16.3 billion in revenue in 2022 and $20.3 billion in assets as of 2021), which protects them from being held to the same nonstandard as independent physicians. I cannot, in fact, find a single case of a corporate physician being held to the same standards as independent doctors targeted for prosecution. Now, dont get me wrong. If there is an independent health care corporation worth a few hundred million, the DEA may pounce. Just look at Done Health. But this is rare, and in the case of telemedicine (Done), the government is trying to make a point. A new commandment is in effect: thou shalt not innovate and outperform our corporate masters. But when it comes to opiates, the disparity is even more pronounced, with major health care corporations being able to practice as they see fit, according to their education, training, and experience, while independent doctors are sent to prison for following the very education provided by those large health care corporations. My question is this: if a professional medical organization markets education that is touted as meeting the DEAs opioid prescribing education requirements, shouldnt it have to support that CME in court? L. Joseph Parker is a research physician. People learned during the COVID pandemic that getting limited care online via telemedicine can be a great way to expand access, especially in rural areas. But Visibly, founded in 2014, was ahead of the curve. The Chicago-based company invented technology that lets people renew eyeglass prescriptions from home or anywhere with an internet connection. No appointment is necessary, no driving, and no waiting in a lobby. Licensed ophthalmologists review results and renew prescriptions remotely if they feel it would be safe. This FDA-cleared technology could be a time-saver in South Carolina. Most states allow two-year prescriptions. The duration in Florida is five years. But prescriptions expire every year in South Carolina, a rule that forces extra visits to eye clinics. This is great for business if you happen to be an eye doctor selling lenses and frames. But the rule is not so great if you happen to be a busy South Carolinian with near-sightedness. Visibly was available in South Carolina until 2016, when state lawmakers passed a protectionist rule written by optometrists to fence out online competition. The South Carolina Optometric Physicians Association (SCOPA) led the campaign. SCOPA drafted a bill targeting Visibly, then called Opternative. Lobbyists then found sponsors, drummed up support, and passed legislation forbidding prescriptions based on online vision tests. Former South Carolina Gov. Nikki Haley saw through the power play and tried to stop it. I am vetoing this bill because it uses health practice mandates to stifle competition for the benefit of a single industry putting us on the leading edge of protectionism, not innovation, she wrote. SCOPA celebrated privately when a supermajority of lawmakers overrode the veto. [T]ake that Opternative!!!!!! SCOPAs leadership wrote in a membership email. Its with the utmost pleasure to announce that Opternative and eye exam kiosks are now PROHIBITED BY LAW in the great state of SC! Months later, when the American Optometric Association asked SCOPA to present what it had achieved with other state affiliates, SCOPA prepared a PowerPoint slide that showed Opternatives name crossed out with a red circle and backslashthe universal symbol of cancellation. A protracted legal battle ensued. On July 18, 2024after eight years and one trip to the South Carolina Supreme Courta state trial court granted SCOPAs motion for summary judgment and declared the protectionist law constitutional. Visibly appealed on Aug. 15, 2024, and will return once again to the South Carolina Supreme Court. Our public interest law firm, the Institute for Justice, represents the company. Health care providers nationwide should pay attention to the dispute. So, should policymakers be tempted to come between doctors and patients? Medicine is big business. Some hospital conglomerates pull in more than $300 billion annually. But nothing should matter more than patient welfare. Whether people need routine vision tests or something more, they deserve choices like any other customer in a marketeven one as bogged down by regulations as health care. Established providers often use political pull to limit options instead. Stopping a company like Visibly from using technology to connect doctors and patients online is just one example. Industry insiders also use certificate of need laws to protect their turf. This regulatory tool, informally called a CON, requires special government permission to open or expand services. Health and safety are not the concerns. CON boards focus exclusively on money. They grant or deny CON applicationsor even refuse to consider applicationsbased on the business concerns of existing providers. Some states even let existing providers participate in the CON review process, giving them veto power over potential rivals. South Carolina previously played this game, but the state repealed most of its CON laws in 2023. Twelve other states, including California and Texas, have eliminated their CON regimes entirely. Other protectionist schemes are common. Health systems need revenue to survive. But policymakers should not choose winners and losers. Nor should they punish innovation from companies like Visibly. Doctors and patients can decide for themselves what treatment is best, if states will let them. This is the prescription for healthy competition. Joshua Windham is an attorney. Daryl James is a writer. Walking through the narrow alleyways and past artisanal businesses in the Lake Atitlan region of the Guatemalan highlands, a swarm of children followed us as if we were a parade or a moving tourist attraction. As a global fellow through the Stanford Freeman Spogli Institute (FSI), I served as an interpreter and interviewer in this underserved region with extreme poverty a few years ago. Under the guidance of Dr. Paul Wise and his Children in Crisis Program, I conducted field research to examine maternal and child health. I spent my time on clinical work in the Centros de Salud and in-home interviews with community members and local health promoters, as well as interacting informally with the locals. Some of the children, each with sun-kissed skin and sunburnt copper hair, were playing tag or selling artisanal items, including indigenous thread braceletsor both. There was one little boy, about eight years old, who had joined us from the beginning of the trip that day. He wasnt smiling and running around with the other children; he was working. He helped untie the boat from the small pier we had departed from on our side of the lake in San Lucas Toliman. The others in the group, comprised mostly of doctors, professors, and undergraduate students interested in policy and global health, didnt find it noteworthy that this boy was helping untie the boat. He looked at us with a nervous, shy expression. We werent the typical tourist group he was used to. He mostly kept to himself at the back of the boat. The adult boatman didnt need to give him any instructions; the boy knew exactly what to do and when, careful not to get in our way. As we reached the other side of Lake Atitlan, we unloaded the boat, and the child quickly got into the water, pushing the boat with his small body and tying it to secure our ride back. I observed him with a mix of compassion and awe. Where were his parents? It was Monday, and it wasnt a holiday. Why wasnt he in school? The boy followed us from a distance, as if he were our bodyguard, instantly looking away whenever any of us caught his gaze. I was also in awe at how nonchalant my peers and faculty were at this sight, as if child labor were a commonality back in the United States. I noticed that the boy took out thread bracelets from his pockets and started offering them to everyone on the street. He was working as we toured a piece of paradise. Other children also had their own thread bracelets, offering them to anyone and everyone around. My peers and faculty saw an opportunity and asked for the price of the braceletsonly ten American cents for a set of tena great deal. I approached the boy as he extended his skinny, dark arms with his many multicolored thread bracelet sets. He was visibly surprised when I asked him his name. It was unclear whether he was surprised because I spoke Spanish or because I wanted to talk to him. He told me his name, and I told him mine. He answered all my questions with a lot of information and a lot of innocence. He was working, but he was still a child. He told me that his parents worked in Las Fincas and that he had a brother in San Jose, CA, who sent money back home. I told him I lived very close to San Jose. His eyes widened as he told me that he missed his brother a lot and wasnt sure when he would see him again. The boy wanted to go to the United States once he was older to join his brother. Like many others, his brother had taken the train up north. I asked him if he went to school. He nervously said yes. I think he hadnt gone to school that day because he was helping us with the boat. As the research group stopped at an artisanal store to buy mementos to bring back home, I stayed outside, talking to my new friend, sitting with him under the sun in front of a view of the lake with a green tropical horizon. I asked him how many bracelet sets he had. He had exactly ten. He had sold none; all the other children were faster and more extroverted than he was. I got close and whispered to him, I have a deal. Tell you what? Ill buy all of your bracelets if you promise me something. He asked me excitedly, What is it?! Yes! I told him, No dejes que nadie te quite tu educacion. No los dejes! Prometeme que no los vas a dejar. (Dont let anybody take away your education. Dont let them! Promise me you wont let them.) He agreed to the proposal as I paid one dollar plus a tip for all the bracelets. He counted the money like a pro and gave me the exact change. I told him to keep the rest. He then looked at me, right into my soul, and asked me, Do you promise, too? As the research group left the artisanal store for our next stop, I saw the boy transform from a worker to a child again, happy and running around with the other children. He turned into a little boy once more, playing in paradise. Oscar A. Leyva is a flight surgeon. By Grainne Ni Aodha, PA The British government has said it has decided against establishing a public inquiry into the murder of GAA official Sean Brown 27 years ago. Mr Browns family said they were devastated at the decision but pledged that they would not be going away. Sean Brown (61) was abducted and killed by loyalist paramilitaries as he locked the gates at Bellaghy Wolfe Tones Club in Co Derry in May 1997. No one has ever been convicted of his murder. Earlier this year, a coroner said an inquest into Mr Browns death could not proceed due to the withholding of sensitive files. Mr Justice Kinney said his ability to examine Mr Browns death had been compromised by the extent of confidential State material being excluded from the proceedings on national security grounds. He said he would write to the Northern Secretary to call on the British government to establish a public inquiry into the loyalist murder. In a statement on Friday, the family of Sean Brown said they had waited patiently for a reply to that request. Our patient dignity and expectation has in the past been grossly abused by the then secretary of state Chris Heaton-Harris and James Cleverly, then home secretary, who far from abiding by the express direction of the High Court to take the opportunity for once in this case to do the right thing, and convene a public inquiry, decided to attack our local judiciary and are actually ignoring the request and instead challenged the decision to issue the limited gist of sensitive information, which was read into the court record on 27 February. We are today devastated that Hilary Benn MP has acted in defiance of the judiciary and has ignored the explicit direction of a High Court judge to convene a public inquiry. The State is terrified that their carefully curated official history of the conflict is now being contradicted by judicially endorsed legal facts, hence their attempts to deny victims of access to the courts and access to justice. Todays devastating decision is merely an event in a long and shameful chronology, which must be an eternal embarrassment to the State. It is not the end of our journey; we are not going away. This is merely a decision which will be challenged, and we will now look forward with renewed enthusiasm and vigour to our judicial review which was already listed for hearing on Thursday 26 and Monday 30 September 2024. In a statement, Mr Benn said he concluded a public inquiry was not the best way to proceed. I have enormous sympathy for Mrs Brown and her family, who have suffered so much since the murder of her husband and their father, he said. I acknowledge their continued search for answers in relation to this case and am grateful to them for meeting me on 28 August. I take my responsibility to victims and survivors of the Troubles extremely seriously. In reaching this decision, I carefully considered a range of factors, including the views of Mrs Brown and her family, the coroners rulings, the Governments human rights obligations, and important public interest factors. Ultimately, I have concluded that an inquiry under the Inquiries Act is not the best way to proceed. I recognise that this decision will come as a disappointment to the Brown family. I am, however, confident in the Independent Commissioner for Reconciliation and Information Recoverys (ICRIR) capability to deliver justice, accountability, and information to victims and survivors of the Troubles, and to meet the governments human rights obligations, particularly given the Northern Ireland High Courts ruling. I would therefore encourage Mrs Brown and her family to meet with Sir Declan (Morgan) and the ICRIR to hear how the independent Commission would approach any investigation into Mr Browns death. On Tuesday, Mr Benn announced that a public inquiry would be established into the murder of solicitor Pat Finucane, who was shot dead in front of his family at their Belfast home in 1989. The attack, carried out by the Ulster Defence Association, was found by a series of probes to have involved collusion with the state. Mr Benn said he based the decision on the exceptional reason that a UK government had committed more than 20 years ago to hold an inquiry into Mr Finucanes murder, which had remained unfulfilled. A ghostly feature film is now filming around the Castlecomer area. Brian Stynes at Hard Bargain Pictures with the help of Clogh's own, Willie Joe Meally, are currently shooting an award winning, Irish Ghost tale screenplay, titled, "A New Landlord" set in in 1903 rural Ireland. Using the political backdrop of the era, where tenant farmers were finally allowed to buy the land they had worked all their lives with the passing of the 1903 Land Act, into this world, we meet Albert, an heir to one of the many 'big houses' that dotted the the countryside, with rents and businesses to run and a dying wish that he must not sell the family's land, a promise that is upsetting locals to the point of insurrection. Albert is a man with an already debilitating physical condition, a very bad drug habit, and now, the heir to a home with a destructive spirit will have to face a terrifying truth about his own lineage. Shooting in Stradbally and Crutt, A New landlord was penned by Brian Stynes with additional material by Emmett O'Brien and has earned high praise from industry entities such as WeScreenplay (Los Angeles) PaperScreenplay (London) where it won Best Character Arc 2024, Industrial Scripts and Unsolicited Scripts (five stars for Concept and Marketability) Rodney Hide writes: In the interests of justice, fairness, equity, kindness, etc., oppressors must be silenced. It is a time for victims to speak. That means the poor white truck driver must shut up. The Maori, female professor speaks. She Is the oppressed; he her oppressor. The individual circumstances dont matter. Group identity determines everything. The oppressed get to speak their truth. This is important. Their truth is personal to them. It is true to them. It cannot be contested. To debate is to perpetuate oppression. We then have hate speech. Hate speech is what old white men say. Hate speech threatens the oppresseds Health and Safety, and Safety always trumps freedom and so speech must be controlled either by the law or more efficiently by strict social norms. A career can be over in two minutes simply by stating what was an obvious truth just five years ago. There is also misinformation and disinformation. Those with the wrong ideas threaten Health and Safety. Wrong information is a contagion causing people to make wrong decisions or to think wrong and hurtful thoughts. Once again, speech must be controlled, especially in public forums. Indeed, public forums must be rigorously policed. This Left is awash with paradox and hypocrisy. The intellectual leaders are old white men. The cult leaders are white and privileged. But none of that matters. The anointed only need to announce an untruth for it to be acclaimed. The Lefts detachment from reality has made astonishing inroads to control political discourse, legacy media, social media (bar X), universities and schools, the bureaucracy and the courts. The takeover has been swift and total. The key has been the ruthless squashing of dissent. Dissenters are publicly denounced and destroyed with their heads displayed on spikes. That could be you is the threat. To speak out is to lose your job, your career, your business, your social standing. There are modern day Red Guards sprinkled through key institutions ready to pounce and denounce. That leaves the members of all our key institutions either brainwashed themselves or scared. SilverOak Wealth Management LLC bought a new stake in Philip Morris International Inc. (NYSE:PM Free Report) during the 2nd quarter, HoldingsChannel reports. The fund bought 2,106 shares of the companys stock, valued at approximately $213,000. Other institutional investors have also recently made changes to their positions in the company. Iron Horse Wealth Management LLC lifted its stake in shares of Philip Morris International by 684.4% during the 2nd quarter. Iron Horse Wealth Management LLC now owns 251 shares of the companys stock worth $25,000 after acquiring an additional 219 shares during the last quarter. Strategic Financial Concepts LLC bought a new stake in shares of Philip Morris International during the second quarter worth $25,000. Sachetta LLC lifted its position in shares of Philip Morris International by 64.8% during the second quarter. Sachetta LLC now owns 267 shares of the companys stock worth $27,000 after purchasing an additional 105 shares during the last quarter. Boyd Watterson Asset Management LLC OH boosted its stake in shares of Philip Morris International by 250.0% during the first quarter. Boyd Watterson Asset Management LLC OH now owns 322 shares of the companys stock valued at $30,000 after purchasing an additional 230 shares during the period. Finally, Fortitude Family Office LLC grew its holdings in shares of Philip Morris International by 57.4% in the second quarter. Fortitude Family Office LLC now owns 318 shares of the companys stock worth $32,000 after purchasing an additional 116 shares during the last quarter. Institutional investors own 78.63% of the companys stock. Get Philip Morris International alerts: Wall Street Analyst Weigh In PM has been the subject of a number of research analyst reports. Barclays lifted their price objective on shares of Philip Morris International from $130.00 to $145.00 and gave the company an overweight rating in a report on Monday, September 9th. UBS Group boosted their price target on Philip Morris International from $95.00 to $105.00 and gave the company a sell rating in a report on Monday, September 9th. Bank of America raised their price objective on Philip Morris International from $125.00 to $139.00 and gave the stock a buy rating in a report on Wednesday, September 4th. JPMorgan Chase & Co. upped their target price on Philip Morris International from $110.00 to $125.00 and gave the stock an overweight rating in a report on Thursday, July 25th. Finally, Deutsche Bank Aktiengesellschaft increased their price target on Philip Morris International from $116.00 to $118.00 and gave the stock a buy rating in a research report on Thursday, July 25th. One investment analyst has rated the stock with a sell rating, three have issued a hold rating and eight have given a buy rating to the company. According to data from MarketBeat, Philip Morris International has an average rating of Moderate Buy and a consensus target price of $117.05. Philip Morris International Stock Up 0.8 % Philip Morris International stock opened at $125.63 on Friday. The firm has a market cap of $195.30 billion, a price-to-earnings ratio of 24.54, a price-to-earnings-growth ratio of 2.45 and a beta of 0.55. Philip Morris International Inc. has a 52 week low of $87.23 and a 52 week high of $128.22. The businesss 50-day moving average price is $116.31 and its 200 day moving average price is $103.45. Philip Morris International (NYSE:PM Get Free Report) last announced its earnings results on Tuesday, July 23rd. The company reported $1.59 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $1.57 by $0.02. Philip Morris International had a negative return on equity of 113.32% and a net margin of 9.41%. The firm had revenue of $9.47 billion for the quarter, compared to analyst estimates of $9.19 billion. During the same quarter last year, the firm posted $1.60 EPS. The businesss revenue was up 5.6% on a year-over-year basis. Equities analysts expect that Philip Morris International Inc. will post 6.45 EPS for the current year. Philip Morris International Increases Dividend The company also recently disclosed a quarterly dividend, which will be paid on Thursday, October 10th. Investors of record on Thursday, September 26th will be issued a $1.35 dividend. The ex-dividend date of this dividend is Thursday, September 26th. This represents a $5.40 dividend on an annualized basis and a dividend yield of 4.30%. This is a positive change from Philip Morris Internationals previous quarterly dividend of $1.30. Philip Morris Internationals dividend payout ratio is currently 101.56%. Insider Activity In other news, insider Wilde Frederic De sold 20,000 shares of the stock in a transaction dated Thursday, July 25th. The shares were sold at an average price of $113.01, for a total transaction of $2,260,200.00. Following the sale, the insider now owns 158,447 shares of the companys stock, valued at $17,906,095.47. The sale was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through the SEC website. In other news, insider Wilde Frederic De sold 20,000 shares of the companys stock in a transaction on Thursday, July 25th. The shares were sold at an average price of $113.01, for a total value of $2,260,200.00. Following the completion of the transaction, the insider now owns 158,447 shares in the company, valued at approximately $17,906,095.47. The sale was disclosed in a document filed with the SEC, which is available at this link. Also, insider Massimo Andolina sold 9,000 shares of the firms stock in a transaction dated Thursday, August 8th. The stock was sold at an average price of $115.35, for a total value of $1,038,150.00. Following the transaction, the insider now directly owns 85,629 shares in the company, valued at approximately $9,877,305.15. The disclosure for this sale can be found here. Corporate insiders own 0.13% of the companys stock. About Philip Morris International (Free Report) Philip Morris International Inc operates as a tobacco company working to delivers a smoke-free future and evolving portfolio for the long-term to include products outside of the tobacco and nicotine sector. The company's product portfolio primarily consists of cigarettes and smoke-free products, including heat-not-burn, vapor, and oral nicotine products primarily under the IQOS and ZYN brands; and consumer accessories, such as lighters and matches. Featured Articles Want to see what other hedge funds are holding PM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Philip Morris International Inc. (NYSE:PM Free Report). Receive News & Ratings for Philip Morris International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Philip Morris International and related companies with MarketBeat.com's FREE daily email newsletter. Analysts at StockNews.com initiated coverage on shares of ALLETE (NYSE:ALE Get Free Report) in a research note issued on Thursday. The firm set a hold rating on the utilities providers stock. Separately, Mizuho boosted their price objective on ALLETE from $57.00 to $67.00 and gave the company a neutral rating in a report on Thursday, May 16th. Five investment analysts have rated the stock with a hold rating, According to MarketBeat, ALLETE presently has a consensus rating of Hold and a consensus target price of $62.00. Get ALLETE alerts: Get Our Latest Report on ALE ALLETE Stock Performance Shares of ALLETE stock opened at $64.06 on Thursday. ALLETE has a one year low of $49.29 and a one year high of $65.86. The company has a market cap of $3.70 billion, a price-to-earnings ratio of 15.40 and a beta of 0.80. The company has a debt-to-equity ratio of 0.51, a quick ratio of 0.83 and a current ratio of 1.45. The businesss 50 day moving average price is $63.77 and its 200 day moving average price is $61.75. ALLETE (NYSE:ALE Get Free Report) last posted its earnings results on Thursday, August 1st. The utilities provider reported $0.57 EPS for the quarter, missing analysts consensus estimates of $0.87 by ($0.30). ALLETE had a net margin of 14.36% and a return on equity of 7.07%. The business had revenue of $354.50 million during the quarter, compared to analysts expectations of $547.24 million. During the same quarter in the prior year, the firm earned $0.90 EPS. ALLETEs revenue for the quarter was down 33.6% compared to the same quarter last year. Institutional Inflows and Outflows Institutional investors and hedge funds have recently made changes to their positions in the business. Principal Securities Inc. purchased a new stake in shares of ALLETE in the 4th quarter valued at about $25,000. Parallel Advisors LLC lifted its holdings in shares of ALLETE by 139.4% in the 4th quarter. Parallel Advisors LLC now owns 699 shares of the utilities providers stock valued at $43,000 after purchasing an additional 407 shares during the last quarter. Lazard Asset Management LLC lifted its holdings in shares of ALLETE by 4,157.1% in the 1st quarter. Lazard Asset Management LLC now owns 1,192 shares of the utilities providers stock valued at $71,000 after purchasing an additional 1,164 shares during the last quarter. Point72 Asia Singapore Pte. Ltd. purchased a new stake in shares of ALLETE in the 2nd quarter valued at about $74,000. Finally, Hantz Financial Services Inc. purchased a new stake in shares of ALLETE in the 2nd quarter valued at about $75,000. 76.55% of the stock is owned by institutional investors and hedge funds. About ALLETE (Get Free Report) ALLETE, Inc operates as an energy company. The company operates through Regulated Operations, ALLETE Clean Energy, and Corporate and Other segments. It generates electricity from coal-fired, biomass co-fired / natural gas, hydroelectric, wind, and solar. In addition, the company provides regulated utility electric services in northwestern Wisconsin to approximately 15,000 electric customers, 13,000 natural gas customers, and 10,000 water customers, as well as regulated utility electric services in northeastern Minnesota to approximately 150,000 retail customers and 14 non-affiliated municipal customers. Further Reading Receive News & Ratings for ALLETE Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ALLETE and related companies with MarketBeat.com's FREE daily email newsletter. Avolta AG (OTCMKTS:DUFRY Get Free Report) was the recipient of a large decrease in short interest in the month of August. As of August 31st, there was short interest totalling 7,200 shares, a decrease of 58.1% from the August 15th total of 17,200 shares. Based on an average daily volume of 99,400 shares, the days-to-cover ratio is currently 0.1 days. Avolta Trading Up 2.0 % Shares of Avolta stock traded up $0.08 during trading hours on Friday, hitting $3.81. 71,767 shares of the companys stock traded hands, compared to its average volume of 79,602. The company has a fifty day simple moving average of $3.79 and a 200-day simple moving average of $3.90. Avolta has a 12-month low of $3.17 and a 12-month high of $4.26. Get Avolta alerts: Avolta Company Profile (Get Free Report) Further Reading Avolta AG operates as a travel retailer. The companys retail brands include general travel retail shops under the Dufry, World Duty Free, Nuance, Hellenic Duty Free, Zurich Duty-Free or Stockholm Duty-Free, Autogrill, and HMSHost brands; Dufry shopping stores; brand boutiques; convenience stores primarily under the Hudson brand; and specialized shops and theme stores. Receive News & Ratings for Avolta Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Avolta and related companies with MarketBeat.com's FREE daily email newsletter. Boston Properties (NYSE:BXP Free Report) had its price objective increased by Wells Fargo & Company from $73.00 to $80.00 in a research report sent to investors on Wednesday, Benzinga reports. Wells Fargo & Company currently has an overweight rating on the real estate investment trusts stock. Other research analysts have also issued reports about the stock. StockNews.com raised shares of Boston Properties from a sell rating to a hold rating in a research note on Thursday, August 8th. Piper Sandler increased their price objective on shares of Boston Properties from $70.00 to $78.00 and gave the stock a neutral rating in a research report on Tuesday, September 3rd. Wedbush boosted their target price on Boston Properties from $63.00 to $70.00 and gave the company a neutral rating in a research report on Monday, August 5th. Truist Financial increased their price target on Boston Properties from $67.00 to $77.00 and gave the stock a hold rating in a report on Tuesday, August 27th. Finally, Evercore ISI raised their price objective on Boston Properties from $76.00 to $77.00 and gave the company an outperform rating in a research note on Wednesday, August 28th. Eight investment analysts have rated the stock with a hold rating and six have issued a buy rating to the companys stock. According to MarketBeat.com, the company has an average rating of Hold and an average target price of $73.54. Get Boston Properties alerts: View Our Latest Stock Analysis on BXP Boston Properties Stock Performance Shares of BXP opened at $80.06 on Wednesday. The firms fifty day simple moving average is $70.41 and its two-hundred day simple moving average is $64.80. Boston Properties has a 12 month low of $50.64 and a 12 month high of $80.58. The company has a current ratio of 4.83, a quick ratio of 4.83 and a debt-to-equity ratio of 1.90. The stock has a market capitalization of $12.57 billion, a P/E ratio of 65.62, a price-to-earnings-growth ratio of 0.35 and a beta of 1.18. Boston Properties (NYSE:BXP Get Free Report) last released its earnings results on Tuesday, July 30th. The real estate investment trust reported $0.51 EPS for the quarter, missing the consensus estimate of $1.72 by ($1.21). Boston Properties had a return on equity of 2.05% and a net margin of 5.01%. The business had revenue of $850.48 million for the quarter, compared to analyst estimates of $819.49 million. During the same period in the prior year, the business earned $1.86 EPS. The companys revenue for the quarter was up 4.1% on a year-over-year basis. As a group, analysts predict that Boston Properties will post 7.11 EPS for the current year. Boston Properties Announces Dividend The business also recently declared a quarterly dividend, which will be paid on Thursday, October 31st. Investors of record on Monday, September 30th will be given a dividend of $0.98 per share. The ex-dividend date of this dividend is Monday, September 30th. This represents a $3.92 annualized dividend and a yield of 4.90%. Boston Propertiess payout ratio is currently 321.31%. Insider Buying and Selling In other Boston Properties news, EVP Raymond A. Ritchey sold 21,835 shares of the businesss stock in a transaction dated Monday, September 9th. The shares were sold at an average price of $74.25, for a total value of $1,621,248.75. The transaction was disclosed in a legal filing with the SEC, which is available through this hyperlink. In related news, EVP Raymond A. Ritchey sold 21,835 shares of Boston Properties stock in a transaction that occurred on Monday, September 9th. The shares were sold at an average price of $74.25, for a total value of $1,621,248.75. The transaction was disclosed in a legal filing with the SEC, which is available at the SEC website. Also, EVP Peter V. Otteni sold 4,785 shares of the companys stock in a transaction that occurred on Friday, August 23rd. The shares were sold at an average price of $73.44, for a total value of $351,410.40. The disclosure for this sale can be found here. 1.35% of the stock is currently owned by corporate insiders. Institutional Investors Weigh In On Boston Properties Large investors have recently added to or reduced their stakes in the company. Acadian Asset Management LLC bought a new position in Boston Properties during the first quarter worth about $1,187,000. Cambridge Investment Research Advisors Inc. grew its stake in Boston Properties by 387.5% in the 4th quarter. Cambridge Investment Research Advisors Inc. now owns 69,825 shares of the real estate investment trusts stock valued at $4,900,000 after buying an additional 55,501 shares in the last quarter. Perpetual Ltd bought a new stake in Boston Properties during the 1st quarter valued at $1,205,000. SG Americas Securities LLC lifted its position in shares of Boston Properties by 76.8% during the second quarter. SG Americas Securities LLC now owns 110,242 shares of the real estate investment trusts stock worth $6,786,000 after acquiring an additional 47,882 shares in the last quarter. Finally, M&G Plc bought a new position in shares of Boston Properties in the first quarter worth $3,807,000. Hedge funds and other institutional investors own 98.72% of the companys stock. About Boston Properties (Get Free Report) Boston Properties, Inc (NYSE: BXP) (BXP or the Company) is the largest publicly traded developer, owner, and manager of premier workplaces in the United States, concentrated in six dynamic gateway markets Boston, Los Angeles, New York, San Francisco, Seattle, and Washington, DC. BXP has delivered places that power progress for our clients and communities for more than 50 years. Further Reading Receive News & Ratings for Boston Properties Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Boston Properties and related companies with MarketBeat.com's FREE daily email newsletter. Keefe, Bruyette & Woods reiterated their market perform rating on shares of Community Bank System (NYSE:CBU Free Report) in a report issued on Tuesday morning, Benzinga reports. They currently have a $59.00 price target on the banks stock. Separately, Raymond James lowered shares of Community Bank System from an outperform rating to a market perform rating in a research note on Wednesday, July 24th. Two research analysts have rated the stock with a sell rating and three have issued a hold rating to the company. According to data from MarketBeat, the stock presently has a consensus rating of Hold and an average price target of $50.50. Get Community Bank System alerts: Read Our Latest Stock Report on CBU Community Bank System Stock Up 2.6 % CBU opened at $57.59 on Tuesday. The stocks 50 day moving average price is $57.79 and its 200 day moving average price is $49.72. The company has a debt-to-equity ratio of 0.43, a current ratio of 0.76 and a quick ratio of 0.76. The stock has a market cap of $3.03 billion, a P/E ratio of 18.11 and a beta of 0.64. Community Bank System has a 12 month low of $35.38 and a 12 month high of $63.95. Community Bank System (NYSE:CBU Get Free Report) last released its quarterly earnings results on Tuesday, July 23rd. The bank reported $0.95 earnings per share (EPS) for the quarter, topping the consensus estimate of $0.81 by $0.14. Community Bank System had a return on equity of 8.94% and a net margin of 15.90%. The company had revenue of $183.80 million during the quarter, compared to analysts expectations of $180.05 million. During the same quarter in the prior year, the business posted $0.91 earnings per share. The companys revenue for the quarter was up 4.9% compared to the same quarter last year. As a group, research analysts predict that Community Bank System will post 3.42 earnings per share for the current year. Community Bank System Increases Dividend The company also recently announced a quarterly dividend, which will be paid on Thursday, October 10th. Investors of record on Friday, September 13th will be issued a dividend of $0.46 per share. This is a positive change from Community Bank Systems previous quarterly dividend of $0.45. This represents a $1.84 dividend on an annualized basis and a yield of 3.19%. The ex-dividend date of this dividend is Friday, September 13th. Community Bank Systems payout ratio is presently 56.60%. Institutional Investors Weigh In On Community Bank System Institutional investors and hedge funds have recently bought and sold shares of the business. Point72 DIFC Ltd acquired a new position in shares of Community Bank System in the 2nd quarter worth approximately $76,000. Benjamin Edwards Inc. increased its holdings in shares of Community Bank System by 40.7% in the first quarter. Benjamin Edwards Inc. now owns 2,695 shares of the banks stock valued at $129,000 after buying an additional 780 shares in the last quarter. TFO Wealth Partners LLC lifted its position in shares of Community Bank System by 37,162.5% during the 4th quarter. TFO Wealth Partners LLC now owns 2,981 shares of the banks stock valued at $155,000 after acquiring an additional 2,973 shares during the last quarter. Avantax Advisory Services Inc. bought a new stake in shares of Community Bank System during the 4th quarter worth $208,000. Finally, IFG Advisory LLC acquired a new position in shares of Community Bank System in the 2nd quarter worth $212,000. 73.79% of the stock is owned by institutional investors and hedge funds. Community Bank System Company Profile (Get Free Report) Community Bank System, Inc operates as the bank holding company for Community Bank, N.A. that provides various banking and other financial services to retail, commercial, institutional, and municipal customers. It operates through three segments: Banking, Employee Benefit Services, and All Other. The company offers various deposits products, such as interest and noninterest -bearing checking, savings, and money market deposit accounts, as well as time deposits. Recommended Stories Receive News & Ratings for Community Bank System Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Community Bank System and related companies with MarketBeat.com's FREE daily email newsletter. Cornercap Investment Counsel Inc. boosted its holdings in UMH Properties, Inc. (NYSE:UMH Free Report) by 2.4% in the second quarter, according to the company in its most recent Form 13F filing with the SEC. The institutional investor owned 48,046 shares of the real estate investment trusts stock after purchasing an additional 1,124 shares during the quarter. Cornercap Investment Counsel Inc.s holdings in UMH Properties were worth $768,000 at the end of the most recent quarter. A number of other hedge funds have also added to or reduced their stakes in the business. American Century Companies Inc. grew its position in shares of UMH Properties by 1.0% during the 2nd quarter. American Century Companies Inc. now owns 2,931,116 shares of the real estate investment trusts stock worth $46,869,000 after buying an additional 29,320 shares during the period. Wedbush Securities Inc. grew its holdings in UMH Properties by 4.1% in the second quarter. Wedbush Securities Inc. now owns 220,053 shares of the real estate investment trusts stock worth $3,519,000 after purchasing an additional 8,671 shares during the period. Quest Partners LLC grew its holdings in UMH Properties by 337.7% in the second quarter. Quest Partners LLC now owns 10,552 shares of the real estate investment trusts stock worth $169,000 after purchasing an additional 8,141 shares during the period. Custom Index Systems LLC bought a new stake in UMH Properties in the second quarter valued at $230,000. Finally, Arizona State Retirement System raised its holdings in shares of UMH Properties by 6.4% during the second quarter. Arizona State Retirement System now owns 18,445 shares of the real estate investment trusts stock valued at $295,000 after purchasing an additional 1,111 shares during the period. 75.40% of the stock is currently owned by institutional investors. Get UMH Properties alerts: UMH Properties Price Performance UMH stock opened at $20.43 on Friday. The company has a debt-to-equity ratio of 1.49, a quick ratio of 6.77 and a current ratio of 6.77. The business has a 50-day simple moving average of $18.57 and a two-hundred day simple moving average of $16.67. The company has a market capitalization of $1.50 billion, a P/E ratio of -136.16, a price-to-earnings-growth ratio of 3.56 and a beta of 1.30. UMH Properties, Inc. has a 52-week low of $13.26 and a 52-week high of $20.49. UMH Properties Dividend Announcement UMH Properties ( NYSE:UMH Get Free Report ) last posted its earnings results on Tuesday, August 6th. The real estate investment trust reported $0.01 earnings per share for the quarter, missing analysts consensus estimates of $0.22 by ($0.21). UMH Properties had a net margin of 5.79% and a return on equity of 3.17%. The company had revenue of $60.33 million during the quarter, compared to the consensus estimate of $59.84 million. During the same quarter in the prior year, the business earned $0.21 EPS. Research analysts expect that UMH Properties, Inc. will post 0.93 EPS for the current fiscal year. The company also recently announced a quarterly dividend, which will be paid on Monday, September 16th. Stockholders of record on Thursday, August 15th will be paid a $0.215 dividend. The ex-dividend date of this dividend is Thursday, August 15th. This represents a $0.86 annualized dividend and a dividend yield of 4.21%. UMH Propertiess payout ratio is -573.30%. Insider Activity In other news, Director Michael P. Landy purchased 4,700 shares of the businesss stock in a transaction that occurred on Tuesday, June 18th. The shares were acquired at an average cost of $15.45 per share, for a total transaction of $72,615.00. Following the completion of the acquisition, the director now owns 341,796 shares in the company, valued at $5,280,748.20. The acquisition was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this hyperlink. In other UMH Properties news, Director Michael P. Landy purchased 4,700 shares of the companys stock in a transaction that occurred on Tuesday, June 18th. The shares were acquired at an average cost of $15.45 per share, for a total transaction of $72,615.00. Following the completion of the acquisition, the director now owns 341,796 shares of the companys stock, valued at approximately $5,280,748.20. The purchase was disclosed in a filing with the Securities & Exchange Commission, which is available through this hyperlink. Also, Director Kiernan Conway sold 2,700 shares of the companys stock in a transaction on Thursday, August 15th. The shares were sold at an average price of $19.54, for a total value of $52,758.00. Following the completion of the transaction, the director now owns 412 shares of the companys stock, valued at approximately $8,050.48. The disclosure for this sale can be found here. 8.63% of the stock is currently owned by corporate insiders. Analysts Set New Price Targets Several brokerages have recently commented on UMH. B. Riley raised their target price on UMH Properties from $18.50 to $22.50 and gave the company a buy rating in a research note on Thursday, August 15th. Alliance Global Partners initiated coverage on UMH Properties in a research note on Thursday, July 18th. They issued a buy rating and a $21.00 price objective for the company. Wedbush upped their target price on UMH Properties from $17.00 to $20.00 and gave the stock a neutral rating in a research report on Thursday, August 8th. Finally, StockNews.com upgraded UMH Properties from a sell rating to a hold rating in a report on Tuesday, August 13th. Three analysts have rated the stock with a hold rating and three have issued a buy rating to the company. Based on data from MarketBeat.com, the stock presently has an average rating of Moderate Buy and an average price target of $21.25. Check Out Our Latest Analysis on UMH Properties UMH Properties Profile (Free Report) UMH Properties, Inc, which was organized in 1968, is a public equity REIT that owns and operates 135 manufactured home communities containing approximately 25,800 developed homesites. These communities are located in New Jersey, New York, Ohio, Pennsylvania, Tennessee, Indiana, Michigan, Maryland, Alabama, South Carolina and Georgia. Read More Want to see what other hedge funds are holding UMH? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for UMH Properties, Inc. (NYSE:UMH Free Report). Receive News & Ratings for UMH Properties Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for UMH Properties and related companies with MarketBeat.com's FREE daily email newsletter. Edwards Lifesciences (NYSE:EW Free Report) had its price objective lowered by UBS Group from $90.00 to $75.00 in a research report report published on Tuesday morning, Benzinga reports. UBS Group currently has a neutral rating on the medical research companys stock. A number of other analysts have also recently weighed in on the company. Citigroup raised their price objective on Edwards Lifesciences from $105.00 to $106.00 and gave the stock a buy rating in a research report on Wednesday, July 10th. The Goldman Sachs Group lowered their price objective on Edwards Lifesciences from $107.00 to $91.00 and set a buy rating for the company in a research report on Friday, July 26th. Robert W. Baird cut Edwards Lifesciences from an outperform rating to a neutral rating and lowered their price objective for the stock from $102.00 to $70.00 in a research report on Thursday, July 25th. Baird R W cut Edwards Lifesciences from a strong-buy rating to a hold rating in a research report on Thursday, July 25th. Finally, Canaccord Genuity Group lowered their price objective on Edwards Lifesciences from $85.00 to $77.00 and set a hold rating for the company in a research report on Thursday, July 25th. Fifteen investment analysts have rated the stock with a hold rating, eleven have issued a buy rating and one has given a strong buy rating to the stock. According to MarketBeat.com, Edwards Lifesciences currently has an average rating of Hold and a consensus target price of $80.29. Get Edwards Lifesciences alerts: Check Out Our Latest Stock Report on EW Edwards Lifesciences Stock Up 0.6 % Shares of NYSE EW opened at $68.52 on Tuesday. The company has a current ratio of 3.71, a quick ratio of 2.87 and a debt-to-equity ratio of 0.08. The stock has a market capitalization of $41.28 billion, a PE ratio of 29.53, a price-to-earnings-growth ratio of 2.85 and a beta of 1.13. The stocks fifty day simple moving average is $72.39 and its two-hundred day simple moving average is $83.49. Edwards Lifesciences has a 12-month low of $58.93 and a 12-month high of $96.12. Edwards Lifesciences (NYSE:EW Get Free Report) last announced its earnings results on Wednesday, July 24th. The medical research company reported $0.70 earnings per share (EPS) for the quarter, beating the consensus estimate of $0.69 by $0.01. The business had revenue of $1.63 billion for the quarter, compared to analysts expectations of $1.65 billion. Edwards Lifesciences had a return on equity of 22.35% and a net margin of 24.55%. The businesss revenue for the quarter was up 6.7% on a year-over-year basis. During the same quarter last year, the firm earned $0.66 EPS. As a group, analysts expect that Edwards Lifesciences will post 2.7 EPS for the current fiscal year. Insider Buying and Selling at Edwards Lifesciences In other Edwards Lifesciences news, VP Donald E. Bobo, Jr. sold 5,000 shares of Edwards Lifesciences stock in a transaction dated Wednesday, August 14th. The stock was sold at an average price of $66.08, for a total transaction of $330,400.00. Following the completion of the transaction, the vice president now owns 46,936 shares in the company, valued at approximately $3,101,530.88. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this link. In related news, VP Donald E. Bobo, Jr. sold 5,000 shares of Edwards Lifesciences stock in a transaction dated Wednesday, August 14th. The stock was sold at an average price of $66.08, for a total value of $330,400.00. Following the completion of the transaction, the vice president now owns 46,936 shares in the company, valued at approximately $3,101,530.88. The sale was disclosed in a document filed with the SEC, which is available through the SEC website. Also, VP Daveen Chopra sold 1,250 shares of Edwards Lifesciences stock in a transaction dated Tuesday, August 20th. The shares were sold at an average price of $69.95, for a total value of $87,437.50. Following the completion of the transaction, the vice president now owns 29,333 shares of the companys stock, valued at approximately $2,051,843.35. The disclosure for this sale can be found here. In the last quarter, insiders sold 16,250 shares of company stock worth $1,218,138. Insiders own 1.29% of the companys stock. Hedge Funds Weigh In On Edwards Lifesciences Institutional investors and hedge funds have recently modified their holdings of the business. Park Avenue Securities LLC increased its stake in Edwards Lifesciences by 0.5% during the 2nd quarter. Park Avenue Securities LLC now owns 23,484 shares of the medical research companys stock worth $2,169,000 after buying an additional 108 shares in the last quarter. City State Bank increased its stake in Edwards Lifesciences by 16.5% during the 2nd quarter. City State Bank now owns 917 shares of the medical research companys stock worth $85,000 after buying an additional 130 shares in the last quarter. Chapin Davis Inc. increased its stake in Edwards Lifesciences by 1.7% during the 1st quarter. Chapin Davis Inc. now owns 8,548 shares of the medical research companys stock worth $817,000 after buying an additional 140 shares in the last quarter. Johnson Financial Group Inc. increased its stake in Edwards Lifesciences by 23.0% during the 4th quarter. Johnson Financial Group Inc. now owns 771 shares of the medical research companys stock worth $59,000 after buying an additional 144 shares in the last quarter. Finally, Valley National Advisers Inc. increased its stake in Edwards Lifesciences by 6.0% during the 1st quarter. Valley National Advisers Inc. now owns 2,677 shares of the medical research companys stock worth $255,000 after buying an additional 152 shares in the last quarter. Hedge funds and other institutional investors own 79.46% of the companys stock. Edwards Lifesciences Company Profile (Get Free Report) Edwards Lifesciences Corporation provides products and technologies for structural heart disease and critical care monitoring in the United States, Europe, Japan, and internationally. It offers transcatheter heart valve replacement products for the minimally invasive replacement of aortic heart valves under the Edwards SAPIEN family of valves system; and transcatheter heart valve repair and replacement products to treat mitral and tricuspid valve diseases under the PASCAL PRECISION and Cardioband names. Featured Articles Receive News & Ratings for Edwards Lifesciences Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Edwards Lifesciences and related companies with MarketBeat.com's FREE daily email newsletter. Eli Lilly and Company (NYSE:LLY Free Report) had its target price lifted by JPMorgan Chase & Co. from $1,050.00 to $1,100.00 in a report released on Friday, Benzinga reports. JPMorgan Chase & Co. currently has an overweight rating on the stock. A number of other analysts also recently commented on the stock. Bank of America boosted their price target on shares of Eli Lilly and Company from $1,000.00 to $1,125.00 and gave the stock a buy rating in a research report on Friday, August 9th. Evercore ISI upgraded shares of Eli Lilly and Company to a hold rating in a research report on Thursday, September 5th. Wells Fargo & Company boosted their price target on shares of Eli Lilly and Company from $875.00 to $1,000.00 and gave the stock an overweight rating in a research report on Friday, August 9th. Deutsche Bank Aktiengesellschaft upgraded shares of Eli Lilly and Company from a hold rating to a buy rating and boosted their price target for the stock from $725.00 to $1,025.00 in a research report on Monday, August 12th. Finally, Truist Financial restated a buy rating and set a $1,000.00 price target (up from $892.00) on shares of Eli Lilly and Company in a research report on Tuesday, June 25th. Three analysts have rated the stock with a hold rating and seventeen have given a buy rating to the company. According to MarketBeat.com, the company has an average rating of Moderate Buy and an average target price of $977.35. Get Eli Lilly and Company alerts: Get Our Latest Stock Report on LLY Eli Lilly and Company Trading Down 1.2 % Shares of NYSE:LLY opened at $923.71 on Friday. The company has a market cap of $877.92 billion, a PE ratio of 136.04, a P/E/G ratio of 2.79 and a beta of 0.42. The companys 50-day moving average price is $897.12 and its 200 day moving average price is $832.88. Eli Lilly and Company has a fifty-two week low of $516.57 and a fifty-two week high of $972.53. The company has a quick ratio of 0.87, a current ratio of 1.11 and a debt-to-equity ratio of 1.74. Eli Lilly and Company (NYSE:LLY Get Free Report) last issued its quarterly earnings data on Thursday, August 8th. The company reported $3.92 earnings per share for the quarter, beating the consensus estimate of $2.64 by $1.28. The firm had revenue of $11.30 billion for the quarter, compared to the consensus estimate of $9.83 billion. Eli Lilly and Company had a net margin of 18.86% and a return on equity of 67.52%. Sell-side analysts anticipate that Eli Lilly and Company will post 16.49 earnings per share for the current year. Eli Lilly and Company Dividend Announcement The company also recently announced a quarterly dividend, which was paid on Tuesday, September 10th. Stockholders of record on Thursday, August 15th were given a $1.30 dividend. This represents a $5.20 annualized dividend and a dividend yield of 0.56%. The ex-dividend date was Thursday, August 15th. Eli Lilly and Companys dividend payout ratio (DPR) is currently 76.58%. Insider Transactions at Eli Lilly and Company In related news, major shareholder Lilly Endowment Inc sold 210,000 shares of the businesss stock in a transaction that occurred on Wednesday, July 10th. The shares were sold at an average price of $939.82, for a total transaction of $197,362,200.00. Following the transaction, the insider now directly owns 96,943,810 shares of the companys stock, valued at $91,109,731,514.20. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through the SEC website. Insiders have sold a total of 646,878 shares of company stock valued at $591,465,138 over the last ninety days. Corporate insiders own 0.13% of the companys stock. Institutional Inflows and Outflows A number of institutional investors and hedge funds have recently added to or reduced their stakes in the stock. Newbridge Financial Services Group Inc. grew its position in Eli Lilly and Company by 18.4% during the second quarter. Newbridge Financial Services Group Inc. now owns 3,850 shares of the companys stock valued at $3,486,000 after purchasing an additional 597 shares in the last quarter. Cannon Financial Strategists Inc. acquired a new stake in Eli Lilly and Company in the second quarter valued at approximately $315,000. Heritage Wealth Management Inc. acquired a new stake in Eli Lilly and Company in the second quarter valued at approximately $9,582,000. Lazari Capital Management Inc. lifted its stake in Eli Lilly and Company by 59.0% in the second quarter. Lazari Capital Management Inc. now owns 477 shares of the companys stock valued at $432,000 after acquiring an additional 177 shares during the last quarter. Finally, Sanctuary Advisors LLC acquired a new stake in Eli Lilly and Company in the second quarter valued at approximately $205,136,000. 82.53% of the stock is owned by hedge funds and other institutional investors. Eli Lilly and Company Company Profile (Get Free Report) Eli Lilly and Company discovers, develops, and markets human pharmaceuticals worldwide. The company offers Basaglar, Humalog, Humalog Mix 75/25, Humalog U-100, Humalog U-200, Humalog Mix 50/50, insulin lispro, insulin lispro protamine, insulin lispro mix 75/25, Humulin, Humulin 70/30, Humulin N, Humulin R, and Humulin U-500 for diabetes; Jardiance, Mounjaro, and Trulicity for type 2 diabetes; and Zepbound for obesity. Read More Receive News & Ratings for Eli Lilly and Company Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eli Lilly and Company and related companies with MarketBeat.com's FREE daily email newsletter. Haverty Furniture Companies, Inc. (NYSE:HVT.A Get Free Report) crossed below its 50-day moving average during trading on Wednesday . The stock has a 50-day moving average of $26.35 and traded as low as $25.39. Haverty Furniture Companies shares last traded at $25.50, with a volume of 3,633 shares changing hands. Haverty Furniture Companies Stock Performance The company has a 50 day simple moving average of $26.42 and a 200 day simple moving average of $28.81. The stock has a market capitalization of $418.46 million, a PE ratio of 9.27 and a beta of 1.21. Get Haverty Furniture Companies alerts: Haverty Furniture Companies (NYSE:HVT.A Get Free Report) last posted its quarterly earnings data on Wednesday, July 31st. The company reported $0.27 EPS for the quarter. The company had revenue of $178.64 million for the quarter. Haverty Furniture Companies Dividend Announcement About Haverty Furniture Companies The firm also recently announced a quarterly dividend, which was paid on Wednesday, September 11th. Stockholders of record on Monday, August 26th were paid a dividend of $0.30 per share. This represents a $1.20 dividend on an annualized basis and a dividend yield of 4.71%. The ex-dividend date was Monday, August 26th. Haverty Furniture Companiess dividend payout ratio (DPR) is 43.64%. (Get Free Report) Haverty Furniture Companies, Inc operates as a specialty retailer of residential furniture and accessories in the United States. The company offers furniture merchandise under the Havertys brand name. It also provides custom upholstery products and eclectic looks; and mattress product lines under the Sealy, Tempur-Pedic, and Serta names, as well as private label Skye name. Featured Articles Receive News & Ratings for Haverty Furniture Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Haverty Furniture Companies and related companies with MarketBeat.com's FREE daily email newsletter. Iluka Resources Limited (OTCMKTS:ILKAF Get Free Report) shares traded up 8.4% during mid-day trading on Thursday . The stock traded as high as $3.94 and last traded at $3.94. 4,000 shares changed hands during mid-day trading, an increase of 435% from the average session volume of 747 shares. The stock had previously closed at $3.63. Iluka Resources Price Performance The firms 50-day moving average price is $3.96 and its two-hundred day moving average price is $4.41. Iluka Resources Company Profile (Get Free Report) Iluka Resources Limited engages in the exploration, project development, mining, processing, marketing, and rehabilitation of mineral sands in Australia, China, rest of Asia, Europe, the Americas, and internationally. It operates through Jacinth-Ambrosia/Mid-West, Cataby/South West, Rare Earths, and United States/Murray Basin segments. See Also Receive News & Ratings for Iluka Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Iluka Resources and related companies with MarketBeat.com's FREE daily email newsletter. IntegraFin (LON:IHP Free Report) had its price objective boosted by Deutsche Bank Aktiengesellschaft from GBX 335 ($4.38) to GBX 400 ($5.23) in a research note issued to investors on Tuesday morning, Marketbeat reports. Deutsche Bank Aktiengesellschaft currently has a hold rating on the stock. A number of other research firms have also recently weighed in on IHP. Shore Capital reissued a hold rating on shares of IntegraFin in a research report on Tuesday, July 16th. Berenberg Bank upped their price objective on shares of IntegraFin from GBX 390 ($5.10) to GBX 400 ($5.23) and gave the company a buy rating in a report on Tuesday, July 16th. Get IntegraFin alerts: View Our Latest Report on IHP IntegraFin Price Performance About IntegraFin Shares of IHP opened at GBX 372 ($4.86) on Tuesday. The company has a debt-to-equity ratio of 1.73, a quick ratio of 0.01 and a current ratio of 8.80. The company has a 50 day moving average price of GBX 373.10 and a 200-day moving average price of GBX 329.46. IntegraFin has a fifty-two week low of GBX 208.73 ($2.73) and a fifty-two week high of GBX 395 ($5.17). The stock has a market capitalization of 1.23 billion, a PE ratio of 2,325.00, a PEG ratio of 3.14 and a beta of 1.15. (Get Free Report) IntegraFin Holdings plc, together with its subsidiaries, provides an investment platform for UK financial advisers and their clients. It operates through Investment administration services, Insurance and life assurance business, and Adviser back-office technology segments. The company operates Transact, a wrap platform that enable advisers to consolidate their clients investments using tax efficient wrappers and provide range of investment choice; and Time4Advice (T4A), an adviser practice management solution. See Also Receive News & Ratings for IntegraFin Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for IntegraFin and related companies with MarketBeat.com's FREE daily email newsletter. North American Construction Group Ltd. (TSE:NOA Get Free Report) (NYSE:NOA) Director Martin Robert Ferron acquired 3,000 shares of North American Construction Group stock in a transaction on Tuesday, September 10th. The stock was purchased at an average cost of C$24.15 per share, with a total value of C$72,460.80. Martin Robert Ferron also recently made the following trade(s): Get North American Construction Group alerts: On Friday, August 9th, Martin Robert Ferron purchased 7,000 shares of North American Construction Group stock. The stock was acquired at an average cost of C$23.70 per share, with a total value of C$165,900.00. On Monday, June 24th, Martin Robert Ferron acquired 12,000 shares of North American Construction Group stock. The shares were acquired at an average price of C$26.45 per share, for a total transaction of C$317,400.00. North American Construction Group Stock Up 0.9 % North American Construction Group stock opened at C$24.75 on Friday. The firm has a 50 day moving average price of C$25.92 and a 200-day moving average price of C$28.21. The company has a quick ratio of 0.79, a current ratio of 1.03 and a debt-to-equity ratio of 210.33. North American Construction Group Ltd. has a twelve month low of C$23.59 and a twelve month high of C$34.87. The company has a market capitalization of C$661.57 million, a P/E ratio of 13.52, a P/E/G ratio of 0.79 and a beta of 1.61. North American Construction Group Dividend Announcement North American Construction Group ( TSE:NOA Get Free Report ) (NYSE:NOA) last announced its quarterly earnings data on Wednesday, July 31st. The company reported C$0.85 earnings per share for the quarter, beating the consensus estimate of C$0.79 by C$0.06. The business had revenue of C$276.31 million during the quarter, compared to analyst estimates of C$314.61 million. North American Construction Group had a return on equity of 15.19% and a net margin of 4.99%. As a group, equities analysts predict that North American Construction Group Ltd. will post 4.0581395 EPS for the current year. The firm also recently disclosed a quarterly dividend, which will be paid on Friday, October 4th. Shareholders of record on Friday, August 30th will be issued a dividend of $0.10 per share. This represents a $0.40 annualized dividend and a yield of 1.62%. The ex-dividend date of this dividend is Friday, August 30th. North American Construction Groups dividend payout ratio (DPR) is presently 21.86%. Wall Street Analysts Forecast Growth A number of brokerages have commented on NOA. Ventum Financial decreased their price objective on North American Construction Group from C$52.00 to C$47.00 and set a buy rating for the company in a report on Friday, August 2nd. National Bankshares reduced their price objective on shares of North American Construction Group from C$47.00 to C$39.00 and set an outperform rating for the company in a research report on Friday, August 2nd. ATB Capital dropped their target price on shares of North American Construction Group from C$45.00 to C$40.00 in a research report on Friday, August 2nd. BMO Capital Markets reduced their target price on North American Construction Group from C$37.00 to C$32.00 in a report on Thursday, August 1st. Finally, TD Securities dropped their price target on North American Construction Group from C$34.00 to C$33.00 and set a buy rating on the stock in a report on Friday, August 2nd. Six investment analysts have rated the stock with a buy rating, According to MarketBeat, the company presently has a consensus rating of Buy and a consensus target price of C$37.00. Get Our Latest Report on NOA North American Construction Group Company Profile (Get Free Report) North American Construction Group Ltd. provides mining and heavy civil construction services to customers in the resource development and industrial construction sectors in Australia, Canada, and the United States. The company operates Heavy Equipment Canada, Heavy Equipment Australia, and Other segments. Further Reading Receive News & Ratings for North American Construction Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for North American Construction Group and related companies with MarketBeat.com's FREE daily email newsletter. Oppenheimer Asset Management Inc. lifted its stake in shares of Freeport-McMoRan Inc. (NYSE:FCX Free Report) by 2.4% during the second quarter, according to the company in its most recent Form 13F filing with the SEC. The firm owned 323,337 shares of the natural resource companys stock after acquiring an additional 7,616 shares during the quarter. Oppenheimer Asset Management Inc.s holdings in Freeport-McMoRan were worth $15,714,000 at the end of the most recent reporting period. Several other institutional investors and hedge funds have also recently modified their holdings of FCX. Westover Capital Advisors LLC purchased a new position in Freeport-McMoRan during the 2nd quarter worth $437,000. LWM Advisory Services LLC purchased a new position in shares of Freeport-McMoRan during the second quarter valued at $381,000. Hardin Capital Partners LLC bought a new stake in shares of Freeport-McMoRan in the second quarter valued at about $268,000. Meeder Advisory Services Inc. lifted its stake in Freeport-McMoRan by 50.9% in the second quarter. Meeder Advisory Services Inc. now owns 32,041 shares of the natural resource companys stock worth $1,557,000 after acquiring an additional 10,814 shares during the period. Finally, DekaBank Deutsche Girozentrale grew its holdings in Freeport-McMoRan by 0.7% during the 2nd quarter. DekaBank Deutsche Girozentrale now owns 2,598,609 shares of the natural resource companys stock worth $126,754,000 after acquiring an additional 18,454 shares in the last quarter. Institutional investors own 80.77% of the companys stock. Get Freeport-McMoRan alerts: Freeport-McMoRan Stock Up 2.0 % NYSE:FCX opened at $42.66 on Friday. The company has a current ratio of 2.40, a quick ratio of 1.34 and a debt-to-equity ratio of 0.30. Freeport-McMoRan Inc. has a twelve month low of $32.83 and a twelve month high of $55.24. The company has a market cap of $61.30 billion, a PE ratio of 37.75, a P/E/G ratio of 1.94 and a beta of 1.89. The companys 50 day moving average is $44.29 and its two-hundred day moving average is $46.89. Freeport-McMoRan Announces Dividend Freeport-McMoRan ( NYSE:FCX Get Free Report ) last announced its quarterly earnings data on Tuesday, July 23rd. The natural resource company reported $0.46 earnings per share for the quarter, beating analysts consensus estimates of $0.39 by $0.07. Freeport-McMoRan had a net margin of 7.83% and a return on equity of 7.60%. The firm had revenue of $6.62 billion for the quarter, compared to analyst estimates of $6 billion. During the same period in the previous year, the company earned $0.35 earnings per share. The businesss quarterly revenue was up 15.5% compared to the same quarter last year. Analysts anticipate that Freeport-McMoRan Inc. will post 1.68 EPS for the current year. The company also recently disclosed a quarterly dividend, which was paid on Thursday, August 1st. Stockholders of record on Monday, July 15th were issued a $0.15 dividend. This represents a $0.60 dividend on an annualized basis and a dividend yield of 1.41%. The ex-dividend date was Monday, July 15th. Freeport-McMoRans dividend payout ratio is presently 26.55%. Analyst Ratings Changes Several equities research analysts have recently commented on FCX shares. Morgan Stanley upgraded shares of Freeport-McMoRan from an equal weight rating to an overweight rating and boosted their price target for the company from $49.50 to $62.00 in a report on Friday, June 21st. Deutsche Bank Aktiengesellschaft boosted their price objective on shares of Freeport-McMoRan from $48.00 to $51.00 and gave the stock a hold rating in a research note on Monday, June 10th. Raymond James dropped their target price on Freeport-McMoRan from $54.00 to $53.00 and set an outperform rating on the stock in a research report on Wednesday, July 24th. UBS Group raised Freeport-McMoRan from a neutral rating to a buy rating and boosted their price target for the stock from $54.00 to $55.00 in a research report on Wednesday, September 4th. Finally, Scotiabank dropped their price objective on Freeport-McMoRan from $58.00 to $52.00 and set a sector outperform rating on the stock in a research report on Monday, August 19th. Five research analysts have rated the stock with a hold rating, ten have given a buy rating and one has issued a strong buy rating to the companys stock. According to data from MarketBeat, the stock has a consensus rating of Moderate Buy and an average price target of $53.07. Read Our Latest Analysis on Freeport-McMoRan Freeport-McMoRan Company Profile (Free Report) Freeport-McMoRan Inc engages in the mining of mineral properties in North America, South America, and Indonesia. It primarily explores for copper, gold, molybdenum, silver, and other metals. The company's assets include the Grasberg minerals district in Indonesia; Morenci, Bagdad, Safford, Sierrita, and Miami in Arizona; Chino and Tyrone in New Mexico; and Henderson and Climax in Colorado, North America, as well as Cerro Verde in Peru and El Abra in Chile. Recommended Stories Receive News & Ratings for Freeport-McMoRan Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Freeport-McMoRan and related companies with MarketBeat.com's FREE daily email newsletter. Canaccord Genuity Group reissued their buy rating on shares of Pan African Resources (LON:PAF Free Report) in a report released on Wednesday, Marketbeat.com reports. The brokerage currently has a GBX 35 ($0.46) price target on the stock. Separately, Berenberg Bank reaffirmed a buy rating and issued a GBX 38 ($0.50) target price on shares of Pan African Resources in a report on Wednesday, August 14th. Get Pan African Resources alerts: Get Our Latest Stock Report on PAF Pan African Resources Stock Performance Pan African Resources Increases Dividend LON PAF opened at GBX 32 ($0.42) on Wednesday. The firm has a market capitalization of 614.40 million, a P/E ratio of 1,066.67, a price-to-earnings-growth ratio of 8.95 and a beta of 0.80. The company has a current ratio of 0.71, a quick ratio of 0.74 and a debt-to-equity ratio of 35.91. The firms fifty day moving average price is GBX 28.91 and its two-hundred day moving average price is GBX 25.54. Pan African Resources has a 1 year low of GBX 12.86 ($0.17) and a 1 year high of GBX 32.20 ($0.42). The firm also recently declared a dividend, which will be paid on Tuesday, December 10th. Investors of record on Thursday, November 28th will be paid a GBX 0.96 ($0.01) dividend. This represents a yield of 3.22%. The ex-dividend date of this dividend is Thursday, November 28th. This is a boost from Pan African Resourcess previous dividend of $0.75. Pan African Resourcess dividend payout ratio is 3,333.33%. Pan African Resources Company Profile (Get Free Report) Pan African Resources PLC engages in the mining, extraction, production, and sale of gold in South Africa. The company's flagship projects include the Barberton Mines that consists of three underground mines, including Fairview, Sheba, and Consort located in the Barberton Greenstone Belt; and Elikhulu tailings retreatment plant in Southern Africa. See Also Receive News & Ratings for Pan African Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pan African Resources and related companies with MarketBeat.com's FREE daily email newsletter. PFG Advisors increased its position in American Express (NYSE:AXP Free Report) by 3.3% in the 2nd quarter, according to its most recent disclosure with the Securities and Exchange Commission. The firm owned 4,580 shares of the payment services companys stock after purchasing an additional 146 shares during the quarter. PFG Advisors holdings in American Express were worth $1,061,000 as of its most recent filing with the Securities and Exchange Commission. A number of other large investors have also added to or reduced their stakes in the company. Game Plan Financial Advisors LLC grew its stake in shares of American Express by 62.5% during the first quarter. Game Plan Financial Advisors LLC now owns 130 shares of the payment services companys stock valued at $30,000 after acquiring an additional 50 shares in the last quarter. Scarborough Advisors LLC acquired a new stake in American Express during the 4th quarter valued at approximately $25,000. Sachetta LLC acquired a new stake in American Express during the 4th quarter valued at approximately $29,000. Vermillion & White Wealth Management Group LLC purchased a new stake in American Express during the 4th quarter worth approximately $29,000. Finally, DHJJ Financial Advisors Ltd. raised its position in shares of American Express by 42.2% in the 2nd quarter. DHJJ Financial Advisors Ltd. now owns 165 shares of the payment services companys stock valued at $38,000 after purchasing an additional 49 shares in the last quarter. 84.33% of the stock is owned by institutional investors and hedge funds. Get American Express alerts: Wall Street Analysts Forecast Growth A number of analysts recently issued reports on AXP shares. BTIG Research started coverage on shares of American Express in a research note on Friday, June 7th. They issued a neutral rating for the company. Bank of America lowered shares of American Express from a buy rating to a neutral rating and set a $263.00 target price on the stock. in a research note on Wednesday, August 21st. Royal Bank of Canada lifted their price target on American Express from $265.00 to $267.00 and gave the stock an outperform rating in a research note on Monday, July 22nd. JPMorgan Chase & Co. upped their price objective on American Express from $240.00 to $268.00 and gave the company an overweight rating in a research note on Monday, July 8th. Finally, Keefe, Bruyette & Woods lifted their target price on American Express from $265.00 to $280.00 and gave the stock an outperform rating in a research report on Monday, July 8th. Three analysts have rated the stock with a sell rating, thirteen have issued a hold rating and eleven have assigned a buy rating to the stock. According to MarketBeat, American Express presently has a consensus rating of Hold and a consensus price target of $230.70. American Express Trading Up 1.5 % Shares of AXP stock opened at $259.07 on Friday. The firm has a market capitalization of $186.35 billion, a PE ratio of 21.34, a price-to-earnings-growth ratio of 1.42 and a beta of 1.21. The company has a debt-to-equity ratio of 1.74, a quick ratio of 1.66 and a current ratio of 1.66. American Express has a 1 year low of $140.91 and a 1 year high of $261.75. The stock has a fifty day moving average of $246.33 and a two-hundred day moving average of $235.36. American Express (NYSE:AXP Get Free Report) last announced its earnings results on Friday, July 19th. The payment services company reported $3.49 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $3.26 by $0.23. The firm had revenue of $16.33 billion during the quarter, compared to analyst estimates of $16.60 billion. American Express had a net margin of 15.53% and a return on equity of 32.94%. American Expresss revenue was up 9.2% compared to the same quarter last year. During the same quarter in the prior year, the firm posted $2.89 EPS. As a group, research analysts expect that American Express will post 13.12 EPS for the current year. About American Express (Free Report) American Express Company, together with its subsidiaries, operates as integrated payments company in the United States, Europe, the Middle East and Africa, the Asia Pacific, Australia, New Zealand, Latin America, Canada, the Caribbean, and Internationally. It operates through four segments: U.S. Consumer Services, Commercial Services, International Card Services, and Global Merchant and Network Services. Further Reading Receive News & Ratings for American Express Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for American Express and related companies with MarketBeat.com's FREE daily email newsletter. Pilbara Minerals Limited (ASX:PLS Get Free Report) insider Nicholas (Nick) Cernotta purchased 40,938 shares of the firms stock in a transaction that occurred on Friday, September 6th. The shares were acquired at an average price of A$2.44 ($1.63) per share, for a total transaction of A$99,888.72 ($66,592.48). Pilbara Minerals Stock Performance The company has a current ratio of 4.52, a quick ratio of 2.63 and a debt-to-equity ratio of 17.13. Get Pilbara Minerals alerts: About Pilbara Minerals (Get Free Report) Read More Pilbara Minerals Limited engages in the exploration, development, and operation of mineral resources in Australia. The company primarily explores for lithium. It primarily holds a 100% interest in the Pilgangoora lithium-tantalum project located in the Pilbara region of Western Australia. The company was incorporated in 2005 and is based in West Perth, Australia. Receive News & Ratings for Pilbara Minerals Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pilbara Minerals and related companies with MarketBeat.com's FREE daily email newsletter. SilverOak Wealth Management LLC lifted its holdings in iShares Core S&P Small-Cap ETF (NYSEARCA:IJR Free Report) by 2.7% during the second quarter, according to the company in its most recent Form 13F filing with the Securities & Exchange Commission. The institutional investor owned 322,989 shares of the exchange traded funds stock after purchasing an additional 8,602 shares during the quarter. iShares Core S&P Small-Cap ETF accounts for approximately 8.3% of SilverOak Wealth Management LLCs investment portfolio, making the stock its 5th biggest holding. SilverOak Wealth Management LLCs holdings in iShares Core S&P Small-Cap ETF were worth $34,450,000 at the end of the most recent quarter. A number of other hedge funds have also added to or reduced their stakes in the business. Envestnet Asset Management Inc. boosted its stake in shares of iShares Core S&P Small-Cap ETF by 98.5% during the 2nd quarter. Envestnet Asset Management Inc. now owns 17,112,936 shares of the exchange traded funds stock worth $1,825,266,000 after buying an additional 8,492,105 shares during the last quarter. Wells Fargo & Company MN raised its holdings in shares of iShares Core S&P Small-Cap ETF by 0.5% during the 4th quarter. Wells Fargo & Company MN now owns 16,552,313 shares of the exchange traded funds stock worth $1,791,788,000 after buying an additional 88,472 shares in the last quarter. LPL Financial LLC lifted its holdings in shares of iShares Core S&P Small-Cap ETF by 2.5% in the second quarter. LPL Financial LLC now owns 13,317,367 shares of the exchange traded funds stock worth $1,420,430,000 after acquiring an additional 324,829 shares during the last quarter. UBS Group AG lifted its stake in iShares Core S&P Small-Cap ETF by 3.6% in the 4th quarter. UBS Group AG now owns 10,130,084 shares of the exchange traded funds stock valued at $1,096,582,000 after purchasing an additional 352,841 shares during the last quarter. Finally, Raymond James & Associates boosted its position in iShares Core S&P Small-Cap ETF by 2.3% in the second quarter. Raymond James & Associates now owns 9,771,036 shares of the exchange traded funds stock valued at $1,042,179,000 after buying an additional 222,707 shares in the last quarter. Get iShares Core S&P Small-Cap ETF alerts: iShares Core S&P Small-Cap ETF Trading Up 2.5 % Shares of IJR stock opened at $114.27 on Friday. The businesss 50-day moving average price is $112.87 and its 200-day moving average price is $109.12. iShares Core S&P Small-Cap ETF has a 12 month low of $87.32 and a 12 month high of $120.74. The firm has a market capitalization of $82.90 billion, a price-to-earnings ratio of 12.95 and a beta of 1.16. About iShares Core S&P Small-Cap ETF iShares Core S&P Small-Cap ETF, formerly iShares S&P SmallCap 600 Index Fund, seeks investment results that correspond generally to the price and yield performance of the Standard & Poors SmallCap 600 Index (the Index). The Index measures the performance of publicly traded securities in the small-capitalization sector of the United States equity market. See Also Receive News & Ratings for iShares Core S&P Small-Cap ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Core S&P Small-Cap ETF and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com upgraded shares of KBR (NYSE:KBR Free Report) from a buy rating to a strong-buy rating in a research report report published on Tuesday morning. A number of other analysts have also recently weighed in on KBR. DA Davidson reiterated a buy rating and set a $78.00 price target on shares of KBR in a research note on Tuesday, June 25th. UBS Group increased their price target on shares of KBR from $68.00 to $77.00 and gave the stock a buy rating in a research note on Tuesday, May 14th. Finally, TD Cowen cut shares of KBR from a buy rating to a hold rating and set a $72.00 price target for the company. in a research note on Friday, September 6th. One investment analyst has rated the stock with a hold rating, seven have assigned a buy rating and one has assigned a strong buy rating to the companys stock. According to MarketBeat.com, KBR currently has an average rating of Buy and a consensus target price of $75.50. Get KBR alerts: Check Out Our Latest Analysis on KBR KBR Trading Up 1.0 % Shares of KBR stock opened at $62.23 on Tuesday. The company has a fifty day simple moving average of $65.57 and a 200-day simple moving average of $64.36. KBR has a 12-month low of $49.37 and a 12-month high of $69.50. The company has a current ratio of 1.10, a quick ratio of 1.10 and a debt-to-equity ratio of 1.35. The stock has a market cap of $8.28 billion, a price-to-earnings ratio of -32.41, a PEG ratio of 1.25 and a beta of 0.90. KBR (NYSE:KBR Get Free Report) last issued its earnings results on Wednesday, July 24th. The construction company reported $0.83 earnings per share (EPS) for the quarter, beating the consensus estimate of $0.79 by $0.04. The business had revenue of $1.86 billion during the quarter, compared to analysts expectations of $1.88 billion. KBR had a net margin of 2.77% and a return on equity of 27.92%. On average, equities analysts forecast that KBR will post 3.25 EPS for the current fiscal year. KBR Announces Dividend The company also recently disclosed a quarterly dividend, which will be paid on Tuesday, October 15th. Shareholders of record on Monday, September 16th will be given a dividend of $0.15 per share. The ex-dividend date of this dividend is Monday, September 16th. This represents a $0.60 dividend on an annualized basis and a yield of 0.96%. KBRs payout ratio is currently -31.25%. Insiders Place Their Bets In other news, insider Jalal Ibrahim sold 35,000 shares of the stock in a transaction dated Monday, August 19th. The shares were sold at an average price of $66.56, for a total value of $2,329,600.00. Following the completion of the transaction, the insider now owns 106,550 shares in the company, valued at approximately $7,091,968. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through the SEC website. 1.08% of the stock is owned by insiders. Hedge Funds Weigh In On KBR A number of large investors have recently made changes to their positions in the stock. Vanguard Group Inc. increased its holdings in shares of KBR by 0.4% in the 4th quarter. Vanguard Group Inc. now owns 13,044,986 shares of the construction companys stock valued at $722,823,000 after purchasing an additional 52,679 shares in the last quarter. Franklin Resources Inc. increased its holdings in KBR by 12.1% during the 4th quarter. Franklin Resources Inc. now owns 3,084,559 shares of the construction companys stock valued at $170,915,000 after acquiring an additional 331,749 shares in the last quarter. D. E. Shaw & Co. Inc. increased its holdings in KBR by 29.4% during the 2nd quarter. D. E. Shaw & Co. Inc. now owns 2,286,779 shares of the construction companys stock valued at $146,674,000 after acquiring an additional 520,207 shares in the last quarter. Wolf Hill Capital Management LP increased its holdings in KBR by 37.1% during the 2nd quarter. Wolf Hill Capital Management LP now owns 1,877,497 shares of the construction companys stock valued at $120,423,000 after acquiring an additional 507,800 shares in the last quarter. Finally, Bank of New York Mellon Corp increased its holdings in KBR by 6.8% during the 2nd quarter. Bank of New York Mellon Corp now owns 1,778,765 shares of the construction companys stock valued at $114,090,000 after acquiring an additional 113,140 shares in the last quarter. Hedge funds and other institutional investors own 97.02% of the companys stock. About KBR (Get Free Report) KBR, Inc provides scientific, technology, and engineering solutions to governments and commercial customers worldwide. It operates through Government Solutions and Sustainable Technology Solutions segments. The Government Solutions segment offers life-cycle support solutions to defense, intelligence, space, aviation, and other programs and missions for military and other government agencies in the United States, the United Kingdom, and Australia. Read More Receive News & Ratings for KBR Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for KBR and related companies with MarketBeat.com's FREE daily email newsletter. The Aarons Company, Inc. (NYSE:AAN Get Free Report) was the recipient of a large growth in short interest in August. As of August 31st, there was short interest totalling 3,500,000 shares, a growth of 68.3% from the August 15th total of 2,080,000 shares. Based on an average daily volume of 976,300 shares, the short-interest ratio is currently 3.6 days. Approximately 11.6% of the shares of the company are sold short. Aarons Price Performance Aarons stock traded down $0.06 during mid-day trading on Friday, hitting $10.05. The stock had a trading volume of 979,725 shares, compared to its average volume of 525,923. The firm has a market capitalization of $316.62 million, a P/E ratio of -12.72 and a beta of 1.43. The company has a current ratio of 1.02, a quick ratio of 0.70 and a debt-to-equity ratio of 0.33. The firms 50 day moving average is $10.02 and its 200 day moving average is $8.59. Aarons has a one year low of $6.62 and a one year high of $11.90. Get Aaron's alerts: Aarons (NYSE:AAN Get Free Report) last released its quarterly earnings data on Monday, August 5th. The company reported ($0.07) EPS for the quarter, missing the consensus estimate of $0.03 by ($0.10). Aarons had a negative net margin of 2.06% and a negative return on equity of 2.08%. The company had revenue of $503.10 million for the quarter, compared to the consensus estimate of $513.91 million. During the same period last year, the company earned $0.39 EPS. The firms revenue for the quarter was down 5.1% on a year-over-year basis. On average, sell-side analysts forecast that Aarons will post -0.01 earnings per share for the current fiscal year. Aarons Dividend Announcement Institutional Inflows and Outflows The business also recently disclosed a quarterly dividend, which will be paid on Thursday, October 3rd. Shareholders of record on Friday, September 13th will be issued a dividend of $0.125 per share. This represents a $0.50 annualized dividend and a dividend yield of 4.98%. The ex-dividend date is Friday, September 13th. Aaronss dividend payout ratio (DPR) is presently -63.29%. Several hedge funds and other institutional investors have recently made changes to their positions in the stock. Massachusetts Financial Services Co. MA boosted its holdings in shares of Aarons by 3.5% during the 2nd quarter. Massachusetts Financial Services Co. MA now owns 31,781 shares of the companys stock valued at $317,000 after purchasing an additional 1,065 shares during the last quarter. Rhumbline Advisers raised its position in shares of Aarons by 3.0% during the 2nd quarter. Rhumbline Advisers now owns 52,539 shares of the companys stock valued at $524,000 after buying an additional 1,553 shares in the last quarter. Verity Asset Management Inc. raised its position in shares of Aarons by 15.8% during the 1st quarter. Verity Asset Management Inc. now owns 19,732 shares of the companys stock valued at $148,000 after buying an additional 2,695 shares in the last quarter. Russell Investments Group Ltd. raised its position in shares of Aarons by 2.3% during the 1st quarter. Russell Investments Group Ltd. now owns 166,590 shares of the companys stock valued at $1,249,000 after buying an additional 3,772 shares in the last quarter. Finally, GAMMA Investing LLC raised its position in shares of Aarons by 314.4% during the 2nd quarter. GAMMA Investing LLC now owns 5,101 shares of the companys stock valued at $51,000 after buying an additional 3,870 shares in the last quarter. Institutional investors own 91.99% of the companys stock. Wall Street Analyst Weigh In Several equities research analysts have recently commented on the company. Jefferies Financial Group restated a hold rating and issued a $10.10 price objective (down from $11.00) on shares of Aarons in a research note on Wednesday, July 10th. StockNews.com started coverage on Aarons in a research note on Saturday, September 7th. They issued a hold rating for the company. Loop Capital upped their target price on shares of Aarons from $8.00 to $10.00 and gave the stock a hold rating in a report on Tuesday, June 18th. BTIG Research started coverage on shares of Aarons in a report on Friday, June 7th. They issued a neutral rating for the company. Finally, Truist Financial upped their target price on shares of Aarons from $8.00 to $10.10 and gave the stock a hold rating in a report on Tuesday, June 18th. Seven equities research analysts have rated the stock with a hold rating and one has assigned a buy rating to the companys stock. According to data from MarketBeat.com, the stock has an average rating of Hold and a consensus price target of $9.46. Get Our Latest Stock Analysis on Aarons Aarons Company Profile (Get Free Report) Headquartered in Atlanta, The Aarons Company, Inc (NYSE: AAN) is a leading, technology-enabled, omnichannel provider of lease-purchase solutions. Aarons engages in direct-to-consumer sales and lease ownership of furniture, appliances, consumer electronics and accessories through its approximately 1,300 company-operated and franchised stores in 47 states and Canada, as well as its e-commerce platform, Aarons.com. Recommended Stories Receive News & Ratings for Aaron's Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Aaron's and related companies with MarketBeat.com's FREE daily email newsletter. The "only viable path" toward lasting peace is through the "complete" denuclearization of the Korean Peninsula, a State Department spokesperson said Friday, after North Korea made a rare disclosure of a uranium enrichment facility this week. The spokesperson made the remarks, renewing calls for Pyongyang to return to "constructive" dialogue and reaffirming America's "ironclad" security commitment to South Korea and Japan. The North's Korean Central News Agency (KCNA) reported Friday (KST) that leader Kim Jong-un visited a uranium enrichment base and called for increasing the number of centrifuges for uranium enrichment to bolster its nuclear weapons arsenal a move ahead of the Nov. 5 presidential election in the United States. "The DPRK's advancement of its nuclear and ballistic missile programs directly undermines the global non-proliferation regime. The only viable path toward lasting peace is through the complete denuclearization of the Korean Peninsula," the spokesperson said in response to a question from Yonhap News Agency. DPRK stands for the North's official name, the Democratic People's Republic of Korea. "We call on the DPRK to fully comply with its obligations under U.N. Security Council resolutions and return to constructive dialogue without delay," the official added. The spokesperson stressed that to the extent that the North continues to threaten the region and the world, Washington will continue to strengthen its security relationships with its allies and partners. "The U.S. commitments to the defense of the Republic of Korea and Japan remain ironclad," the official said. Earlier in the day, White House National Security Communications Adviser John Kirby highlighted the U.S. has "devoted" more intelligence, surveillance and reconnaissance assets for security on the peninsula. "I am not able to get into an intelligence analysis one way or the other here. I would simply say that we continue to monitor North Korean progression in their nuclear ambitions, as well as their ballistic missile technology and program," Kirby said in an online press briefing. "That is exactly why or one of the reasons why President Biden has worked so hard to revitalize a network of alliances and partnerships in the region. It is also why he has devoted more, in particular, intelligence, surveillance and reconnaissance assets, prioritizing those for the area on and around the Korean Peninsula," he added. He also stressed that Washington continues to make clear to Pyongyang that it is willing to "sit down without preconditions and talk about the denuclearization of the peninsula." State Department spokesperson Matthew Miller said that he saw a video on the uranium enrichment facility, and that it does not change the overall U.S. policy on North Korea. "New video ... I don't know that it represents any new capability by the North Korean regime," he said during a press briefing. "We are going to continue to make clear that we will defend our South Korean and Japanese allies, and will continue to work for the full denuclearization of the Korean Peninsula." Asked if a North Korean nuclear test is imminent, Miller said he does not want to make any predictions on that. The North's disclosure of the nuclear facility, coupled with its short-range missile launches this week and other acts, has added to concerns that Pyongyang could engage in major provocations near the U.S. presidential election in a move to bolster its leverage. In its Friday report, the KCNA said that Kim visited the Nuclear Weapons Institute and the "production base of weapon-grade nuclear materials." The dispatch did not elaborate on where that facility was located or when Kim had visited the site. Highly enriched uranium and plutonium are essential nuclear materials for the production of nuclear warheads. South Korean and U.S. intelligence agencies believe North Korea operates uranium enrichment facilities in Kangson on the outskirts of Pyongyang and at the Yongbyon nuclear site, north of its capital. In 2010, the North invited Siegfried Hecker, a renowned American nuclear scientist, to inspect its uranium enrichment facility in Yongbyon. (Yonhap) North Korean leader Kim Jong-un has met with the head of Russia's Security Council in Pyongyang and vowed to deepen the bilateral ties between the two countries, state media said Saturday. The meeting came on the anniversary of a rare summit between Kim and Russian President Vladimir Putin last year at the Vostochny Cosmodrome in Russia's Amur region, during which Kim pledged his "full support" for Moscow. During their talks Friday, Kim and Sergei Shoigu, secretary of Moscow's Security Council, had a "wide exchange of views on the issues of steadily deepening the strategic dialogue between the two countries and strengthening cooperation to defend the mutual security interests and on the regional and international situation," according to the KCNA. The two sides reached a "satisfactory consensus" on the presented issues, it added. Kim also stressed their bilateral relations in politics, economy and culture were developing dynamically following his summit with Putin in June. He vowed to continue expanding cooperation with Russia in the spirit of a partnership treaty signed between the two leaders during their June summit, which includes a mutual defense clause. (Yonhap) Over 120 emergency calls were not received by the Seoul fire department due to a temporary network error earlier this week, but all were non-urgent cases, officials said Saturday. According to the Seoul Metropolitan Fire and Disaster Headquarters, the system error was detected at 8:27 p.m. Friday evening, prompting authorities to send out an alert message requesting citizens to dial 112 in case of an emergency. In South Korea, people can call the police for help by dialing 112 or the fire department at 119 in emergencies. The system was partially restored at 8:46 p.m., allowing operators to process the calls manually by identifying the caller's location, before being fully restored at 9:15 p.m. During the 19-minute system outage, the fire services missed out on 129 calls, but all were found to be non-urgent, according to the officials. (Yonhap) By KTimes A teacher, 29, was struck by lightning while walking in the southwestern city of Gwangju, causing his heart to stop for 40 minutes, but miraculously, he survived. According to Chonnam National University Hospital on Friday, Kim Gwan-haeng, a high school teacher in Gwangju, collapsed suddenly on Aug. 5 while walking to lunch after attending a training session at Chosun University. At the time, the weather in Gwangju and the Jeolla regions was severe, with about 3,000 lightning strikes observed. It is presumed that Kim was struck while walking near a tree. A passerby who discovered Kim collapsed on the floor called the emergency services, and he was subsequently taken to Chonnam National University Hospital. However, by then, his heart had already stopped for approximately 40 minutes. Typically, if the heart stops beating for more than five minutes, the lack of blood and oxygen supply to the body significantly increases the risk of brain damage. Medical staff who first saw Kim at the hospital said, "Because his heart and lungs were in a very poor condition due to the prolonged cardiac arrest, we honestly thought there was almost no chance of survival." Immediately after admission, Kim was placed in the intensive care unit, where he received intensive treatment using ECMO (extracorporeal membrane oxygenation) for his heart and lungs. Thanks to the efforts of the medical staff, Kim regained consciousness and got through the critical phase. After 10 days in the hospital, he was able to breathe on his own without the need for a ventilator. Although Kim suffered from complications like difficulties when eating, muscle weakness and heel skin damage due to the prolonged hospital stay, there were no major health issues. Kim was discharged on Sept. 2 and thanked the hospital staff who saved his life. Two days later, he donated 10 million won ($8,500) to support the medical staff. Kim said, "I was given a second chance at life," and added, "In an unpredictable world, I will strive to live each day without regrets." This article from the Hankook Ilbo, sister publication of The Korea Times, is translated by a generative AI system and edited by The Korea Times. By Robert Neff Following the establishment of the Imperial Korean Customs in 1883, international smuggling has been a problem in Korea. Chinese and Japanese junks frequently attempted to avoid inspections, passengers aboard the handful of steamships hid goods among their luggage, and perhaps even more egregious were foreign representatives who used their diplomatic immunity for their own gains. Sometimes the smuggled items were relatively small and perceived to be inexpensive such as stamps. However, Koreas turbulent politics soon transformed these stamps into rare and valuable items coveted by collectors around the world. On the evening of Dec. 4, 1884, a banquet was held at the newly established post office in Seoul to celebrate the successful inauguration of Koreas postal system. It was as this banquet when the unsuccessful, but very bloody, Gapsin Coup began. The resulting destruction proved beneficial to Ensign George C. Foulk, an American naval officer attached to the American Legation in Seoul, and stamp collectors around the world. After the coup, Foulk acquired several stamps and sent them to his family so that they could sell them. He explained to his parents that Korean post office had only been in existence a few days when [the coup attempt] broke out in Seoul. The postmaster, Hong Yong-sik, was murdered, the post office gutted and the Korean government declared the fledgling service officially abolished. The stamps certainly ought to be very valuable to the friends, and you must not let them go for any sacrifice. He optimistically suggested the stamps would fetch $30 or more each. He was wrong. In early 1885, he bought 15,000 stamps from a wretch who could give no account of how he got them. He paid only $3 for the entire lot. Foulk justified his act by claiming he originally intended to return them to the Korean government once it reestablished its postal service. However, he soon learned the government would commission an entirely new series of stamps and the stamps Foulk had in his possession would be voided as the handiwork of the conspirators. He sent 6,000 stamps to Yokohama where a fifth of them were sold for a couple of hundred dollars. Although it isnt clear if his possession and sale of the stamps violated any laws, Foulk prudently warned his parents not to say anything to anybody about the matter. Stamps were not the only things Foulk was sending home. In early 1885, he bought the very finest pearl he could find in Seoul for $10 and sent it to his friend, George F. Kunz, a gem expert, for appraisal. As with the stamps, Foulk urged his parents not to talk about his purchase in order to avoid anything which might furnish food for talk that I was speculating in pearls, while an officer of the navy. There is no harm in doing so actually, but the talk would be disastrous. At first, Foulk was convinced the pearl was worth ten times what he paid, but later adjusted the estimate to at least $400 and possibly as high as $1,000. He excitedly informed his parents that if the pearl is valuable, I will put all my loose change into use by buying pearls, a number of which I can get hold of. In 1893, Horace N. Allen, secretary of the American Legation in Seoul, was also involved in a smuggling incident. He gave a package of jade to a Korean diplomat bound for the United States. The diplomat was to use his diplomatic immunity to smuggle the jade to Augustine Heard, the former American minister to Korea, so that the latter man could sell it for a handsome profit to help alleviate his financial troubles. This wasnt the first diplomatic smuggling involving Allen and Korean diplomats. In 1888, Allen served as the secretary of the Korean Legation in the United States and accompanied the Korean diplomats as they passed through customs. The Koreans had more luggage than seemed necessary, which aroused the customs agents suspicion. Allen assured them the trunks contained Korean articles desired by natives when abroad and gently reminded them the Koreans had diplomatic immunity. About six months after their arrival, the New York Herald reported that the Korean Embassy had smuggled in three cases of Manila cigars and through a Korean student sold them in Philadelphia secretly for a tidy profit. Mortified, Allen confronted Park Jeong-yang, the Korean minister, and demanded an explanation. Park, in the most abject groveling misery confessed and assured Allen it would never happen again. In order to avoid a diplomatic scandal, a Korean servant was ordered to take the blame and Allen, with some difficulty, managed to smooth things over with the customs agents. Yet, despite Parks assurances, the smuggling continued. In the middle of the night, three cases of cigars were marked as clothing to be washed and sent back to Korea. Disgusted, Allen wrote: I have talked and written, addressed meetings and stuffed reporters, till I have worked up a favorable spirit toward the Koreans and silenced the grunts of the Chinese. This I gladly do but to have to perjure myself to cover the contemptible dishonesty of the Kings representative, who has so little regard for his country as to jeopardize her interests just when peace is obtained is too mean. Allen, disgusted with himself for having to lie for the Korean diplomat, described the cigar incident as a circus. Of course, he made no mention of his own involvement in the jade incident half a decade later a typical example of his hypocrisy. The American and Korean legations were not the only ones involved in smuggling either openly or tacitly. The Chinese Legation in Seoul had its own scandals. In January 1886, a riot broke out in Jemulpo (modern-day Incheon) when Chinese merchants were discovered smuggling red ginseng aboard a Chinese warship. When confronted, a violent altercation took place, resulting in the Korean Imperial Customs office being ransacked and a couple of customs agents being severely injured. Protests were made by the foreign diplomats in Seoul and Yuan Shih-kai, the Chinese representative, eventually the accused smugglers were tried and found guilty. They were subsequently fined and then deported. However, many were convinced that only the most expendable merchants were persecuted while the real ringleaders were protected by the Chinese Legation. Red ginseng was one of the most popular and profitable items to be smuggled out of Korea perhaps with the exception of gold dust and was one of the deadliest. Korean ginseng smugglers were often summarily executed. While most items were smuggled in secret, other items were creatively disguised. In 1909, an American manager of one of the gold mining concessions in northern Korea purchased a car and had it shipped to Korea. There was a prohibitive import duty on automobiles, but the mining company, by the terms of its concession, was permitted to import mining machinery free of duty. Taking advantage of the clause, the crate in which the car was sent to Korea was wrapped so thoroughly that even the most energetic and diligent customs inspector would be reluctant to examine it. In addition, the crate was marked Mining Machines in English, Japanese, Chinese and Korean. The ruse worked, and soon, a beast of an automobile terrorized the streets of Seoul. In 1892, The London and China Telegraph declared that it would be no difficult matter to smuggle opium into Korea if a demand for the drug existed. The paper was convinced there was no significant market for opium as it was too expensive for the average Korean, but it was wrong. By the end of the 19th century, Korea had an opium problem. The exact methods to smuggle opium into the country are unclear, but, judging from the various newspaper accounts, the techniques were very successful. Possibly, the drug was hidden in trunks and crates, concealed by clothing or other goods. However, judging from the various letters and diaries from the expat community in Korea, the customs agents were fairly thorough with their inspections at times, too infuriatingly thorough. Another possible method was to tie the opium package with a string and lower it overboard into the water where it remained hidden until the customs agents completed their inspection. Perhaps the strangest smuggling method involved teeth and took place in San Francisco. In 1883, opium was frequently discovered aboard the City of Tokyo, a steamship operating between China and San Francisco. Most of the time the opium was found in passengers baggage, confiscated and then later sold in auctions in San Francisco. However, according to a Chinese newspaper, it [was] rumoured that several of the crew during the last trip had all their teeth excavated by Chinese dentists in Hongkong, and that they have been smuggling in opium by the pound in this manner. The editor naturally had his doubts as to how much opium could be smuggled in a sailors teeth. Somewhat sarcastically, he suggested that in the near future, customs agents would have to examine everyones eyelids and the captains ears and then call all hands on deck and open their mouth so that they could be examined for traces of opium. Drugs continue to be smuggled into Korea. In 2021, customs agents found drugs hidden inside paper congratulatory cards after being spread thinly and placed between the pages. Others were vacuum-packed and concealed inside canned food or hidden inside corrugated cardboard. Modern smugglers are somewhat ingenious but pale in comparison to the dedication of those who allegedly hollowed out their teeth to smuggle opium. Robert Neff has authored and co-authored several books, including Letters from Joseon, Korea Through Western Eyes and Brief Encounters. Clara recently moved from England to Australia. She said 'this country has its quirks' but one stands out above them all. A British expat living in Australia has unleashed over what she described as the "most annoying thing" about driving on our roads. The young mum, Clara, said she moved from England down under about six months ago and, "this country has its quirks" but one stands out above all the rest. "Please explain to me why I was just driving on the highway in the slow lane, going the speed limit, and there is a truck, this huge truck and I'm not talking about what a British person would call a lorry I'm talking, like, a big American-style truck, was so far up my f*cking ass?" the befuddled driver said in a social media video. Clara went on to explain that "it's not the first time" an incident like that had occurred while she was behind the wheel, but this time "I couldn't even see the number plate anymore". "Like, it is so close to me," she said. "Every single time I'm driving on a highway, there are always these ginormous cars, way bigger than any car that you would find in England. "Their [Aussie] cars just are bigger...the trucks are bigger. Apparently, they don't even call them lorries. I don't know what you call them. They're huge. The vehicles are huge, and they have serious driving issues, like, can you just like I'm in a slow lane. Leave me alone. Overtake me." A British expat has fumed after a truck drove so close behind her on a highway that she 'couldn't see the number plate'. Source: Getty Australians share Brit's view on 'bully' truck drivers People responding had a lot of opinions. "Truckers can be the best or absolute worst in my experience," one driver said. "Yep! As someone born in Australia, the drivers are so incredibly impatient and rude," another said empathetically. "I'm visiting family in Ireland at the moment and 99 per cent of people are so patient. The best thing you can do on the highway is attempt to indicate for the truck to overtake and slow down for them to do so. But tailgating is so dangerous in the case of needing to brake for any hazard." "Trucks can bully you on the highway I agree. We tend to call them semi-trailers," said another. At least one person offered a reason for truck drivers' behaviour. "In some areas trucks must legally drive on the most left-hand side of the road (the slow lane) to allow cars to go around them. This is usually on the highways in the higher residential/city areas," they said. Do you have a story tip? Email: newsroomau@yahoonews.com. You can also follow us on Facebook, Instagram, TikTok, Twitter and YouTube. China's Global Security Initiative widely echoed in top security forum Xinhua) 08:05, September 14, 2024 BEIJING, Sept. 13 (Xinhua) -- The Global Security Initiative (GSI) that China proposed to pursue common peace was widely echoed and endorsed by strategists and scholars worldwide at the 11th Beijing Xiangshan Forum, which opened on Friday. With the theme of "Promoting Peace for a Shared Future," this year's forum paid great attention to the GSI with a dedicated session to discuss it, and in the meantime, many attendees discussed it on various occasions. The Global Security Initiative encompasses comprehensive security, collaborating and common security and sustainable security, and at the same time respecting the UN system, said Jayanath Siri Kumara Colombage, former commander of the Sri Lankan Navy, at a high-end interview session at the forum. "Therefore, I look at the Global Security Initiative launched by China, and it is a very positive way of bringing peace to the world," added Colombage. China proposed the GSI in April 2022, giving clear answers to questions of the times, such as what security concept the world needs and how countries can achieve common security. The initiative vividly illustrates China's vision of building a community with a shared future for humanity. In the GSI concept paper published in 2023, China expounds the initiative's core ideas and principles, reaffirming its commitments to abiding by the purposes and principles of the UN Charter, taking the legitimate security concerns of all countries seriously, and peacefully resolving differences and disputes between countries through dialogue and consultation, among other pledges. Wang Fan, president of China Foreign Affairs University, introduced the background of the GSI at the forum, saying that in a transitional period of the international system after the Cold War era, many crises in the world are not managed or paid attention to by any country, which leads to the continuous emergence of security crises. "The emergence of these problems makes us realize a very profound reality of the international community. The international community as a whole is peaceful, but we do not feel safe. Peace does not equal security, so we face a series of security challenges. So, what should we do in the face of such complex challenges? What role should the major powers play?" said Wang, adding that that's why China proposed the GSI. "I see it as a really constructive initiative," Intizar Khadim, former director general of peace at the office of the National Security Council of Afghanistan, adding that throughout history, China has consistently played a constructive role, favoring non-intervention. He saw China's peacekeeping missions in many regions, including Afghanistan, as highly effective and constructive. "The GSI is not a new concept in its name. Its goal is to secure and consolidate global security for all nations. However, I believe China's approach will be different from others," said Khadim. "The GSI will be significant for boosting global security," said Selcuk Colakoglu, director of the Turkish Center for Asia-Pacific Studies, who told Xinhua that China's GSI came in time. With the declaration of the GSI, China has been playing a mediator or facilitating role for different issues and conflicts, said Colakoglu, adding that China has both the capability and credit to deliver security around the world. Dmitry Stefanovich, research fellow of the Center for International Security at Primakov National Research Institute of World Economy and International Relations, Russian Academy of Sciences, told Xinhua that the GSI is important and a great effort trying to fix all the things that went wrong in terms of international security. "The GSI is a comprehensive, cooperative and sustainable security concept. This initiative has gained recognition, understanding and support from many countries around the world, including international organizations such as the United Nations," said He Lei, former vice president of the PLA Academy of Military Sciences, told Xinhua. He added that the first step to implementing the GSI is to make people understand it and then use this theory and concept to guide countries worldwide to walk the path of comprehensive, cooperative and sustainable security together. (Web editor: Zhang Kaiwei, Liang Jun) China's tire factory begins mass production in Serbia Xinhua) 10:31, September 14, 2024 Serbian President Aleksandar Vucic addresses the official inauguration ceremony for serial production in China's first tire manufacturing facility in Europe, in Zrenjanin, Serbia, Sept. 13, 2024. (Photo by Wang Wei/Xinhua) ZRENJANIN, Serbia, Sept. 13 (Xinhua) -- Mass production officially began at Linglong's new tire factory in Zrenjanin, Serbia on Friday, marking China's first tire manufacturing facility in Europe. The 990-million-U.S.-dollar investment represents a significant milestone for both Linglong Tire and Serbia, positioning the factory as one of the most advanced tire production sites globally, according to a statement from Linglong Tire. Serbian President Aleksandar Vucic, who attended the launch alongside Chinese Ambassador to Serbia Li Ming and Linglong Tire Co., Ltd. Chairman Wang Feng, underlined the economic importance of the project. "This is the largest greenfield investment in Serbia's history," Vucic said. "It not only provides jobs for over 1,200 people now, but we expect that number to grow to 1,835 in the second phase of development." Vucic highlighted the economic benefits the factory will bring to Zrenjanin and the surrounding region, with a projected boost to the city's budget, which will fund new infrastructure projects. Vucic also emphasized the importance of the Belt and Road Initiative, saying, "China has been a tremendous partner for Serbia, and this factory is a testament to that." According to Linglong, the state-of-the-art facility integrates advanced technologies, including artificial intelligence, mobile sensing, and industrial robots, with an annual production capacity of 13.62 million high-performance radial tires. Ambassador Li underscored the significance of the factory for both nations, and he also noted the growing number of Chinese companies investing in Serbia, attributing this to the favorable conditions that instil confidence in Chinese investors. The factory's Phase II expansion is already underway and is expected to further increase production capacity and revenue in the coming years. At the event, two memorandums of cooperation aimed at deepening Sino-Serbian cooperation across various sectors were signed, the first between Linglong and the City of Zrenjanin, and a second between universities in Novi Sad and Beijing. Serbian President Aleksandar Vucic (2nd R) visits the tire factory as he attends the inauguration ceremony for serial production in China's first tire manufacturing facility in Europe, in Zrenjanin, Serbia, Sept. 13, 2024. (Photo by Wang Wei/Xinhua) Serbian President Aleksandar Vucic addresses the official inauguration ceremony for serial production in China's first tire manufacturing facility in Europe, in Zrenjanin, Serbia, Sept. 13, 2024. China's tire factory begins mass production in Serbia. (Photo by Wang Wei/Xinhua) (Web editor: Zhang Kaiwei, Liang Jun) In the 2023 NZ Bird of the Year competition, the puteketeke received 290,000 votes. This year it's not doing so well. Graffiti in Wellington still proclaims the puteketeke to be Bird of the Century. Source: Michael Dahlstrom New Zealands national Bird of the Year poll is underway, and the results are looking very different to 2023. Sadly the reigning champion, the puteketeke, has experienced a dramatic drop in popularity, with votes falling by more than 99.9 per cent on last year. So far the weird looking waterbird, known across the ditch as the Australasian grebe, has dropped from a record-breaking 290,000 votes to being ranked number one by just 197 people. And the reason isn't likely because it's also found in Australia, or that it weirdly carries it's young on it's back, or that it isn't threatened with extinction like most other New Zealand birds. The reason for its demise is probably a simple one. Last year, the Bird of the Year competition drew international attention when a United States television show campaigned for the puteketeke with a series of stunts. HBOs John Oliver paid for billboards to be installed around the world, and even commissioned a giant feathered puteketeke costume which he wore on the show. And he followed through with his mission to win in the biggest landslide in the history of the competition. And after a mural in New Zealand's capital Wellington was painted proclaiming the puteketeke to be not just Bird of the Year, but Bird of the Century, maybe Oliver understandably believed his work is done. International votes dropped from almost 80 per cent in 2023 to less than 20 per cent in 2024. Source: Forest and Bird Bird of the Year overshadowed by another election The annual poll is overseen by Forest and Bird, a non-profit that advocates for nature conservation. Its Bird of the Year campaign lead Ellen Rykers told Yahoo News that in 2023 close to 80 per cent of the vote were cast from overseas, but in 2024 that number had dropped to less than 20 per cent. But theres another reason Rykers believes New Zealands famous bird poll is garnering less international attention this year, and its all to do with the timing. Theres another pretty big election, she said in reference to the fierce competition between Kamala Harris and Donald Trump. Comedian John Oliver appeared on Jimmy Fallon's show wearing a giant puteketeke costume. Source: The Tonight Show Top five most popular birds so far Hoiho yellow-eyed penguin (4,006 votes) Karure black robin (3,481) Kakapo (3,432) Kea (3,156) Ruru morepork (2,960) New Zealand's birds under threat of extinction The Bird of the Year competition often creates some light-hearted play, as campaigners vye to have their bird of choice win. In 2018, one unscrupulous Aussie tried to fix the results by voting several hundred times for the shag because he thought its name was funny. The puteketeke won Bird of the Year in 2023. Source: Getty But there is a serious side to the competition too, because with 80 per cent of New Zealands birds at risk of extinction, it helps draw attention to whats needed to protect them from extinction. Its really worrying. The pukunui, also known as the Southern New Zealand dotterel, has gone to just 101 birds as of this year. And the Australasian bittern is nationally critical with 1,000 left here, she said. 'Special relationship' New Zealanders have with birds Forest and Birds conservation advocate Richard Capie said there is an expectation in New Zealand that politicians honour their responsibility to protect the nations birds. There is a special relationship in place between New Zealanders and our environment, we say its in our DNA, he said. He said previous governments and community groups have worked to save the karure , also known as the Chatham Island black robin after it famously got down to one breeding pair, as well as small but growing populations of kakapo and takahe. But hes concerned changes to regulations in New Zealand are now putting the nations wildlife at risk. Right now, Forest and Bird and many other New Zealanders are deeply concerned the current National-led coalition government is undertaking a series of reforms that are making things worse much worse for our environment and our incredible birds and other biodiversity, he said. Voting in the competition closes at 5pm New Zealand time and 3pm in Australia on Sunday. Love Australia's weird and wonderful environment? Get our new newsletter showcasing the weeks best stories. Latin music is brimming with influential figures, but few have earned the legendary status of Charly Garcia. Known for his groundbreaking music and fascinating life stories, the iconic Argentine artist has made waves once again with the release of his latest album, La Logia del Escorpion, his first in seven years. At 72 years old, Charly delves into the fable of "The Scorpion and the Frog," enchanting Spanish-speaking rock fans with his fresh offerings. The album is not only a musical treasure but also features collaborations with other genre legends such as Fito Paez, David Lebon (of Seru Giran and Pescado Rabioso), Pedro Aznar (of Madre Atomica, Alas, Seru Giran, Spinetta Jade, and Pat Metheny Group), and even a recording with the late Luis Alberto Spinetta. Charly has consistently delivered magic throughout his career, known for his eccentricity and avant-garde approach, which extends beyond his music into various aspects of his life. What's the Latest with Charly Garcia? In recent years, Charly has been the subject of numerous rumors concerning his health, with reports occasionally suggesting his death. The most recent rumor surfaced in October 2023, when several media outlets "confirmed" his passing. However, these reports were never verified, as neither his family nor his team confirmed the news. Contrary to these speculations, the release of La Legia del Escorpion stands as proof that the Argentinean icon remains very much alive. But this isn't the only death-related anecdote in Charly Garcia's storied life. One of his greatest hits from his time with Sui Generis, "Cancion para mi muerte," emerged from a dramatic episode during his mandatory military service in Argentina. Charly recounted that he wrote the song while enduring the hardships of military duty, which he found to be a significant hindrance to his music career. In a desperate move to avoid further service, Charly consumed a bottle of amphetamines, hoping the resulting intoxication would exempt him from his duties. Instead, he experienced an overdose but managed to recover and write one of his most celebrated tracks, though he did not escape his military obligations. Another episode highlighting Charly's impulsive nature occurred when he jumped from the ninth floor of the Aconcagua Hotel in Mendoza. While it might sound like a suicide attempt, Garcia's intentions were far from that. The jump was a reaction to an incident involving a fan who assaulted him for not getting a photo with the artist. Although Charly did not retaliate, he found himself in court and was briefly detained. In response, he concocted a plan to either fall into the hotel's swimming pool, creating a media spectacle, or hit the pavement in protest. Fortunately for both Charly and his fans, he landed in the pool, an event immortalized in his song "Me tire por vos." You better dust off your cowboy hats because Portlaoise Musical Society are hosting a Rodeo Party fundraiser this month to celebrate their 25th anniversary. The Portlaoise Musical Society first took to the stage with their rendition of the iconic Broadway show 'Oklahoma' in 1999. Since then, the group has captivated audiences with their award-winning productions. The society won 'Best Overall Show' in 2019 with 'Titanic - The Musical' in the All Ireland Musical Awards (AIMS). They made their way into the 'Best Overall Show' category again in 2020 with 'Ragtime - The Musical'. In the same year they were awarded 'Best Visual' and 'Best Actor' (Shane Kelly). Last year, the society were runners-up at the 2023 AIMS Awards for 'Best House Management', 'Best Actor in a Supporting Role' and 'Best Technical'. Earlier this year, Portlaoise Musical Society announced their upcoming show 'Guys and Dolls'. The society will dazzle their audiences with the beloved musical comedy from November 16 to 23 at the Dunamaise Arts Centre. READ NEXT: Laois village launches Podcast to help with fundraising efforts But before they take to the stage, the group have invited people to saddle up and put their boots on for their Rodeo Party fundraiser. To celebrate their 25th anniversary, on Friday 27 September the BBQ party will be taking place at Fossey Mountain Springs Ranch in Timahoe. Tickets for the event are still available and cost 20 per person. The ticket cost covers entry fee and BBQ food. The ticket sales will end on Sunday 15 September so make sure you grab yours in time! One of the finest properties in Laois and Portlaoise if in the entire Midlands and eastern half of Ireland has gone on the market. To read more about Rosetta, a five-bed, five-bath, 465 m stone detached house see below this picture. To see more pictures of the colourfully decorated Dublin Road home tap NEXT or ARROW. Hume Auctioneers in Portlaoise have brought the house to market. Here is how they describe the home. Rosetta was constructed in the 1850s, to exacting standards and today stands on a landmark site of almost 1 acre, as a true Victorian gem in the heart of the finest town in the region. Rosetta was first, the home of the Dimond family, who were local pharmacists, and it was subsequently acquired by the much-loved Dr Duane who lived and ran his surgery here for many years, Rosetta is still enjoyed today as a family home of distinction. The property is in excellent condition and retains a host of magnificent period features to include ornate cornicing, superbly proportioned rooms, sliding sash windows, elaborate chimneypieces and all that one might expect from the finest of period homes. Approached by elaborate entrance of curved rendered walls terminating in tall rendered piers with moulded capstones flanking a pair of wrought-iron gates, the property is bound to the road by a most attractive high stone wall, which just allows this beautiful home to peep over and offer a brief glimpse to passing traffic. The accommodation is wonderfully spacious and stretches to almost 5,000 Sq. ft., with the property being contained in the original dwelling house and a later second house which attaches. The main house enjoys 3 formal reception rooms, exquisitely detailed, and enjoying the proportions of the period in a style and quality seldom found in todays market. The entire is accessed from the main hall with a smaller vestibule to the rear. The remarkable feature being perhaps the best staircase for miles around, a wonderful hand-carved masterpiece. There is a large kitchen and ancillary rooms to the rear. Upstairs there are five magnificent bedrooms, all enjoying superb light and those excellent proportions so rare in todays market. There are two bathrooms on this floor. The second building, a later addition, and perhaps the original surgery for Dr Duane, enjoys several large rooms and could lend itself to a multiplicity of uses or indeed be enjoyed as a separate residence or two. Outside the grounds extend to almost an acre with exquisite lawns, specimen trees and a stunning old stone and brick lofted stable block. Rosetta offers a magnificent opportunity to acquire a home of distinction within walking distance of all Portlaoises excellent amenities. Being close to the train station and connected to the high-speed fibre network, the property could indeed lend itself also to business uses. With two distinct dwellings, a large stable block and large open spaces, the property could also lend itself to redevelopment. Viewing of this iconic property is strictly by appointment with the sole selling agents Hume Auctioneers. Price on application. Auburn Police Department Danny R. Ladisair, 36, of Auburn, was charged Sept. 11 with first-degree criminal contempt, aggravated criminal contempt, third-degree assault and endangering the welfare of a child. Nicole L. Deyo, 26, of Auburn, was charged Sept. 12 with third-degree assault and fourth-degree criminal possession of a weapon. Chad M. McDonald, 50, of Newark, was charged Sept. 12 with seventh-degree criminal possession of a controlled substance. Wendy M. Penird, 48, of Auburn, was charged Sept. 12 with littering in Owasco outlet. Joseph J. Grillo, 53, of Auburn, was charged Sept. 13 with first-degree criminal contempt. Anthony R. Morabito, 58, was charged Sept. 13 with fourth-degree criminal mischief and petit larceny. New York State Police Benjamin L. Wilkinson, 40, of Moravia, was charged Sept. 11 with third-degree criminal possession of a weapon, second-degree menacing and second-degree obstructing governmental administration. Amanda J. Runge, 44, of Cato, was charged Sept. 12 with operating a motor vehicle while ability impaired by drugs first offense. The records page: Blotter, restaurant inspections and more Visit the records page of auburnpub.com to see The Citizen's latest blotter, restaurant inspections and property transfers. On Friday, September 20th, Leitrim will come alive with the dazzling array of free events for Culture Night 2024. From the charming streets of Ballinamore to the historic lanes of Manorhamilton and the vibrant hub of Carrick-on-Shannon, the county will showcase its rich cultural tapestry. This year's program is packed with opportunities to dive into music, visual arts, storytelling, and more, reflecting the county's creative spirit. Dont forget to book early for events with limited spots and plan your evening to experience all the excitement. A standout event will be Market Yard Live in Carrick-on-Shannon. Kicking off at 5:30 pm, this event will feature a dynamic projection of live performance footage from young musicians and songwriters from Leitrim and Roscommon. The vibrant visuals will set the stage for a thrilling evening of live music starting at 7:00 pm. Enjoy eclectic performances from six groups who will perform an eclectic mix of Rock/Pop/Indie covers alongside original material, plus a special SHIFT performance on climate change that has received rave reviews after BBC Studios shows. In Carrick-on-Shannon, St. George's Heritage and Visitor Centre will celebrate the 170th birthday of the legendary Percy French. Known for his whimsical and beloved Irish songs, French will be honored with a special performance by nine artists in period costume, bringing his timeless tales and tunes to life. This event offers a delightful trip back to the heart of Irish folklore and music. The Dock in Carrick-on-Shannon will be buzzing with activities from 6:00 pm to 9:00 pm. The evening begins with the launch of the Beginnings youth animation exhibition in the Jury Room Cafe, featuring creative animations by local young artists. At 6:30 pm, take a guided tour of two compelling exhibitions: Richard Malones exploration of labor and class, and Deborah Kellys celebration of queer imagination. From 7:00 pm, enjoy a screening of poignant Ukrainian short films that offer a heartfelt glimpse into Kyivs vibrant culture. Head to Leitrim Design House from 4:00 pm to 7:00 pm to experience an exhibition by acclaimed Irish artist Elaine Quinlan. Her abstract landscapes, inspired by rural Galway, will be on display alongside a drop-in puppet-making workshop led by Rose Minnema. This interactive session, open to all ages, allows participants to explore themes of diversity and creativity through the art of puppetry. For those interested in global issues, Leitrim Volunteer Centre will host a Global Citizenship Education Workshop at the Breffni Resource Centre from 4:00 pm to 6:00 pm. This workshop, organized in collaboration with Comhlamh, will explore themes of social justice, sustainability, and global interdependence. Its an excellent opportunity for local citizens to engage with pressing global challenges and learn how to contribute to a more just and sustainable world. The evening will also feature performances by some of Leitrims most beloved musicians. Drumshanbo Library will host an intimate concert with entertainer and songwriter Charlie McGettigan and his friends from 7:30 pm to 9:30 pm. McGettigan, a stalwart of the Irish music scene, will be joined by multi-instrumentalist Paul Gurney, Eamonn and Orla Daly, and storyteller Phillip Nolan. This promises to be an unforgettable evening of music, stories, and camaraderie. Meanwhile, Mohill Library will feature a performance by novelist and short-story writer Brian Leyden and musician Dave Lawless from 6:00 pm to 9:00 pm. Leyden, co-writer of the feature film Black Ice, will share readings from his work, while Lawless will provide a musical accompaniment on the Gaelic harp. The evening will offer a perfect blend of literary and musical talent. In Ballinamore Library, the Rakes of Music event will launch an online archive of traditional Leitrim music, curated by Fionnuala Maxwell. This event, from 7:00 pm to 8:30 pm, will feature live performances from local musicians, as well as insights into the countys rich musical and cultural history. Film lovers should not miss the evening at The Island Theatre in Ballinamore, where the Ballinamore Writers Group will present an event featuring readings and conversations about Irish traditions, followed by a screening of That They May Face the Rising Sun, a film based on the work of local author John McGahern. Finally, Manorhamilton will host an open-air screening of Kneecap at The Glens Centre and offer exhibitions and demonstrations at the Leitrim Sculpture Centre from 5:00 pm to 9:00 pm, providing a fascinating look at the regions diverse art forms. Culture Night 2024 in Leitrim promises an unforgettable celebration of creativity and community. Whether youre a local or just visiting, this is your chance to immerse yourself in the countys artistic and cultural richness. Mark your calendar and get ready for a night full of inspiration and enjoyment! Brazil's Supreme Court said Friday, September 13 that a judge has ordered the transfer of some $3 million from Elon Musk's companies to pay fines incurred by his social network X, which has been suspended in the country. Judge Alexandre de Moraes last month ordered an X shutdown in Brazil after Musk refused to remove dozens of right-wing accounts and then failed to name a new legal representative in the country as ordered. A brief statement from the court said Moraes had "determined the transfer to state coffers of 18.35 million reais ($3.28 million) blocked in accounts" of X and the satellite internet firm Starlink, both owned by Musk. Moraes has repeatedly clashed with the South African-born billionaire after making it his mission to crack down on disinformation. Read more Brazilian Supreme Court upholds decision to block X He also froze the assets of X and Starlink, which has been operating in Brazil since 2022 -- especially in remote communities in the Amazon -- to ensure payment of fines imposed on X for its failure to follow court orders. The social media platform formerly known as Twitter has more than 22 million users in Brazil. Moraes also ordered that those using "technological subterfuges" such as virtual private networks (VPNs) to access the blocked site could be fined up to $9,000. His measures have fueled debate on freedom of expression and the limits of social networks both inside and outside of the country. Leftist President Luiz Inacio Lula da Silva hailed the ban, while his far-right predecessor Jair Bolsonaro called Moraes a "dictator." Four Islamic State group leaders were killed in a joint US-Iraqi raid in western Iraq last month, including the head of the jihadist group's operations in the country, the US military said Friday. "This operation targeted ISIS leaders and served to disrupt and degrade ISIS' ability to plan, organize, and conduct attacks against Iraqi civilians," the United States Central Command, or CENTCOM, said in a statement on X about the August 29 raid. A total of 14 IS operatives were killed revised from the 15 reported previously. Five US troops were wounded, with another two injured in falls. The four leaders killed were identified as Ahmad al-Ithawi, the operations leader for the Islamic State group in Iraq; Abu Hammam, who oversaw operations in western Iraq; Abu Ali al-Tunisi, who managed technical development; and Shakir al-Issawi, who led the group's military operations in western Iraq, according to CENTCOM. The operation took place amid ongoing talks between Baghdad and Washington over the presence of anti-jihadist coalition forces in Iraq. Despite Iraq's stated goal of a full withdrawal of the forces, no timeline has been made public. The United States has about 2,500 troops in Iraq and 900 in Syria as part of the international coalition against the Islamic State group. CENTCOM had previously reported killing an Islamic State member Wednesday during a strike in eastern Syria. The member was reportedly in the process of planting an improvised explosive device when the strike occurred. Coalition forces have been targeted dozens of times with drones and rocket fire in both Iraq and Syria, as violence related to the Israel-Hamas war in Gaza since early October has drawn in armed groups across the Middle East. Last winter, the Islamic Resistance of Iraq, a loose alliance of Iran-backed groups, had claimed about 175 rocket and drone attacks against US troops in Iraq and Syria. US forces have carried out multiple retaliatory strikes against these militant factions in both countries. Democratic presidential nominee Vice President Kamala Harris speaks during a campaign event on Friday, Sept. 13, 2024 in Wilkes-Barre, Pennsylvania. JACQUELYN MARTIN / AP Vice President Kamala Harris, who presents herself as the candidate of change as she runs for president against Republican Donald Trump, said Friday, September 13, that she's different from President Joe Biden because she offers "a new generation of leadership." In her first solo television interview since she became the Democratic presidential nominee, Harris also criticized the "hate and division that we see coming out of Donald Trump" and said she thinks people are "exhausted" by his style of leadership. She also said she's a gun owner and doesn't want to take away anyone's guns, but believes a ban on assault-style weapons is necessary and consistent with the Second Amendment. Anchor Brian Taff of WPVI-TV in Philadelphia asked Harris to describe one or two areas where she's different from the president. "Well, I'm obviously not Joe Biden" and "I offer a new generation of leadership," Harris said, adding that things once taken for granted cannot be overlooked anymore. "For example, another plan that I have that is a new approach is to expand the child tax credit to $6,000 for young families for the first year of their childs life because that is obviously a very critical stage of development of child, and so my approach is about new ideas, new policies that are directed at the current moment," she said. "And also, to be very honest with you, my focus is very much on what we need to do over the next 10, 20 years to catch up to the 21st century around, again, capacity but also challenges." The interview was conducted in Johnstown, Pennsylvania, as Harris campaigned there Friday. Trump, his running mate Sen. JD Vance and other Republicans have criticized Harris for largely avoiding media interviews or interacting on the record with reporters who cover her campaign events. She and her running mate, Minnesota Gov. Tim Walz, gave a joint interview to CNN last month. Her campaign recently said she will begin to do more local interviews, and the National Association of Black Journalists announced Friday that some of its members will interview Harris on Tuesday in Philadelphia. 'Americans want a leader who brings us together' Harris used a question about the former president's appeal and how she would speak to his supporters to criticize Trump and his leadership style. "I also believe that I am accurate in knowing that most Americans want a leader who brings us together as Americans and not someone who professes to be a leader who is trying to have us point our fingers at each other," she said. The vice president suggested that her support from Republican officials, including former Vice President Dick Cheney and his daughter, former Rep. Liz Cheney, is a result of people being "exhausted" with Trump. "I think people are more willing now, in light of the hate and division that we see coming out of Donald Trump to say, 'Hey, lets put country first' and I think that just makes us stronger and more healthy as a country," she said. Trump's campaign did not immediately respond to an emailed request for comment. The vice president, 59, is a former San Francisco district attorney, California attorney general and US senator. She offered a few answers when asked to share one thing she would like people to know about her they don't yet know. "Probably it's not very different from anybody watching right now," she said. "I love my family. One of my favorite things that I lately have not been able to do is Sunday family dinner. I love to cook." Harris also said her best friend from kindergarten "is still my best friend." MICHAEL Benn first saw the St Patricks Day Parade in New York as a wide-eyed teenager in the 1960s after his family left Limerick for America. Some sixty years on the man from Clare Street in the city will be the grand marshal for the largest St Patricks Day parade in the world next year. He joins a long list, dating back to 1762, which include household names like Maureen OHara, Senator George Mitchell and Albert Reynolds to name but a few. And now you have a little Limerick man in between the whole lot of them, laughs Mr Benn from the other side of the Atlantic. He can vividly remember seeing his first parade when he was 14 /15. It was the size of it and, my God, the amount of people that march. Nobody knows truly how many that go up there. And the warmer the day, the bigger the crowds are. You can't even walk on the sidewalks, said Mr Benn (pictured below), in his softly-spoken accent which is a mix of Queens with a nod to his Limerick roots. The city that never sleeps comes to a standstill on March 17. Its not just in the Irish calendar - you talk about St Patrick's Day, March 17, and everybody in the world knows the focus is on Fifth Avenue in New York, said Mr Benn, who spoke of the parades importance in bringing communities together. His family in America and Limerick are very proud of the honour after he was unanimously appointed. Mr Benn met and married Christina in New York but she was born and raised from Vizes Field in Limerick. They have six children - Michael, Richard, William, Brendan, Patrick and Tara - and 11 grandchildren. Mr Benn proudly served as a sergeant in the US Army from 1969 through 1972 before embarking on a successful career in the construction industry. READ MORE: Limerick City and County Council shortlisted for Local Authority of the Year He admits he will be nervous on the morning of March 17, 2025. To be honest with you, I'm still getting used to the idea, he smiled. Sean Lane, chair of the NYC St Patrick's Day Parade, said they are delighted to honour Mr Benn for his many years of community service. These include over 25 years running the Queens County Parade, 20 years as producer/director of an Suil Na Gael TV promoting Irish culture, his service as a sergeant in the US Army, and his many years working to promote unions and the rights of workers. ONLY 176 new homes were sold to individual buyers across Limerick during 2023, a new set of statistics has revealed. Data from property advisors DNG revealed 56% of new house sales in Limerick were to institutional buyers and investors and other individuals who do not intend on living in the homes. The research also claims that the Property Price Register - where all house sale transactions are meant to be recorded - was actually under-reporting sales information by 30% last year. All this information is contained in DNGs New Homes Transactional Analysis Report. This looks at recorded new home transactions listed on the price register. The registers underreporting, it claims, is due to the sale of multiple units on apartment developments. These are often recorded as just one transaction in the database. READ MORE: Chinese nationals who arrived at Shannon Airport without passports handed suspended sentence The non-household sector includes entities like approved housing bodies - which often deliver council houses - banks, charities and local authorities themselves. Across county Limerick, the percentage of new homes sold as part of block sales to the non-household sector was 56% in 2023, or more than one out of every two new homes sold. This significantly cut the volume of new individual units available to buy on the open market by private households last year. DNGs report stated that 239 out of 429 new home sales in Limerick arose from block purchases. As a consequence, only 176 new home sales were to individual buyers in the Limerick market in 2023. The remainder were one- or two- house sales outside of blocks or scheme sales. DNG director of research Paul Murgatroyd said: Our analysis of transactions recorded by the Property Price Register clearly shows that the register is actually underreporting the total volume of new homes sales in the State each year. The research shows that across the whole state, block sales of multiple units to one buyer in one transaction accounted for 42% of all the new homes sales recorded by the PPR last year, meaning that private individuals only had the opportunity to purchase six out of every ten homes built. Chief executive Keith Lowe said the Governments first home scheme, which provides shared equity to homeowners has seen 1,660 applications approved in the first half of 2024. This, he said, is helping those who would not normally be able to get on the housing ladder and freeing up rental space as a result. LIMERICK city and county council have confirmed they have surpassed the 1m milestone on upgraded pedestrian infrastructure in 2024 to date. Progress continues to be made on delivering new and upgraded pedestrian infrastructure across Limerick this year, with more than 1m spent in the year to date. Projects that are being worked on in the county this year include in Askeaton, where the pedestrian crossing has been upgraded to a controlled Belisha Beacon at Askeaton National School and in Castlemahon, where new footpaths have been constructed, along with the completion of a new pedestrian crossing outside the Castlemahon-Feohanagh Community Hall. READ MORE: Green Limerick: Endangered Corncrake bird the focus of city exhibition Additionally, in Ardpatrick, new public footpath has been constructed, along with the installation of associated public lighting, connecting Ardpatrick National School to the village. In the city, Janemount Park, Corbally has seen footpath improvements in the estate to improve pedestrian linkages to the existing R463. Mayor of Limerick John Moran said: Safety on our streets must be a key part of our work in Limerick City and County Council. We would love to do more but how much we achieve is determined by the funding we receive. It is great to see the projects already completed. It is even better to know that other projects already well underway will be completed by year end so that all of our allocated funding will have been used as we look to 2025s pipeline. Director of service for transportation and mobility at Limerick Council, Brian Kennedy, said: The rollout of our towns and villages programme is a hugely significant part of our work here in the Transportation and Mobility directorate. We are ambitious and will continue to strive for similar and greater allocations of funding from the NTA and central government in 2025 and beyond in order to keep delivering as many upgrades across the city and county as possible. The improvements are being funded by Limerick Councils Active Travel team, through the National Transport Authority (NTA) and are being delivered by the local authoritys Roads, Traffic & Cleansing department. GARDAI are investigating two incidents of criminal damage in Charleville on Friday night which have left local residents "terrified". The first incident concerns criminal damage by fire that occurred at a domestic residence in the Fortlands area of Charleville, County Cork on Friday evening (September 13) at approximately 8.50pm. According to gardai, no persons were in the building at the time of the incident, and no injuries were reported at the time. The scene was preserved and a technical examination was carried out. Gardai are also investigating an incident of criminal damage to a car that occurred in the Rathgoggin area of Charleville later in the evening, at approximately 9.55pm. Gardai are appealing to anyone who may have information in relation to these incidents to contact them. Footage - which is understood to be of the alleged incidents - is being shared on social media. It shows a group of men wearing balaclavas smashing the windows of the house with a crowbar before shots are heard. Residents who contacted Limerick Live this Saturday about the incidents said that local people are terrified by the level of violence involved. These are residential estates. This type of violence has been ongoing since last December. It is like the Wild West in Charleville. Nobody feels like they can speak up for fear of intimidation, said one resident. Any person, including road users and pedestrians, who were in the Charleville area, between 5.00pm and 11.00pm on Friday, September 13, 2024, and who observed any unusual activity, is asked to contact investigating gardai. READ ALSO: Houses plan for former Limerick council depot Gardai are also appealing for information in relation to an Audi A6, a white Skoda Rapid, a BMW and a silver Ford Focus car, travelling in the Charleville area at this time. Any road users or pedestrians who were travelling in the area during this time and who may have camera footage (including dash cam) are asked to make this available to gardai. Anyone with information is asked to contact Mallow Garda Station on 022 31450, the Garda Confidential Line on 1800 666 111, or any garda station. Investigations are ongoing. THE COUNCIL has granted planning permission for county Limericks first pet crematorium. It will be located in a converted stable yard at Ringfort-Rath, Ballycullane Upper, Glin. The applicant - Paul Horan - said it will allow for pet lovers to say farewell in a dignified manner and give the family closure. I have a boarding kennel and I do a bit of grooming as well. I have stables up the road and they were idle. I just thought that there was an opening there for it because I had people tell me that their pet had died. They said it was a week or two before the pet was collected and then they were waiting a further week or ten days before they got the ashes back. With the rise in pets I don't know is it being catered for enough, said Paul, who is investing 150,000 in the project. He aims to be open in December for individual pet cremations. Paul has ordered a PET 200 pet cremation machine from Birmingham which is designed to be the most efficient solution for cremating medium to large pets - domestic animals including birds, cats and dogs. You can bring your pet and we'll have a reception and farewell room. We want to have it really plush and as comfortable as possible for people. We can tailor to whatever the person wants when they come. There will be a range of caskets and urns and memorabilia. It will be geared towards those who want to bring their pet, say farewell and give them closure. We can also collect within a range, said Paul, who is hoping to have a 24 to 48 hours turnaround to speed up the process for families. He says dogs and cats and other pets become part of the family. I don't think a lot of people have thought it through - what they're going to do with them when the sad time comes. This will be part of the grieving process in as sensitive and personal a way as possible, said Paul. READ MORE: PICTURES: Music, fun and dance marked the 825th Anniversary of Askeaton Castle Minister of State Niall Collins welcomed the news that the pet crematorium has been granted planning permission by the local authority. This will be the first one in Limerick so now pet owners won't have to travel outside the county to say farewell to their beloved animals. Losing a pet can be a very traumatic time for families and especially children. This new facility will allow for family members to say farewell in a dignified and sensitive manner, said Mr Collins. Beijing (Gasgoo)- Dongfeng Motors global business arm, China Dongfeng Motor Industry Imp. & Exp. Company, recently held an inauguration ceremony for its Peru office in Santiago de Surco, Lima, marking a significant step in the Chinese automaker's expansion into the South American market. Photo credit: Dongfeng Motor The company's overseas marketing team will use Peru as a central hub, expanding into surrounding South American regions. This strategy aims to enhance market responsiveness and service efficiency, creating greater value for customers and fostering a win-win relationship between clients, partners, and Dongfeng Motor. Photo credit: Dongfeng Motor After conducting extensive market research and interviews to understand customer needs, Dongfeng Motors Peru regional marketing center has introduced a range of vehicles, including high-end GX tractors, KC dump trucks, and KR cargo trucks, covering the primary demands of the local commercial vehicle market. The company plans to accelerate local brand promotion to boost recognition and awareness. Dongfeng is committed to long-term development in the Peruvian market, aiming to establish it as a benchmark market in South America within one to two years. The Peru office will serve as a regional headquarters, working closely with partners to build marketing channels, spare parts networks, training, and service capabilities, further elevating the Dongfeng brand in the region. The federal government is a long way from actually breaking Google up. Investors are starting to treat the possibility as a foregone conclusion. The trial for the Justice Departments second antitrust case against the internet titan started Monday. That comes a month after Google lost the first case, with a federal judge ruling that the company engaged in illegal practices to maintain its dominance in internet search. The current trial challenges Googles position in the ad-tech industry, where the companys tools play a major role in the buying and selling of online advertising. The first case could result in an order to separate the search business from the companys Android and Chrome platforms. In the second case, the government is seeking an order that would force Google to divest itself of its ad-tech services. Threats of government crackdowns have swirled around Google and its big tech peers for years now. That has done little to dent their business momentum or appeal to investors; the combined market capitalizations of Apple, Microsoft, Amazon, Google-parent Alphabet and Facebook-parent Meta Platforms totaled a little over $12 trillion by the end of Juneup 146% from the beginning of 2020. That is more than double the S&P 500s performance in that time. But Googles parent has recently broken from that pack. Alphabets stock is down nearly 14% since the start of the current quartera notable drop even against other major tech stocks that have slipped in that time due to market rotation dynamics and growing worries about artificial intelligence investments. Microsoft and Amazon, Googles closest peers in AI and cloud computing, have seen their share prices slip nearly 4% each this quarter. And at around 19 times forward earnings, Alphabet is also the only megacap tech stock trading at a discount to the S&P 500 on that measure, according to FactSet data. Apple, Microsoft, Amazon, Meta and AI chip titan Nvidia average 31 times projected earnings. Wall Street is still relatively positive on Google; 78% of analysts rate Alphabets stock as a buy, according to FactSet. But among analysts, there has been a notable shift in tone lately about the companys regulatory challenges. At the margin, we are more cautious on Googles shares," wrote Mark Mahaney of Evercore ISI in a report Monday, projecting significant uncertainty" for the next 12 months. Mark Shmulik of Bernstein wrote earlier this month that its hard to envision Google escaping the battles unscathed." The stakes in the two cases arent exactly equal. The ad-tech lawsuit targets a business that produced about $20 billion in revenue in 2020, according to filings in the caseabout 11% of Alphabets total revenue that year. But nearly three-quarters of that was shared with Googles partners in the form of traffic acquisition costs, making it a far less profitable business than the companys core search offering. Justin Patterson of KeyBanc Capital estimates a full divestiture of ad-tech would knock only 1% to 2% off Alphabets project per-share earnings in 2025. Still, Patterson says Google wields disproportionate market share" in this business. We believe this is a difficult trial for Google to win," he wrote in a Sept. 9 report. The search case is potentially more damaging. Google has long paid Apple billions of dollars a year to be the default search option on Apples mobile devices. The ruling last month found that deal and other similar ones have limited competition in the search marketplace, where Google has long maintained a global share of more than 90%, according to Statcounter. Among the penalties the government reportedly is seeking is forcing Google to divest itself of its Android mobile operating system and Chrome browser. Most analysts doubt that outcome, given that neither really work as stand-alone businesses. But other penalties are possible, such as banning Google from paying companies like Apple for preferred search placement, which could open the door for competitors like Microsofts Bing engine to secure those slots. We believe the status quo is no longer possible, and we expect the judge to decide on a remedy that will be punitive for Google," wrote Doug Anmuth of JP Morgan in a Sept. 3 report. But any outcome in the search lawsuit wont be clear for some time, as the judge presiding over that case doesnt plan to issue a ruling on the remedies until next August. And Google will almost certainly appeal any adverse ruling, which could tie up the matter for at least another year beyond. That gives the company plenty of time to strengthen its businesses and plan for multiple outcomes, but that could also mean a long overhang for the stock. The only certainty for Google at this point is that nothing is going to be certain for a long while. Write to Dan Gallagher at dan.gallagher@wsj.com IT giant Tata Consultancy Services (TCS) said on Friday that the tax demand notices sent to several of its employees were a discrepancy, reported Business Standard on Saturday, September 14. The Department of Income Tax will be reprocessing the returns, according to the news portal's report. Nearly 40,000 TCS employees received these notices with the tax demands ranging between 50,000 to 1 lakh, depending on their seniority level in the company, as per the report. Tata Consultancy Services (TCS) shares closed 0.11 per cent higher at 4,522.25 after Friday's trading session, compared to 4,517.20 at the previous market close. We understand that the tax authorities will be reprocessing the returns, following which the TDS shall be in sync with Form 26AS issued by the Income-Tax Department, and Form 16 Part A issued by TCS. Associates who received the notice will receive a rectification intimation in due course and are not required to pay any demand amount. Once the tax authorities send the rectification intimations, the discrepancies should be resolved, said TCS in an internal communication to its employees that was accessed by the news portal. Is the tax notice issue resolved? The IT major said that the associates who received the notice will receive a rectification intimation in due course and are not required to pay any demand amount, according to the report. Also Read | TCS working with Tata Electronics to build first made-in-India chips "Once the tax authorities send the rectification intimations, the discrepancies should be resolved, said TCS. TCS did not comment on the development, but the company gave acknowledgement that they have received a clarification from the tax authorities related to the income-tax demand notice issue, according to the report. Also Read | TCS share price gets rating upgrade; InCred Equities raises target Prime Minister Narendra Modi on Saturday launched a scathing attack on the Congress party while addressing a rally in Haryana's Kurukshetra and claimed that former Prime ministers Nehru, Rajiv Gandhi and Indira Gandhi opposed the reservation. Ahead of assembly elections next month, the Prime Minister addressed his first rally in Haryana. Modi also exuded confidence that Haryana had decided that the BJP would score a hat-trick. This (Gandhi) family has always insulted OBCs, Dalits and Tribals. When Nehru ji was the Prime Minister, he opposed reservation. He wrote a letter to the CMs of the states, proof of which is available, reported ANI quoting Modi. Modi claimed that Nehru also said that if people with reservations get jobs, the quality of government services would deteriorate. "When Indira Gandhi came, she also put a stay on the OBC reservation... Rajiv Gandhi also opposed reservation. In an interview, he even called those who got reservation as Buddhu," Modi claimed. What PM Modi said in his address I urge you all (people of Kurukshetra) to help BJP form a government again (in Haryana). Haryana has reached among top states in terms of investments and revenue. In Delhi, you helped me to serve you all for the third consecutive time. Looking at the enthusiasm, it is clear that Haryana has decided for BJP's hattrick this time. Congress' politics has reduced to spreading falsehood and anarchy in country. Also Read | Smriti Irani, Anurag Thakur feature in BJP star campaigners list for Haryana Congress made hue and cry over MSP, I ask them how many crops they buy at MSP in Karnataka and Telangana. Today's Congress has become a new form of urban Naxal and they do not feel any shame in speaking lie, PTI quoted Modi as saying. Nobody in Himachal Pradesh is happy today where Congress formed the government couple of years ago. Congress didn't fulfil even one of its promises and government employees are forced to go on strikes for their salaries. The BJP brought the Unified Pension Scheme, which provides an assured pension amount to government employees. New govt yet to complete 100 days, but already it has started works worth nearly 15 lakh-crore. The government has finalised changes in the Companies Act to make it easier for bankrupt companies to access capital and to strengthen the regulatory regime around statutory audits, according to three people informed about the development. The ministry of corporate affairs is giving final shape to the bill to bring more clarity on auditor independence norms, in light of some of the audit lapses seen in the audit of Infrastructure Leasing & Financial Services Ltd. (IL&FS) for FY18, one of the persons cited above said on the condition of anonymity. Proposals to make it easier for bankrupt businesses to raise capital are also expected, according to the persons cited above. The legislation has been drafted after consulting other ministries, following a 2022 report of the Company Law Committee. The bill is likely to be tabled in the winter session of Parliament. The Company Law Committee, in its report, had proposed to make it absolutely explicit that no kind of non-audit service could be offered by an auditor to an audit client or a group company directly or indirectly if it is a public interest entity. At present, an audit firm can get disqualified if it has direct or indirect business ties with any of the arms of the audit client, or when it directly or indirectly gives any of them any prohibited non-audit service. This is prone to interpretation and litigation. Also read | Bankruptcy court approves 22 business rescues in August; 34 so far in second quarter The bill seeks to further cut down red tape, restore expeditiously the companies struck off from the official register if a request is made within a specified period, and streamline the regulatory framework for mergers and acquisitions of certain classes of companies, according to the second of the three people quoted earlier. For improving ease of doing business, self-declaration by businesses will be accepted in certain circumstances where currently the law requires affidavits on stamp paper, said the person. However, one of the proposals in the committee's report for allowing fractional shares has not been accepted. A fractional share is less than a full share, allowing retail investors to access high-value stocks and helping businesses diversify ownership. Emails sent to the ministry on 7 September and on 12 September seeking comments remained unanswered at the time of publishing. Separately, the ministry is also working on a bill to amend the Insolvency and Bankruptcy Code (IBC) to further clarify the ranking of a distressed companys dues to statutory agencies in the order of repayment priority. IBCs preamble treats these dues on a par with those of unsecured creditors and below those of secured creditors that have a charge on the assets of the company. However, judicial pronouncements have led to ambiguity and the legislation is expected to uphold the pecking order in the preamble. Efforts are on to bring the IBC amendment bill, too, in the winter session of the Parliament. Startups, CSR changes The ministry is also looking into the need for a regulatory regime suitable for large startups because these entities begin from scratch, but often grow fast in scale and valuations and some of them are prone to faltering in their compliance obligations. Mint had reported on 11 July 2023 that the government was exploring if there was need for a new regulatory regime for large startups that have grown beyond a certain size to make sure their governance systems become more robust without compromising on ease of doing business. The norms on corporate social responsibility (CSR) also require modifications to allow big businesses to offer internships to a promised 10 million youngsters over five years, as was announced in the Union budget for FY25. Mint had reported on 6 September that the government was looking at amendments to Schedule seven of the Companies Act to include the cost of training and part of the internship cost under CSR. Also read | Small debt dominates bulk of bankruptcy settlements Anjali Malhotra, partner-regulatory at business advisory firm Nangia Andersen India, said some of the recommendations of the company law committee if included in the bill would be a progressive move to align the law with modern-day needs. Legal acknowledgment of Special Purpose Acquisition Companies (SPACs) will enable Indian firms to access global capital markets more efficiently," said Malhotra. This is a type of company that does not have an operating business but is formed with the specific goal of acquiring a target company. It's not clear that if this is part of the proposals. Establishment of a centralized electronic platform for maintaining statutory records will enhance both security and efficiency, simplifying corporate governance," said Malhotra, referring to a proposal of the committee. Companies must keep records in registers containing details of their directors, shareholders, loans, deposits, and beneficial owners. Amid a content drought in the Hindi-speaking belt, small-scale Tamil films, such as Thangalaan and Demonte Colony 2, are stepping in to fill the void. These niche movies, which premiered weeks ago in their home states, are only arriving in the north now, deviating from the usual pan-India release pattern. However, despite their delayed release, these movies are finding an enthusiastic audience in the north, where theatres are hungry for fresh content, even if they lack the grandeur of blockbusters like RRR and KGF. Also read | Shift in streaming strategy: Fewer sequels as OTTs turn cautious For instance, Vikram-starrer Thangalaan earned nearly 70 crore in Tamil Nadu, while the horror comedy, Demonte Colony 2, surpassed 35 crore. Vijay Sethupathis Maharaja, which also premiered later in Hindi, had garnered over 80 crore. However, since many of these southern films opted for OTT premieres within four weeks of their theatrical releases, multiplex chains like PVR Inox chose not to screen them in the north. Despite this, the films have offered some relief to non-national multiplexes and independent theatres. Theatres are dealing with an extreme lack of content, so anything that catches the audiences attention is great for us, said Pranav Garg, managing director, Maya Palace, a two-screen cinema in Muzaffarnagar. Garg acknowledged that while these niche films provide some relief, their appeal is not as widespread as major southern hits like RRR and KGF: Chapter 2, which enjoyed extensive marketing and simultaneous pan-India releases. Furthermore, the stars of these Tamil films are less known in the Hindi belt compared to more popular actors such as Prabhas and Allu Arjun. We may not have been able to play these films had there been big titles scheduled alongside. But at the moment, there are no major films releasing and we need content to feed the cinemas. As things are right now, we are not in a position to even break even with staff salaries, electricity and maintenance charges to take care of, Garg added. The shift in release patterns has also influenced the screening of these films. Prior to the covid-19 pandemic, the gap between a film's theatrical release and OTT premiere was around eight weeks. However, with cinemas closed for extended periods, many movies started premiering on streaming platforms much sooner. Although exhibitors expected a return to the old release schedules, this hasnt happened uniformly. Tamil filmmakers, in particular, have increasingly opted for shorter OTT windows, which has impacted multiplex revenues. Consequently, chains like PVR Inox are hesitant to screen these southern films in the Hindi belt. These films (like Thangalaan) have done well in their respective home states and shown that there is an audience for them. During periods of lull when there isnt much occupancy in theatres anyway, people are experimenting with such strategies to at least attract some niche viewers, Rahul Puri, managing director, Mukta Arts and Mukta A2 Cinemas said. Movie screens are free, so the goal is to offer more choices to fill seats Puri said, adding that the target audience for these films often includes people from South India living in the Hindi belt. New Delhi: The setting up of about one-fifth of Indias upcoming lithium-ion battery manufacturing capacity has skidded to a halt, after business visas were denied to Chinese technicians essential for the projects, two people aware of the development said. These technicians are required in the initial phase of setting up the project in terms of installation of the facility," one of the people mentioned above said on condition of anonymity. Indian companies have outlined plans to set up around 100GWh of cumulative capacity so far. Of this, 40GWh capacity was awarded under the advanced chemistry cells (ACC) production-linked incentive (PLI) scheme by the ministry of heavy industries. About 20GWh of the remaining 60GWh, which is outside the PLI scheme, is now under the pump. Among the companies impacted by the delay are Exide Energy Solutions, a subsidiary of Exide Industries, Himadri Specialities and Epsilon Advance Materials, according to the people cited above. Also read | Budget 2024: Govt working on PLI scheme for making shipping-grade containers While Exide Energy would develop lithium-ion batteries, Himadri Speciality Chemicals and Epsilon Advanced Materials are ACC battery component manufacturers, which produce cathode, anode, electrolyte and separator. Some of their facilities were expected to start production by this year-end, according to the India Energy Storage Alliance (IESA). One GWh of battery capacity can power 1 million homes and around 30,000 electric cars for an hour. According to IESA, although the imported equipment from China has started arriving in India, Indian manufacturers are dependent on Chinese manufacturers for their installation and construction (I&C) on site. A spokesperson from Epsilon said in response to a query from Mint that the company is building mega scale capacity for EV battery raw materials with experts and technicians from South Korea, Japan and China. According to IESA, although the imported equipment from China has started arriving in India, Indian manufacturers are dependent on Chinese manufacturers for their installation and construction (I&C) on site. While the ease in visa processing was limited to PLI companies, a recent discussion with ministry of heavy industry has supported for the same for non-PLI/PLI associate companies who are into battery and battery raw material industry," the spokesperson added. The visas will be for subject experts for critical technical setups, R&D, equipment commissioning from China and other countries. This 20GWh capacity can be completed in the next 12-24 months as the visa process eases," said Debi Prasad Dash, executive director of IESA, adding that companies in the PLI scheme have not faced any issue so far. Queries sent to the ministry of heavy industries, Exide Industries, and Himadri Speciality Chemicals remained unanswered till press time. Why Chinese specialists are required More than 600 specialized machines are required to set up an ACC factory over about six months. These skills, according to industry experts, are not available in India. Most of the equipment manufacturers are Chinese and technical expertise required for the installation and commissioning of the plants is exclusively with them. Also read | India dialling China for its lithium-ion cell ambitions despite tensions China currently dominates the battery supply chain, including the critical machinery needed for battery production," Pradeep Karuturi, lead, Centre for Clean Mobility, OMI Foundation said. We rely on China for training our workforce to operate this machinery." Karuturi, however, added that with growing demand for gigafactories and increased localisation, machinery production may also shift to India in the future. New visa portal The Centre has recently created a dedicated visa portal to expedite business visa applications for foreign technicians for sectors that are provided PLI support. Rajesh Kumar Singh, secretary of department for promotion of industries and internal trade (DPIIT), had said in July that the department is also working on a streamlined visa process for strategic sectors that do not have the PLI benefits. In 2022, Ola Electric Mobility was awarded 20GWh, and Reliance Industries and Rajesh Exports were awarded 5GWh each. Last week, the MHI also awarded an additional 10GWh to Reliance Industries. Exide Energy, Amar Raja, Tata Group-promoted Agratas, JSW Energy, Nash Energy, Log9 Materials, and others are invested in the lithium-ion battery manufacturing capacity, apart from those in the PLI scheme. And read | Govt scientific advisor wants lithium alternatives explored amid global race Bajaj Housing Finance IPO: After the announcement of share allotment to the applicants, the Bajaj Housing Finance IPO listing date has been fixed on 16th September 2024, i.e. on Monday next week. After the announcement of the listing date, the grey market has gone further bullish on the initial public offering (IPO). According to stock market observers, Bajaj Housing Finance Limited shares are available at a premium of 79 in the grey market today. However, stock market experts advise fresh investors to remain cautious post-listing as Bajaj Housing Finance's IPO listing price may not justify the company's fundamentals. They said that Bajaj Housing Finance's IPO listing price could be around 145 to 150, which is around 110 per cent higher than the upper price range of the public issue. So, the book value of Bajaj Housing Finance share post-listing is expected to be around 6 to 6.50 times, whereas its nearest peer, PNB Housing Finance, has a book value of around 2. Bajaj Housing Finance IPO GMP today Market observers said that the Bajaj Housing Finance IPO GMP (Grey Market Premium) today is 79, 2 higher than Friday's GMP of 77. They said that the grey market has remained bullish on the Bajaj Housing Finance IPO despite Friday's discounted close, which augurs well for the Bajaj Housing Finance IPO listing on Monday. Regarding the grey market sentiments for the Bajaj Housing Finance IPO, market observers said that the grey market signals that the Bajaj Housing Finance IPO listing price would be around 149 ( 70 + 79). So, the grey market is signalling a 110 per cent listing gain for Monday's Bajaj Housing Finance IPO allottees. Bajaj Housing Finance IPO valuations post-listing Asked about the valuations of Bajaj Housing Finance shares post-listing, Arun Kejriwal, Founder of Kejriwal Research and Investment Services, said, Post-money NAV of Bajaj Housing Finance Limited stands in 21 to 22. If the stock delivers multibagger returns as the market buzz signals, the book value of the new Bajaj Group stock will come around 6 to 6.50, more than three times its nearest peer, PNB Housing Finance. So, allottees and fresh investors are advised to remain vigilant and should not become prey in the wake of the strong debut of this new Bajaj Group share. Infographic: Courtesy mintgenie On why Bajaj Housing Finance IPO listing may not justify the company's fundamentals, Astha Jain, Senior Research Analyst at HEM Securities, said, "The beyond expected buzz around Bajaj Housing Finance IPO is because of other Bajaj Group shares performance. In the NBFC segment, Bajaj Group shares have delivered stellar returns to its long-term shareholders and allottees, and fresh investors may expect the same from this new Bajaj Group stock, which is listed on the BSE and NSE on Monday. So, Bajaj Housing Finance's IPO listing price may not justify the fundamentals, and its book value is expected to remain higher than its peers. So, I recommend Bajaj Housing Finance IPO allottees to book partial profit and hold the stock long-term, maintaining a 'buy, hold and forget' strategy as it is expected to turn into a portfolio stock for long-term investors. Bajaj Housing Finance financials Speaking on the financials of Bajaj Housing Finance Limited, Prathamesh Masdekar, Research Analyst atStoxBox, said, "Bajaj Housing Finance Ltd. is the largest non-deposit-taking HFC promoted by Bajaj Finance Ltd. and has been engaged in mortgage lending since FY18, with an AUM of Rs. 971 bn as of Q1FY25. Further, the company has a strong in-house four-tier collections infrastructure comprising touch-free collection (tele-calling), field collection, legal recovery, and settlement to help them with loan collections. It has also set up a specialized collections team to manage cases where collections are overdue for a certain period and a separate team to focus on resolving cases through SARFAESI (Act). As a result, the collection efficiency improved from 98.4% in FY22 to 99.5% in FY24." "These credit and risk management policies have helped it to maintain the lowest GNPA and NNPA amongst the industry peers. The company's AUM has grown at a CAGR of 30.9% between FY22 and FY24. This growth trajectory positions the company as the fourth fastest-growing NBFC within India's upper-layer category (as per RBI). The company has demonstrated robust performance by strategically emphasizing direct home loan origination and increasing Average Ticket Size across its product suite. These measures have improved the Opex/Asset ratio in recent years. Additionally, the strategic shift in AUM and product mix has mitigated the adverse effects of rising funding costs on the portfolio spread," the StoxBox expert said. Stock to buy: Suzlon Energy shares have been on an uptrend. In one year, Suzlon's share price has surged over 115 per cent, whereas in the last five years, this multibagger stock has surged over 2800 per cent. However, stock market experts are still bullish on this FIIs' favourite stock. They believe revival in the renewable energy sector and Suzlon Energy's fast-reducing debt are expected to keep the upside momentum intact. Suzlon's share price may touch the 140 mark in the long term. Triggers for Suzlon Energy share price rally On why Suzlon's share price is skyrocketing, Ravi Singh, SVPRetail Research at Religare Broking, said, "The recent surge in Suzlon's share price can be attributed to the revival of the renewable energy sector, especially wind energy, in which the company has a bigger foothold. Moreover, Suzlon's effort to significantly reduce debt improves financial health and boosts investor confidence. Technically, the stock has reached an all-time high, formed a new base, and crossed all major moving averages, indicating more upside." Sagar Shetty, Research Analyst at StoxBox, said, "Suzlon's share prices have been steadily rising, reflecting the company's strong business performance and successful turnaround after a challenging decade. Recently, Suzlon reported impressive growth in both revenue and profit, achieving its highest quarterly EBITDA in seven years. The company has significantly improved its financial health by reducing debt through various debt-to-equity conversions and becoming net cash positive, following a successful equity raise aimed at further debt reduction." The StoxBox expert said that Suzlon has demonstrated robust delivery performance and is expected to continue this trend, supported by a record order book of 3.8 GW. With an anticipated operational ramp-up, the company focuses on addressing its business needs, including effective execution, stringent cost control measures, and improving its balance sheet. Favorable sectoral trends further support a positive future growth trajectory. Although many of the near-term positives appear reflected in the current share prices, which makes the short-term risk-reward ratio less favourable, the medium to long-term outlook remains promising. Effective project execution will be crucial for driving future growth. Suzlon share price target "Strong fundamentals are thus combined with a favourable technical setup, making Suzlon Energy shares an interesting investment. Investors can continue holding long positions with a stop at 72, which will help reduce losses and, at the same time, allow riding on the ongoing rally in the stock on the back of broader growth in renewable energy and the company's recovery." Asked about the technical outlook of Suzlon Energy shares, Sumeet Bagadia, Executive Director at Choice Broking, said, Suzlon Energy share is currently trading at 83 and is consistently forming new higher highs and higher lows, indicating a strong bullish trend. The monthly chart shows Suzlon's share price has formed a significant rounding pattern, suggesting further potential upside. For investors holding Suzlon Energy shares, it may be wise to continue holding with long-term targets of 100 and 140 in mind. Short-term traders might consider booking profits around the 85 and 90 apiece. FIIs' shareholding in Suzlon Energy According to the shareholding pattern of Suzlon Energy Limited for the April to June 2024 quarter, FIIs hold 20.63 per cent stake in this renewable energy company. Kuvera is a free direct mutual fund investing platform. Unless otherwise stated data sourced from BSE, NSE and kuvera A lonesome guitar drone kicks off Grey RubbleGreen Shoots , the surprise-dropped lead single off the upcoming album by Canadian orchestral anarcho-punks Godspeed You! Black Emperor. Its the sort of funereal, reverb-drenched keen that shatters the silence following a pre-dawn bombing raid, a wail of unadulterated despair stabbing at the sky. More instruments join inguitars, drums, Sophie Trudeaus baleful violinbuilding up to a slow-burn crescendo, as the sun rises over a scene of gut-wrenching devastation. Painting in broad, looping brushstrokes, the band conjures up a desolate landscape. As with most of GB!YEs music, the song is purely instrumental. You dont really need words to understand the tragic context from which Grey Rubble draws its blistering fury and suffocating dolour. But, unlike the post-rock contemporaries they had such a huge influence on, GB!YE have never hidden their message behind artful vagueness or enigmatic mystique. So they spell it out in the text that accompanies the single. Every day a new war crime, every day a flower bloom," it reads. The albums name makes it even more explicitNO TITLE AS OF 13 FEBRUARY 2024 28,340 DEAD. It seems inevitable that the ongoing blood-letting in Gaza would drive GB!YE back to the studio, threnodic guitars and martial drums raging against the industrial slaughter. For decades now, the band has deployed guitars, strings, horns and tape loops in full-throated critique of neoliberal capitalism, and the depredations it has inflicted on the world. The first iteration of GB!YE came together in Montreal in 1994, soon after Francis Fukuyama had declared the end of history. The Cold War had ended and capitalism had won. The anti-establishment and anti-capitalist fervour that had so animated underground punk and noise scenes in the 1980s had become increasingly co-opted, DIY labels turning into cottage-capitalist enterprises while their musical heroes signed on to major labels. But the late-capitalist malaise that inspired those musical rebellions still infected the body politic, entrenching itself even further under the cover of that brief period of euphoria. GB!YEs first full-length album, 1997s F#A#, crashed headlong into that illusory post-ideological rapture, ripping away the veil to reveal the rot underneath. Sixteen-and-a-half minute opener The Dead Flag Blues opens with a monologue straight out of an urban re-imagination of Apocalypse Now. Were trapped in the belly of this horrible machine," intones a low, gravelly voice, And the machine is bleeding to death." The voices references to corrupt governments and a thousand lonely suicides" set the scene for the albums epic exploration of urban decay and industrial decline. 2000s Lift Your Skinny Fists Like Antennas to Heaventheir third studio release, following the 1999 EP Slow Riot For New Zero Kanadacemented the bands reputation as contemporary rock musics Jeremiah, the Hebrew Bibles weeping prophet who warned of the consequences of Judahs spiritual and moral decay. The albums four titanic movementsthe shortest one clocks in at nearly 19 minutesswell and ebb in waves of droning guitar and melancholic strings, circuitous loops of slowly building tension that build to crushing crescendos of apocalyptic paranoia. Field recordings of industrial noise and sampled recordings of radio preachers hint at the records major themesthe emerging police state, the infuriating rise of global capital, and despite it all, the persistence of hope. The liner notes dedicate the album to quiet refusals, loud refusals and sad refusals", the act of saying no to power, greed and human evil. 2002s follow-up Yanqui U.X.O is an album thatbarring certain specificitiescould have been made today. The albums back cover depicts the relationships between major record labels and arms manufacturers, while liner notes describe the song 09-15-00, which sounds like an orchestral accompaniment to civilisational collapse, as Ariel Sharon surrounded by 1,000 Israeli soldiers marching on al-Haram Ash-Sharif & provoking another Intifada." The albums relentless, oppressive bleakness was a sharp turn from the hope thathesitantly, quietlybloomed on the previous album, and the band soon went on an indefinite hiatus. GB!YE reunited in 2012, another key turning point in American politics, as the Tea Partythe forerunners of todays MAGA Republicansdrove a stake straight into the heart of Americas neoliberal consensus, already fraying after the 2008 financial crisis. The four albums they have released sincefrom 2012s Allelujah! Dont Bend! Ascend! to 2021s G_ds Pee at States End!have taken the gloom and feverish anxiety of the past decade and transformed them into moments of grand beauty that carry within them the possibility of a better future. Theres an urgency to these records and a fullness to their musiccharacterised by shorter, more purposeful compositionsthat leans further into the punk idea of nihilism as the starting point for revolution. After years of warning of Gods judgement for Judahs wickedness, for which he was relentlessly persecuted, Jeremiah found his visions coming true. Babylonian king Nebuchadrezzars armies took Jerusalem, sacking the Temple and deporting its citizens to Babylon. In his peoples darkest hour, full of despair, this prophet of Doom offered them hope of a future where Israel would be restored to Gods grace. In the latter half of Grey RubbleGreen Shoots, the Sturm und Drang gives way to a gentle waltz between soulful guitar, violin and cello, a delicate nod to the promise of renewal and justice. The old world order barely pretended to care," reads the accompanying text. This new century will be crueler still. War is coming. Dont give up. Pick a side. Hang on." Bhanuj Kappal is a Mumbai-based writer. Also read: Music biopics get creative at the Toronto International Film Festival Beijing (Gasgoo)- On September 13, the VOYAH COURAGE, the global version of VOYAHs high-end intelligent EV model known as "Zhiyin" in China, made its debut at the Salone Auto Torino 2024. Photo credit: VOYAH Built on VOYAHs new self-developed platform dedicated to battery-electric vehicles, the COURAGE is the brands first globally-oriented model, which is expected to be priced over 50,000 (approximately 400,000 yuan) in presale overseas. Turin, Italyoften regarded as the "City of Design"was chosen by VOYAH for the global launch to honor its automotive design heritage. The COURAGE, the fourth model in VOYAHs lineup, features a dynamic front design inspired by flight with dual ducting and rear spoilers that balance style and aerodynamics. The vehicle measures 4,725 mm in length, 1,900 mm in width, and 1,636-1,653 mm in height, with a 2,900 mm wheelbase. VOYAH, as a premium new energy vehicle brand of Dongfeng Motor, has committed to a global expansion strategy since its founding, with the Lets VOYAH initiative announced at the Auto China 2024 in Beijing. The company aims to establish a presence on six continents, enter 60 countries, and sell 500,000 vehicles globally by 2030, solidifying its position as an international player in the new energy vehicle market. As I stepped out of The Buckingham Murders into a fittingly English drizzle, I wondered why this film was in theatres. Not that its terribletheres a dour competency from start to finish. Its just that nothing in Hansal Mehtas film seems like its done with a cinema audience in mind. Its the most TV-coded film imaginable. The lines were always going to blur. Over the last few years, pretty much every talented Hindi director has taken a crack at show running or episode direction. Mehta has been particularly successful; Scam 1992 is up there with Shahid as his best ever work. Yet, as much as Hindi shows have become cinematic, the language and aesthetic of episodic storytelling has started bleeding into our films. Also read: Berlin review: Reconstructing the Hindi paranoid thriller Jasmeet Bhamra (Kareena Kapoor Khan) is a detective sergeant newly arrived in the town of Buckinghamshire. Shes immediately put to work by the superintendent (Keith Allen), whos weirdly unsympathetic about her recently losing her young son in a restaurant shooting. A Sikh boy, Ishpreet, has gone missing. His parents, Daljeet (Ranveer Brar) and Preeti (Prabhleen Sandhu), are in a visibly strained marriage. Theres a possibility Ishpreet was mixed up in drugs; one of his fathers friends used to be a dealer. The police also suspect combative Saquib (Kapil Redekar), whose father split bitterly from his business venture with Daljeet. I havent watched Mare of Easttown, the 2021 miniseries in which Kate Winslet plays a troubled detective; Khan told Variety shes a fan of the show and they molded a little bit on those lines. But The Buckingham Murders feels palpably like a season of a British procedural whittled down to under two hours. The writing is flinty and functional, the kind youd get on a run-of-the-mill crime show (I should write you up for insubordination; In our day we used to get on with the job). Theres too much going onall the affairs and animosities and traumas need five or six episodes to work themselves out and gain some resonance. Theres also one key performance that suffers greatly, a shift in tone that arrives too late and thus feels like a con. Also read: Source: Your style guide to nail the Nancy Meyers aesthetic The Buckingham Murders is a rare Hindi film set entirely in a foreign country. But Mehta and the writers (Aseem Arrora, Raghav Raj Kakker, Kashyap Kapoor) make disappointingly little of this opportunity. I was intrigued by the dour detective inspector Hardy (Ash Tandon)a British Indian who doesnt speak Hindi and shows no attachment to the communitybut the film takes the character in sensational, rather than revelatory, directions. There are simmering tension between the Muslim and Sikh communities, and a nicely directed flare-up in front of a gurdwara. But theres scant insight into the south Asian community in this corner of Britaintheir food, music, quirks, concerns. It feels like the work of people who came down for a few months, did the best they could, and left without any deep impression of the place. Samsungs Galaxy Watch series has long been an easy go-to for folks with Android phones. But the more serious adventurers and athletes the ones who swim, run, and hike - had only the likes of Garmin and Suunto. That changes with the Galaxy Watch Ultra ( 59,999): Samsungs first ruggedized, beast of a smartwatch. For something thats named the Watch Ultra, its hard not to compare it with the other category-defining Watch Ultra. There are many subtle (and some quite direct) nods to the competition, right from similar watch band styles to the customizable single-function quick button on the side and even similar gesture controls. After having used the Galaxy Watch Ultra, the suggestions (and all the memes) of it being a clone are greatly exaggerated. Instead, its one of the most unique Wear OS wearables launched in recent times. Unique, and likely polarizing, with the circular display and bezel atop the squircle-shaped chassis, it has a look that doesnt conform to smartwatch convention. With a 47mm titanium case, its expectedly large and unexpectedly lightweight. Ive even found it comfortable enough for sleep tracking. Youll certainly want to try one on for size. Over the weeks of use, the high-end look has grown on me, and the Titanium Gray color is quite the looker (theres a silver and a white as well). Controls are familiar with the Home and Back buttons, and the quick button can be set to perform one from a set of predefined actions, but I really did miss the physically rotating bezel (a la the Watch 6 Classic) or crown. Sure, you can use the touch bezel with the excellent haptic motor feedback, but its not the real thing. In July 1810, a princess of Mewardressed in her best silks and jewelsconsumed a cup of poison. Despite her appearance, it was not a pretty sight. Thrice she retched up the liquid, so eventually it was mixed with opium. This formula worked: Krishna Kumari was pronounced dead. It was not suicide on personal grounds, though; she had to die for honour". As a child, the 16-year-old had been betrothed to the Jodhpur maharajah. Except he popped soon after. Due to some political jostling in the region, her father announced her engagement next to the ruler of Jaipur. Only that dead Jodhpurs brother insisted the betrothal with his house be resurrected. Mercenaries and outside forces got involvedthe prestige of not just Mewar but the other two dynasties was at stake. Years passed, and there was violence, with real risk of escalation. So, a solution was reached: the elimination of the princess. She would marry nobody. And that way nobodys honour" could be damaged. Krishna Kumaris story transfixed Indias elite. In fact, by 1826 a south Indian Brahmin serving a Kerala prince would write what is likely the first English play by a native", on the subjecta text recently republished by the scholar Rahul Sagar. But it got me thinking on how marriage itself in political spaces was never just about two people joining in holy matrimony. For one, ideas of privacy were fluid. In France, the 14-year-old Catherine de Medicis union with her husband in 1533 was consummated in the august presence of her father-in-law. For the marriage was an alliance, and consummation had to be confirmed to seal the rest of the package. Closer home, in Kerala, 400 years later, the Travancore maharanis husband was given sex education by her priest. Despite the training, though, he could not go to his wife until astrologers identified the ideal evening for their coupling. Today is a good day for us and the people of Travancore," wrote the maharanis auntbecause her niece was (finally) having divinely sanctioned coitus. Marriage was also an instrument through which political lineages of less exalted origins might move up the social ladder. The Maratha dynasties that rose to prominence in the 18th century, for examplethe Scindias of Gwalior and the Gaikwads of Barodawere of humble stock. But having acquired power, they sought brides from families with grander pedigrees. Indeed, in the 19th century, the head of the Marathas, Chhatrapati Pratapsingh of Satara, recorded his irritation at this. The Gaikwad, we read, was leading people astray" by offering them a reward" in return for marriage. They were all Marathas yes, but the Gaikwad was of a lower grade; and so must not aspire to highborn brides. Up north, one of the Scindias reportedly demanded a wife from Rajputs in Rampur. But even after he seized their territory, they refused to give him a daughter. Yet it was never altogether hopeless: there were always families fallen on hard times happy to marry down for remuneration. Then there was marriage as a funnel to powerbut also a check on it. In the 1890s, the maharajah of Travancore (uncle to the lady mentioned before) was living as a confirmed bachelor. His wife had died in childbirth a decade earlier. Newspaper gossip notes that a palace favourite, rudely called ICB (illiterate cook boy"), had been thwarting every proposal made since. He was happy to supply random women to his boss but feared that a proper wife would cut his influence. Unfortunately for the man, the maharajah fell in love with the spouse of a palace employee. The employee did his patriotic duty by divorcing the woman, who swiftly became royal consort. In return the ruler ennobled the palace servant. And soon this former husband of the maharajahs present wife" supplanted the ICB as the power behind the throne. He would also go on to become a corruptand correspondingly wealthyfigure. The ICB, meanwhile, was left with scraps. Yet at least this maharajah had married for love. Others wed out of duty. At the dawn of the 20th century, the Wadiyars of Mysore were keen to be recognised as bona fide Rajputs. The then queen mother launched a valiant campaign to obtain a daughter-in-law from one of the premier states of Rajputana. But the latter were reluctant to accept a Kannadiga family as their equals. In the end the young maharajah, Krishnaraja Wadiyar IVruler of one of the wealthiest principalities in the land, with millions of subjectshad to settle for a girl from a tiny estate in Kathiawar with less than 40,000 in revenue. It did not end well. For as some in the press warned, Rajputs were non-vegetarians, while the Wadiyars were vegetarian. Husband and his wife didnt even speak the same language. Five years later, the British were informed that the marriage was still unconsummated. Indeed, the maharajah and the Rajput princess never had any children. So much for mixing with the right" type. This is not to say love matches somehow worked out bettereven if, in such instances, women were treated as slightly better than just commodities of exchange. In the same period that the ruler of Mysore obeyed his familys wishes, the rajah of Pudukkottai was disobeying the British. They had trained him from childhood in a Westernised style, hoping he would be a good (read loyal) vassal and sufficiently progressive. He was indeed progressive. So much so that when he fell in love with an Australian he encountered in a hotel, he could not see why the British were so scandalised. But race trumped romance, and not just to the Raj. Yes, as a man the rajah was free to espouse whoever he wanted. As a ruler, however, his court and political overlords had a say in whether children born to Maharani Molly of Melbourne could be heirs to his title. (The answer was in the negative.) In the end, the rajah moved overseas, relinquished control of the state, and the next ruler was not his half-white sonthe appropriately named Prince Martanda Sydneybut an adoptee. But if power complicates marriage, it is not as if more regular folks have it easy. For, at its most granular level, sheer incompatibility can get in the way. Raja Ravi Varma is celebrated to this day for his art. In the late 19th century, though, as he pursued his career across India, his wife disapproved. Painting for money was not a dignified vocation in her aristocratic view, and his long absences were resented. Once, it is said, he returned from a trip, hoping to mollify his lady with a present. It was a Murano glass chandelier, which he got hung up while his wife was at the temple. On her return she noticed the peace offering. Coolly she asked for it to be brought down. And then fiery Mahaprabha had it thrown out of the window. One can understand her rage at this attempt to compensate for companionship with trinkets. Theirs was no fairytale marriage. Yes, Ravi Varma became famous. But his wife died young, already by her 30s reportedly addicted to drink". Slow poison some might call it. Manu S. Pillai is a historian and author, most recently, of False Allies: Indias Maharajahs in the Age of Ravi Varma. The chief of the Ansarullah Bangla Team (ABT), an al-Qaeda-affiliated terrorist outfit in Bangladesh, asked West Bengal Chief Minister Mamata Banerjee to free Bengal from clutches of Modi, and declare independence. The chief also threatened India, asking it to avoid any tussle with its neighbour Bangladesh. The ABT chief, Jashimuddin Rahmani, was recently released from prison by the Muhammad Yunus led interim government in Bangladesh. 'Will gouge eyes, cut hands if...' In a video message, he could be heard warning India and saying, If you look at Bangladesh, we will gouge eyes. If you stretch a hand, we will cut the hand, and if you take any step, we will break the leg He could be seen sitting on a hospital bed in the two-minute video. He claimed that Bangladesh was no other Sikkim, or Bhutan, but a country with 18 crore Muslims. I am warning IndiaBangladesh is not like Sikkim or Bhutan. It is a country of 18 crore MuslimsIf you take a step towards Bangladesh, we will tell China to close the chickens neck (Siliguri corridor). We will tell the Seven Sisters (Northeastern states)to join the freedom movement, said Rahmani. Also Read | No Durga Puja celebrations during azaan and namaz in Bangladesh 'Free Bengal from Modi' Jashimuddin Rahmani said he will tell West Bengal Chief Minister Mamata Banerjee to free Bengal from Modis rule and declare independence. Asking Kashmir to get ready for freedom, the ABT chief made a mention of Khalistanis as well. "I will tell the Sikhs that your time has come, now call for freedom; to those Sikhs who are Khalistanis, in every province of India, your time has come, he added. The pro-al Qaeda leader said Pakistan and Afghanistan will help Kashmir gain independence. He said, Tell Kashmir to get ready for freedomWe will work for the freedom of Kashmir." Tawhid will unite The Islamic radical also said that Bangladesh does not wish to have conflict with any country in the world, including India, China, Europe and the US, but will retaliate if they are challenged or neglected. Also Read | Sheikh Hasina returning to Bangladesh? War crimes tribunal to seek extradition If we are challenged, if we are neglected, if chaos is created in our country, then the Tawhid population of Bangladesh, just like it has risen against (Sheikh) Hasina, to protect the freedom of Bangladesh, to protect Islam in Bangladesh, the Tawhid will unite to face you in the field, said Jashimuddin Rahmani in the video. Jashimuddin Rahmani's arrest In 2013, Jashimuddin Rahmani was arrested on the charge of abetting the murder of blogger Rajib Haider. He was blamed for multiple such targeted killings of secular bloggers and journalists between 2013 and 2016, for which ABT claimed responsibility. Rahmani was released on August 27, 2024, after Muhammed Yunus lead the interim government in Bangladesh, following Sheikh Hasina's exit. A bank manager and a cashier tragically lost their lives when their SUV was engulfed by water in a flooded underpass in Faridabad. On Friday night, heavy rains affecting Delhi and the National Capital Region (NCR) had caused the underpass to flood. Police stated that Punyashreya Sharma, manager of the HDFC Bank branch in Sector 31, Gurugram, and Viraj Dwivedi, a cashier at the same branch, were driving home to Faridabad in a Mahindra XUV700. According to a PTI report, the XUV 700 entered the underpass, ignoring all warnings. Consequently, it got stuck in the deep floodwater, following which water gushed into the vehicle. Also Read | Delhi rains: IMD issues nowcast warning of wet spell in THESE regions across NCR According to a report by Free Press Journal, Sharma's wife tried calling her husband but was unable to reach him. As phones continued to be unreachable, Sharma's wife along with another person set out to find the manager and the cashier. When the SUV began to submerge, the two men had attempted to escape by swimming to safety but tragically drowned. Police officials reported that a team responded after being alerted about the SUV stuck in the underpass. While Sharma's body was recovered from the vehicle, Dwivedi's body was found only at 4 a.m. on Saturday following an extensive search. According to a report by PTI, the cashier Viraj Dwivedi had died on spot. Delhi rains: Second highest in a decade The India Meteorological Department (IMD) had issued an 'Orange' alert for Delhi and the National Capital Region (NCR) predicting heavy rainfall on Friday, September 13, 2024. Several parts of Delhi-NCR received light to moderate rain in the morning causing waterlogging and traffic snarls. Also Read | Delhi Rains IMD Orange Alert Live: Severe waterlogging reported Traffic jams and waterlogging affected various parts of the NCR including areas like Hero Honda Chowk, Rajiv Chowk, and IFFCO Chowk in Gurugram. IMD data indicates that Delhi has recorded over 1,000 mm of rainfall this month, the highest since 2021 and the second highest in at least a decade. Kolkata News: A 58-year-old rag picker was injured in a blast that occurred today at around 1.45 pm on the intersection of Blochmann Street and SN Banerjee Road in central Kolkata, officials said. Information was received at around 13.45 hours that at the crossing of Blochmann Street and S N Banerjee Road an incident of blast took place and one person, a rag picker was injured, informed Kolkata Police. According to reports, a plastic gunny bag was found at the scene. This was removed by the bomb disposal squad later. The ragpicker, identified as Bapi Das, was rushed to NRS Medical College and Hospital, but succumbed to his injuries during treatment. Accordingly, OC Taltala went there and learnt that injured was taken to Nil Ratan Sircar and has sustained injury on his right wrist. The area was cordoned with security tape and then Bomb Detection and Disposal Squads (BDDS) team was called. Accordingly, BDDS personnel reached, checked the bag and the vicinity. After their clearance, traffic was allowed, said Kolkata Police. Union Minister and West Bengal BJP President Sukanta Majumdar wrtes to Union Home Minister Amit Shah requesting a thorough investigation into the blast incident in Central Kolkata. "I urge you to consider a detailed investigation by the NIA or another central agency to ensure a thorough examination of all possible angles related to the incident," reads the letter. Meanwhile, in an effort to monitor the situation and ensure security, the Kolkata Police have installed CCTV cameras at the Junior doctor protest site in Kolkata's Salt Lake and surrounding areas. Earlier, TMC had claimed that Ultra-Left and Left organisartions were preparing to attack the protesting doctors. TMC leader Kunal Kunal Ghosh had posted the audio clip claiming an attack was being planned. Later the Kolkata Police took suo moto cognisance of the TMC claim and arrested two people. Also Read | Kolkata court turns down CBI request for narco test of rape accused Sanjay Roy The junior doctors continued their protest near Swasthya Bhawan in Kolkata, demanding justice and calling for enhanced safety measures at medical institutions, even after the Supreme Court set a deadline for doctors to resume work on Tuesday. Earlier on September 13, junior doctors in Kolkata criticised the West Bengal Chief Minister for rejecting their request to live telecast a meeting and for not meeting with them. They argued that their request was reasonable, given that parliamentary discussions and many administrative meetings are broadcast live. The doctors expressed frustration over being asked to leave electronic gadgets outside the meeting hall, which prevented them from recording the proceedings. A youth from Narayanpet in Telangana said "he was afraid" for his life as he recalled the time he spent at the Russia-Ukraine war front. "I am not afraid of dying anymore," he said. Mohammed Sufiyan, who recently returned to India from Russia, told the Indian Express that some youths once refused to work on the frontlines after Hemil Mangukiya from Gujarat was killed in a drone strike in February along with 23 Russian soldiers. "As a punishment, the officer in-charge there made us dig a trench and forced us to spend the night in it in freezing temperatures, without food and only a couple of bottles of water," Sufiyan said. Also Read | Russia releases 35 Indians from Army after PM Modis Moscow visit "We had been digging trenches, reloading guns, and lobbing grenades but we refused to do it anymore," he added. 'Job as a security guard' Sufiyan said he was convinced by an employment agent that he was applying for a job as a security guard at a Russian government office in Moscow or a helper at a government office, Indian Express reported. He recalled that when he had landed in Russia, he, among others, were given a document in Russian to sign on. "We were told it was a contract with the Russian government to work for a year for a salary of 1 lakh per month. However, a day later, we were taken to an army camp and told to start physical training and learn how to fire rifles," he said. Also Read | Ukraine pressed to think about a Plan B for war with Russia Sufiyan said the new recruits were made to fire AK17 and AK74 rifles as part of the training. "Then we were given two weeks of sniper rifle training. If anyone dared protest, officers fired bullets to the right and left of our legs," he added. He said, "...we were taken to the Russian border with Ukraine, and from there we advanced into Ukraine territory that Russia had taken over." 'Inhuman condition' Sufiyan said the conditions were inhuman at the Russia-Ukrine war front. "We were woken up at 6 am every day and made to work 15 hours straight with no rest or sleep," he was quoted by the Times of India as saying. "...Our hands were blistered, our backs ached and our spirits were broken. Yet, if we showed any signs of exhaustion, bullets were fired at us to force us back into the laborious tasks," Sufiyan said in a trembling voice. Sufian was reportedly among the six Indians enlisted with the Russian Army who were released from their camps on Russia-Ukraine border ahead of Prime Minister Narendra Modis meeting with President Vladimir Putin during the BRICS summit in Kazan next month. Rajasthan police on Saturday said they have arrested six people, including a father-son duo, for allegedly stripping a 12-year-old Dalit boy naked, forcing him to dance and recording the same in Kota after the minor was caught stealing wire from a comedy event. In the alleged video, the boy can be seen dancing to a song while four to five men sit around, forcing him to dance with a smile. The matter came to light after the Kota Police noticed the viral video online. The police located the victim and encouraged his family to file an official complaint against the accused. The victims father, in his complaint, said his son attended a comedy event on Friday night organized at a fair in GAD Circle. Between 1 to 4am, around four to five men assaulted his son, accusing him (the minor boy) of stealing a wire. He further alleged that the accused stripped his son naked and forced him to dance. They also recorded the same. According to reports, based on the complaint, the Kota police filed an FIR under relevant sections of the Bharatiya Nyaya Sanhita, Scheduled Castes and the Scheduled Tribes (Prevention of Atrocities) Act and Protection of Children from Sexual Offences Act (POCSO) against six individuals. The Kota police identified the arrested accused as Kshitij Gurjar (24) alias Bittu, Ashish Upadhyay alias Vikku (52), his son Yayati Upadhyay (24) alias Gungun, Gourav Soni (21), Sandeep Singh (30) alias Rahul Bannasha, and Sumit Kumar Sain (25). A juice vendor in Ghaziabad was arrested on Friday for serving drinks laced with urine to customers. The police launched an investigation following complaints from the public and found a plastic container filled with urine on the stall premises. A 15-year-old boy working at the stall has also been detained over the matter. The incident first came to light after some people noticed the shop owner mixing an yellowish liquid with the fruit juice. A huge crowd had soon gathered around the stall and some people began thrashing the vendor. Also Read | Delhi rains: IMD issues nowcast warning of wet spells in THESE regions Urine was being mixed in juice and given to customers in Ghaziabad. Police arrested the shop workers (sic). About one litre of urine was recovered from the shop. The public beat up both the accused, claimed the caption for the clip posted on X. ACP Ankur Vihar Bhaskar Verma told PTI on Friday that the police had conducted a search of the juice stall upon getting information. They recovered a plastic container filled with the urine and quizzed the stall owner about it. The accused identified as Aamir Khan had been unable to give any satisfactory reply. The police said further action is being taken in the matter. Also Read | Arvind Kejriwal walks out of Tihar after SC bail order in excise policy case The development comes mere months after a Telangana ice-cream vendor was arrested for masturbating and mixing semen into a container of falooda. Videos of his shocking behaviour had gone viral on social media and the vendor was handed over to the police after being caught red-handed by locals. Another revolting incident from last year had seen a man accidentally sip on a cup of urine after a Grubhub order went awry. The delivery agent later indicated that he had relieved himself in a cup while on his wayand accidentally handed over the wrong container. The incident took place in the US. When I started eating my meal upon delivery, I put a straw in my cup that was delivered and took a sip. I soon discovered that the cup delivered to me from the Grubhub driver was a warm cup of urine, the man had told a news outlet. According to the Hindu legends, after Indra is defeated by King Mahabali, the devas ultimately seek refuge in Vishnu, who agrees to restore Indra to power. To do so, Vishnu incarnates as a dwarf priest called Vamana and requests only three feet (steps) of land to build a fire-altar. Mahabali agrees. Vamana grows in size, and in three strides, encompasses all of the universe and beyond. The three worlds are restored to Indra, and Mahabali and the asuras are banished to the netherworld. However, witnessing Mahabali's love for his subjects, Vamana grants the king's sole wish to visit his kingdom once every year. Shanghai (Gasgoo)- On September 13, Benoit Jacob, a globally renown master of automotive design and a key figure in the electric vehicle (EV) industry, officially joined GAC Group as Global Executive Design Director of the GAC R&D Center. In this role, Jacob will work closely with Zhang Fan, Vice President of GAC R&D Center, to lead the company's design strategy and innovation efforts, aiming to elevate the competitiveness of the products under GAC Group's self-owned brands. GAC R&D Center; photo credit: GAC Group The automaker said, as one of the most influential designers in the automotive world, Jacob will bring a wealth of global experience and visionary design insights to GAC Group. His arrival is expected to inject new vitality into the design of GAC's new energy vehicles (NEVs), further enhancing their position in the global automotive market. Jacob's decision to join GAC stems from his belief in the potential of Chinese auto brands and his recognition of GAC's innovative approach to design. "Chinese auto brands are rising at an astonishing pace, gaining wide recognition globally. GAC Group is at the forefront of this transformation, and its dedication to design and technological innovation has deeply impressed me," Jacob commented. Expressing his excitement about the new role, Jacob said, "I am thrilled to join GAC Group. I've long been watching Chinese auto brands and have been captivated by GAC's vision. Together, we will create groundbreaking achievements and develop inspiring, forward-thinking products for global consumers." Photo credit: GAC Group Jacob is known for pioneering the concept of Smart Surfaces, an innovative design philosophy that redefines the aesthetics of electric vehicles, especially in an era where traditional grilles are no longer necessary. He has emphasized the need for innovative forms that convey a cars emotions through design, creating a visual language that resonates with users. In the age of intelligent driving, Jacob also highlights the changing demands of interior design. He believes that traditional design principles can no longer meet the expectations of users who desire more spacious and flexible interiors. Redefining luxury, Jacob views it as an experience crafted through digital technologies and smart features, rather than simply relying on premium materials. Jacob's approach is driving a revolution in automotive design, both inside and out, underscoring the importance of intelligent technologies and digital experiences as core elements for the future. Jacob started his journey at Renault in 1994, where he worked on iconic models like the Spider, Fiftie concept, and Laguna II. His career took him to Volkswagen in 2001, where he helped establish Audi's concept design studio in Munich, contributing to the design of vehicles such as the Audi R8, Volkswagen Passat, and Lamborghini models. In 2004, Jacob joined BMW, where he led several significant projects, including the BMW F30 3 Series, F32/F33 6 Series, and F07 5 Series GT. Notably, he was the lead designer for the BMW i3 and i8, models that set new standards for electric vehicles globally and positioned BMW as a leader in EV design. Jacob later became a key player in the broader EV revolution, holding leadership roles at Byton and NIO, where he continued to innovate and push the boundaries of design for electric vehicles. Scientists in India have developed a solar-powered plane that can fly up to 90 days at a time. A smaller version has already been flown successfully for 10 hours making India one of the only countries to create a functional High-Altitude Platform. The record-setting unmanned aircraft was created by the National Aerospace Laboratories in Bengaluru. HAPS are similar to drones but fly through the stratosphere (well above commercial planes) and can be used for several purposes from surveillance to beaming of 5G waves. According to reports, the only functional HAPS in the world at present is the Airbus Zephyr which has demonstrated a 64-day continuous flight in the Arizona desert. Also Read | OpenWebs CEO Nadav Shoval refuses to step down as board appoints new chief The niche technology was also showcased by a startup during the recent India Defense Aviation Exposition. The three day event was inaugurated by Defence Minister Rajnath Singh on September 12 and ran till today. HAPS also made it to the annual World Economic Forum list of top 10 emerging technologies earlier this year. The international think tank said these aircraft, blimps and balloons could be used to extend mobile network access to remote regions and help bridge the digital divide for over 2.6 billion people worldwide. Also Read | SpiceJet passengers fume as Darbhanga flight cancelled 5 mins before boarding Earlier in February, NAL scientists had achieved a breakthrough with the successful testing of a HAPS prototype in Challakere. The HAPS we tested in Challakere is a prototype. It's a scaled-down 5-metre-long system with 12-metre wingspan, weighing 23 kg. By 2027, we're confident of building a full-scale version that could have a wingspan of under 30m and weigh more than 100kg but less than 150kg. It will be able to carry payloads weighing 15kg and have multiple uses, chief scientist L Venkatakrishnan had told Times of India. Meanwhile a Bengaluru-based startup called New Space Research and Technologies has also created a similar prototype with 24-hour endurance. Also Read | Scientists create see-through mice using food dye found in Doritos chips Munirathna, the Bharatiya Janata Party (BJP) MLA from Karnataka, was arrested on Saturday for threatening, using casteist slurs against Bruhat Bengaluru Mahanagara Palike (BBMP) contractor. The arrest was made hours after the contractor met Karnataka Chief Minister Siddaramaiah and demanded legal action against the MLA. Cheluvaraju, the BBMP contractor, had also alleged that police were not taking any action even after the FIR was registered. Three others, including government officials, have also been named in the FIR. The MLA was arrested in Kolar, reported India Today. He was being brought to Bengaluru, after which arrest formalities would be completed. In the FIRs registered at the Vyalikaval police station, Cheluvaraju accused the MLA of demanding 30 lakh as a bribe and threatening to terminate his contract if he did not pay the amount. In his complaint, the contractor alleged that in 2021, Munirathna had demanded 20 lakh from him over a solid waste disposal contract. However, the civic body did not sanction him the vehicles despite making payment for getting 10 auto trippers for a waste management contract, reported PTI. The contractor, in the FIR, also alleged at the Karnataka MLA repeatedly harassed, abused and physically assaulted him. He further claimed that the MLA did not allow him to execute his work and had even slapped him in September 2023. Meanwhile, citing violation of party discipline, the state BJP has issued a show cause notice to Munirathna asking him to clarify allegations against him before the disciplinary committee within five days. Also Read | Siddaramaiah forms committee to review scams, including those during BJP govt Cheluvaraju also alleged that because of the repeated harassment, he even thought of ending his life, it said. The FIR was registered under SC and the ST (prevention of atrocities) Act and other sections of the Bharatiya Nyaya Sanhita, police added. Kolkata doctor rape case: As junior doctors continue their protest outside the Swashtya Bhawan (West Bengal Health Department) in Kolkata, Trinamool Congress (TMC) leader Kunal Ghosh has posted a clip claiming that Left and Ultra-left youth organisations were planning to attack them. The TMC leader further claimed that the conspiracy to attack the doctors, protesting the RG Kar Hospital doctor's rape and murder case, was being done to defame CM Mamata Banerjee-led West Bengal government. Ghosh also urged the Kolkata Police guarding the protest site outside Swasthya Bhawan be cordoned off for 'bohiragoto' (outsiders), a term frequently used by the TMC for protests against the Mamata Banerjee government. Meanwhile, the Kolkata Police, taking suo moto cognizance of Kunal Ghosh's claims arrested CPI(M) leader Kalatan Dasgupta, for allegedly hatching a conspiracy to attack the junior doctors outside the Swashtya Bhawan. Another person Sanjiv Das, was arrested from Haltu in south Kolkata on Friday night, reported news agency PTI. Mint could not independently verify the authenticity of the audio clips posted by Kunal Ghosh. Kunal Ghosh claims protesting docs will be 'attacked' Taking to X (formerly Twiter) TMC leader Kunal Ghosh posted, Terrible plot. A left youth organization and an ultra-left organization are set to attack the doctors' dharna in Salt Lake to embarrass the state government and @AITCofficial . Found in their sources. The police administration should immediately stop the entry of outsiders there.. The audio clip posted by Kunal Ghosh does not mention names, however, it starts with the generic ringback tone. Person 1: Hya (Yes?) Person 2: Bolchi 9.30 (At 9.30?) Salt Lake korabar jonyo (To do Salt Lake) Person 1: Order korle kore de (If you want to order, do it) Person 2: Shobai proshno korche (Everybody is questioning it) Person 1: Kara? (Who are?) Person 2: Jara ache. (Whoever is there) Person1: Jokhon boleche tokhon kichu ekta bhebei to boleche (When they have said it, they must have thought something) Person 2: Onko gulo milche na (The calculations are not matching) Person2: ami eto bochor ei kaaj korechi kintu amaar kokhono bhoy dor lagenai, kintu ekhon eta kora ta theek hobe? Era to loker jibon bachae (I have worked for some many years, I have never felt fear. However, I don't know if doing this now will be alright. These people save patient's lives) Person 1: Toke to fight to finish korte boleni (Nobody is asking you to 'fight to finish') Person 2: Chelera mawd kheye jae, kono ekta behaat jodi kichu hoe jae, shetao to chintar bishoe na? (Guys go there intoxicated. What if something untoward happens? That is a thought to ponder upon) Person 1: Sheta oke bol j amaar erokom mone hochche ki korbo, Bappa da ki personal jigyasa koreche? (Tell him that you are apprehensive about this. Did Bappa ask you to do this personally?) Person 2: Nabanna meeting hoyni, bolchi pherot chole asche. Shashok (ruling government) oder mereche (Nabanna meeting did not happen, that is why they were returning. The TMC government attacked them) Person 2: Ki bollam bujhle? (Did you understand what am saying?) Person 1: Hya ami bujhechi (Yes, I did) Person2: Puro dosh ta diye aaro okaloti pakano jabe (By attributing the entire blame to them, we can create a bigger stir) Kolkata rape case: Junior doctors protest The medics have been camping outside Swasthya Bhawan, the headquarters of the state Health Department, since Tuesday with a host of demands, including better security at state-run hospitals and the removal of top officials over the rape and murder of the doctor. Kolkata doctor rape case: Thirty protesting doctors reached West Bengal Chief Minister Mamata Banerjee's Kalighat residence in Kolkata on Saturday evening. They waited in rain for over an hour as impasse continued over live streaming. The protesting doctors had brought their own videographer to record the meeting, but they were not allowed to take the two videograpghers inside Mamata Banerjee's residence. The development comes mere hours after CM Mamata Banerjee paid a surprise visit to the protest site and urged the doctors to resume work. The agitating medicos had subsequently sent a mail to the state government expressing their wish to hold talks. It remains undecided whether the protesting doctors will return to their protest site in Salt Lake if the West Bengal Chief Minister does not allow live streaming or videography. The protesting doctors claimed they had requested Chief Minister Mamata Banerjee to hold the meeting at their protest site near Swasthya Bhawan when she visited earlier in the day. However, the Bengal CM responded that she had come to see them not in her official capacity, but as their didi (elder sister). The delegation comprising around 30 medics arrived by bus around 45 minutes past the scheduled time for the meeting. All the doctors were allowed into the CMs residence despite repeated insistence that only 15 individuals were being invited to attend the meeting. What are the protesting doctors' demands? The protesting medics have demanded accountability and punishment for those behind the rape-murder and "destruction" of evidence. They have sought strict action against the former medical college principal Sandip Ghosh and the resignation of Kolkata Police Commissioner Vineet Goyal and Health Secretary Narayan Swaroop Nigam. The junior doctors have also called for improved security for healthcare workers and the eradication of the "threat culture" in government healthcare institutions. "We are willing to hold a meeting with the chief minister anywhere and anytime to resolve the impasse. We welcome her visit to us this afternoon. This is a very positive move. We have sent a mail to the state government," one of the agitating doctors told PTI in the afternoon. Chief Secretary Manoj Pant had issued a fresh invite to the protestors on Saturday evening scheduling a meeting at the CM's residence with 15 representatives of the junior doctors. Meanwhile the parents of the victim have also called for Banerjee to accept the protesters' demands. We want the CM to accept the 5-point demands of junior doctors and find a solution. I see that the administration, police administration, health department all are at fault. The junior doctors are suffering a lot, we want a solution as soon as possible by talking to them and accepting their demands, the victim's mother told ANI. Meerut police on Saturday said a three-storey building collapsed at Zakir Colony in Lohia Nagar area, several people are feared trapped amid heavy rains, rescue operation underway. Selva Kumari J, Commissioner Meerut Division said, So far a total of 6 people have been rescued and sent to hospital. 2-3 more people are feared trapped. Rescue operation is underway... The authorities said that the NDRF team has reached the site and joined the rescue operation. Earlier the Meerut Divisional Commissioner had informed that eight to ten people are feared trapped inside the debris. The fire department and police are involved in the rescue operation. "Army has been called in. The SDRF and the NDRF have also been informed," the Meerut Division Commissioner added. According to media reports, no casualties have been reported so far. Teams involved in rescue personnel suspect that the building may have collapsed due to the rain. India Today reported that Chief Minister Yogi Adityanath has take a note of the incident and instructed the rescue teams to speed up the relief work. The Chief Minister has also directed the district officials to ensure proper treatment for the injured. Earlier on September 7, eight people were killed and 28 others were injured when a three-storey building housing godowns and a motor workshop collapsed in the Transport Nagar area of state capital Lucknow. The Lucknow Police said the building was constructed around four years ago and some construction work was underway. Most of the victims were working on the ground floor when the incident occurred at 4:45 pm. According to the officials, the building had a motor workshop and warehouse on the ground floor, a medical godown on the first floor and a cutlery warehouse on the second floor. Currently, 11 districts in the state are affected by floods. So far, 17 people have died due to floods. Similar to how his profession demands, External Affairs Minister S. Jaishankar said how he had a unique perspective of both sides while handling the 1999 Kandahar hijack. The minister revealed that his father K. Subrahmanyam was also on the same flight. The External Affairs Minister was addressing a community event in Geneva about the recently released television series on the hijacking of IC-814 in 1999. Jaishankar's interesting response came while responding to a question about the series, which had portrayed the bureaucracy and the government in poor light, according to the questioner. S. Jaishankar reflected on the harrowing 1999 hijacking of Indian Airlines flight IC 814, on which director Anubhav Sinha has based the Netflix series IC 814 - The Kandahar Hijack. Jaishankar shared a personal account of his experience during the crisis, revealing that he discovered his father was aboard the hijacked flight four hours into the ordeal. At the time, Jaishankar was a young IFS officer and part of the team that was responding to the hijacking. He described the emotional turmoil of balancing his official duties with personal concerns, noting, "My wife was working and away, so I could not be with my son, who was just a few months old. I had to call my mother to explain that I couldnt come home to care for my baby due to the hijacking situation." Also Read | HC summons Netflix over copyright infringement in IC 814: The Kandahar Hijack Jaishankar, who has served as India's foreign minister since 2019, recounted how he was uniquely positioned to understand both the governmental and personal dimensions of the crisis. "I was involved in managing the hijacking response while also being a family member advocating for government action," he said, reflecting on his dual role during the crisis. Who was K. Subrahmanyam On August 24, 1984, Indian Airlines Flight 421 was hijacked by seven members of the banned All India Sikh Students Federation. Minister S. Jaishankar's father Krishnaswamy Subrahmanyam, who is regarded as one of the pioneers of realpolitik in India, was also on the flight. According to a report by India Today, the hijackers had decided to kill K. Subrahmanyam first, as they had decided to kill one passenger every half an hour. However, following diplomatic talks, all the passengers were rescued in the hijacking crisis that lasted for 36 hours. I was involved in managing the hijacking response while also being a family member advocating for government action. In the years that followed, K Subrahmanyam was instrumental in shaping India's nuclear policy and security strategy. As the Convenor of India's inaugural National Security Council Advisory Board, he crafted the nation's nuclear doctrine, which underscored a "no first use" policy and a second-strike capability. More than 100 people including dozens of children fell sick this week after consuming biryani at a DMK meeting. The incident took place on Friday following a general meeting for party members and distribution of welfare items in Madurai. According to an India Today report, biryani was served to people following the event. Some also opted to take food home to share with friends and family. Symptoms such as vomiting and nausea soon surfaced among the attendees with many being ferried to nearby hospitals by ambulances. The Thirumangalam Police have since launched an investigation into the matter. The publication quoted hospital sources to indicate that the attendees had suffered food poisoning after consuming stale food. The development comes mere weeks after three children died and several others were hospitalised due to food poisoning in Andhra Pradesh. The incident took place in mid-August at a hostel in Anakapalli district that housed nearly 90 children. Authorities said 27 children had fallen ill after eating the poisonous food with at least seven being shifted to the Visakhapatnam KGH in a critical condition. Three people died while being shifted to Narsipatnam Area Hospital for better treatment. According to the Anakapalli Collector, biryani was served to the children staying in the hostel. As soon as the children started vomiting after eating this biryani, the organisers immediately informed their parents. Parents came and took the children and left. Also Read | UP school principal expels Class 3 student for bringing biryani Another incident in August saw more than 30 children fall ill in Bihar after consuming filariasis medicine. The children were hurriedly admitted to the Ara Sadar Hospital for treatment. The medicine had been given to children as part of a health campaign organised in the school. Days earlier, more than 120 people in Uttar Pradesh, including women and children, had been hospitalised due to food poisoning after consuming items made from buckwheat flour. The CBI has arrested former RG Kar Medical College principal Sandip Ghosh and a Kolkata police officer in connection with its ongoing rape-murder probe. The development came even as junior doctors continued their protests and a meeting with Chief Minister Mamata Banerjee failed yet again on Saturday evening. The Central Bureau of Investigation added rape-murder charges against the already-detained Ghosh on Saturday and nabbed Abhijeet Mondol the Tala police station officer in-charge. The arrests were made over delays in the registration of FIR and missing evidence in RG Kar rape probe. The trainee doctor was discovered dead in the morning with her parents filing a complaint by 6:00 pm on August 9. However it was only around 11:45 pm (after the post mortem was done) that the FIR was registered. The probe agency had also told the Supreme Court earlier that the place of occurrence had been altered. An on-duty doctor was raped and murdered in state-run RG Kar Medical College and Hospital last month, sparking pan-India protests. Ghosh then the principal of the institute has been accused of aiding the destruction of key evidence by ordering a demolition drive soon after the murder. The ousted official has also been booked by the Enforcement Directorate in a money laundering case that took cognisance of a CBI FIR. Meanwhile Tala Police Station has been accused of involvement in chronological discrepancies. The CBI said that Mondal had tried to suppress information and played a role in destruction of evidence and in misleading investigation. The probe agency said that the official who has been quizzed eight times by the CBI had delivered inconsistent statements. The arrests were hailed by protesting junior doctors who have sought strict action against Ghosh. We have been demanding the arrest of the former principal of the college Sandip Ghosh and Abhijit Mondal, officer-in-charge of Tala police station for their involvement in tampering with the evidence. We are very happy as the CBI has arrested them. CBI should arrest others also who have tampered with the evidence, a junior doctor told ANI. In today's top news, we have Prime Minister Narendra Modi campaigning for elections in Haryana and Jammu and Kashmir; Zaggle Prepaid Ocean Services IPO will open for subscription; PM Modi will lay foundation stone of projects over 50,000 crore in MP and Chhattisgarh; NASA's annual Observe the Moon Night and more. Here are Top 5 news of the Day: 1. Zaggle Prepaid Ocean Services IPO to open for subscription Zaggle Prepaid Ocean Services Ltd is all set to open for subscription on Thursday. The three-day long initial public offering (IPO) will conclude on September 18. The bidding for anchor investors will open on September 13. It will open for retail investors on September 14, said the red herring prospectus (RHP) filed on Friday. Read more here 2. PM Modi to address election rallies J-K's Doda, Haryana's Kurukshetra Prime Minister Narendra Modi will address his first rally for the Haryana assembly elections in Kurukshetra on September 14. The prime minister will address a rally at Kurukshetra's Theme Park, news agency PTI reported. He will also hit the poll campaign trail in support of his party candidates on Saturday by addressing a mega rally in Jammu and Kashmir's Doda district, amid tight security arrangements. "This will be a significant event as it marks the first visit of any Prime Minister to Doda in 42 years," said G Kishan Reddy, Union Coal and Mines Minister and BJP election in-charge for Jammu & Kashmir. Polling in Haryana will take place on October 5. Meanwhile, the first phase of polling in Jammu and Kashmir will be held on September 18. The votes will be counted on October 8. 3. PM Modi to lay foundation stone of projects over 50,000 crore in MP, Chattisgarh Prime Minister Narendra Modi will visit Madhya Pradesh and Chhattisgarh on Thursday to launch a slew of projects in the two states. His office said in a statement that he will lay the foundation stone of projects worth over 50,700 crore in Madhya Pradesh from an event in Bina in the state. Read more here 4. NASA's annual Observe the Moon Night The International Observe the Moon Night is being celebrated on 14 September this year when Moon enthusiasts and curious people worldwide come together to witness celestial observations and honour cultural and personal connections to the moon. Read more here Also Read | 7 starry images of our cosmic neighbors shared by NASA Vehicular movement was affected at several locations along the Badrinath National Highway in Uttarakhand amid rains, on Saturday. The landslide blocked roads at Barrage Kunj, Sonala, Nandprayag and Lambagad, reported ANI. Due to the heavy rainfall in the district, landslides are occurring at many places on the Badrinath National Highway, due to which the road is getting blocked repeatedly, ANI quoted Chamoli Police's statement. Also Read | IMD issues red alert for torrential rainfall in Uttarakhand Police said that travellers were being stopped at safe places by Chamoli Police for safety. Whereas, the water level of River Ganga has also crossed the warning line in Rishikesh. Warning level is 293 cms and currently the river is at 293.15 cms. The danger level is 294 cms. In view of the increasing level of the river, an alert has been sounded and the district administration has issued instructions to observe precaution. Chief Minister Pushkar Singh Dhami has instructed State Disaster Response Force (SDRF) teams and District Magistrates to remain on high alert due to heavy rainfall. The Chief Minister also asked residents to avoid unnecessary travel during that time. The India Meteorological Department (IMD) has issued a red alert for several southern districts of Uttarakhand and an orange alert for other parts. According to the IMD. Isolated heavy rainfall very likely over Uttarakhand on September 14, said the weather department. In Nainital district, continuous rain has closed roads, including the Haldwani-Sitarganj road, due to water overflow in the Shernala area. Travellers have been advised to take alternative routes and avoid travel until conditions improve. Rain Impact/Advisory: The minor to medium landslide, rock fall and mudslides very likely to occur at a few places in hills of Uttarakhand causing blocking/washout of roads/highways/bridges. With Gasgoo Daily, we will offer daily important automotive news in China. For those we have reported, the title of the piece will include a hyperlink, which will provide detailed information. Geely kicks off pre-sale of Xingyuan all-electric car model On Sept. 14, Geely Auto officially opened pre-sales for its new pure electric A0-segment sedan, the Xingyuan (translating to starwish). The model, which comes in five variants, is priced between 78,800 yuan and 107,800 yuan in pre-sale, making it an attractive option for budget-conscious consumers looking to switch to electric mobility. Photo credit: Geely 10,000th WEY all-new Blue Mountain rolls off line On September 12, the 10,000th unit of the all-new Blue Mountain, a six-seater flagship SUV under Great Wall Motors WEY brand, officially rolled off the production line. The model hit the market on August 21. BYD's DENZA delivers 200,000th DENZA D9 MPV DENZA, the premium car brand majority-owned by BYD, recently delivered the 200,000th unit of the DENZA D9 new energy premium MPV at BYDs global headquarters in Shenzhen. XPENG launches S5 ultra-fast charging stations in 4 cities On September 13, XPENG's new-generation S5 ultra-fast charging stations officially came online in four cities, namely, Shanghai, Tianjin, Zhengzhou, and Wuhan, according to XPENG's press release. ReachAuto allies with HighTec to develop automotive software solution On September 13, ReachAuto, the automotive software arm of Neusoft, signed a strategic cooperation agreement with open source compiler vendor HighTec, aiming to leverage their respective expertise in automotive foundational software, embedded development tools, and functional safety to advance high-performance automotive software platform products and solutions. GAC Group's GOVE flying car granted special flight permit by CAAC On September 11, GAC Group's flying car model, GOVE, was granted a special flight permit by the CAAC (Civil Aviation Administration of China) Central and Southern Regional Administration, marking GOVE's progress in airworthiness certification and commercialization, according to a post on GAC Group's WeChat account. NETA Auto's Indonesia plant rolls off first NETA X vehicle On September 13, NETA Auto announced that the first NETA X vehicle manufactured at its eco-smart factory in Indonesia rolled off the production line. This marks the second model produced at the Indonesia plant, following the NETA V-II, and the second vehicle NETA has manufactured overseas. Renowned EV designer Benoit Jacob joins GAC R&D Center as global executive design director On September 13, Benoit Jacob, a globally renown master of automotive design and a key figure in the electric vehicle (EV) industry, officially joined GAC Group as Global Executive Design Director of the GAC R&D Center. In this role, Jacob will work closely with Zhang Fan, Vice President of GAC R&D Center, to lead the company's design strategy and innovation efforts, aiming to elevate the competitiveness of the products under GAC Group's self-owned brands. NavInfo, QCraft deepen partnership in intelligent driving field On September 14, at the 2024 China International Fair for Trade in Services (CIFTIS), NavInfo and QCraft signed an agreement to deepen their strategic cooperation. The partnership will leverage both companies' strengths in the intelligent vehicle sector to advance mid- to high-level intelligent driving systems. Dongfeng Motor inaugurates Peru office Dongfeng Motor's global business arm, China Dongfeng Motor Industry Imp. & Exp. Company, recently held an inauguration ceremony for its Peru office in Santiago de Surco, Lima, marking a significant step in the Chinese automaker's expansion into the South American market. VOYAH COURAGE global edition debuts at Salone Auto Torino 2024 On September 13, the VOYAH COURAGE, the global version of VOYAH's high-end intelligent EV model known as "Zhiyin" in China, made its debut at the Salone Auto Torino 2024. Chery starts presale of 2025 Tiggo 9, Tiggo 9 C-DM flagship models On September 14, Chery Auto officially began presales for its new flagship models, the 2025 Tiggo 9 and Tiggo 9 C-DM, with starting prices of 155,900 yuan and 169,900 yuan, respectively. The week was packed with political events, from the US presidential debates to other significant political happenings. Here are the top news stories from September 7 to September 13. Take a look: UAE Crown Prince's visit to India State-run entities of India and UAE on Monday signed pacts in the energy space during the visit of the Crown Prince of Abu Dhabi, Sheikh Khaled bin Mohamed bin Zayed Al Nahyan, to New Delhi. The two countries signed five agreements including a long-term LNG supply contract between Abu Dhabi National Oil Company (ADNOC) and Indian Oil Corporation Ltd and a memorandum of understanding (MoU) on nuclear cooperation between Nuclear Power Corporation of India Ltd and Emirates Nuclear Energy Corp NSA Ajit Doval briefs Putin on Modi's Kyiv visit National Security Advisor Ajit Doval on Thursday briefed Russian President Vladmir Putin on Prime Minister Narendra Modi's talks with Ukrainian President Volodymyr Zelenskyy in Kyiv amid renewed efforts to find a peaceful solution to the Ukraine conflict, PTI reported. Doval met Putin at the Konstantinovsky Palace in St Petersburg on the margins of a conclave of the (Brazil-Russia-India-China-South Africa) grouping. "As the prime minister told you during a telephone talk that he was keen to brief you about his visit to Ukraine and his meeting with President Zelenskyy. He (PM Modi) wanted me to come and personally brief you about the talks," Doval told the Russian President. Bangladesh bans Padma hilsa export to India ahead of Durga Puja With barely a month left the 10-day-long Durga Puja to begin, the auspicious festival is most likely to put a big hole in common people's pockets of Bengalis in West Bengal. This is simply because of one prime reason: the military-backed interim government of Bangladesh's ban on the export of hilsa (ilish) fish to India. Due to this, there would be a huge shortage of the celebrated fish in India, and the prices are bound to exceed expectations. Read here. Haryana Assembly Polls: BJP fields Captain Yogesh Bairagi against Vinesh Phogat The BJP released its second list of candidates for the upcoming elections on Tuesday, September 10, 2024. The party has fielded Captain Yogesh Bairagi against Congress candidate Vinesh Phogat from the Julana Assembly seat. The 90 seats of Haryana are voting in single phase on October 5. The votes will be counted on October 8. Read here. J&K news: 4 Jaish-e-Mohammed terrorists trapped after gunfight with security in Udhampur An incident of gunfight came to the limelight on Wednesday where security forces and terrorists in the Basantgarh area of Udhampur in Jammu and Kashmir are facing each other, reported Hindustan Times, adding the encounter is currently underway in the Basantgarh area. According to details, four heavily armed terrorists from the Jaish-e-Mohammed (JeM) group have been trapped in the area. Read here. CPI(M) General Secretary Sitaram Yechury passes away at 72, family donates body to AIIMS Veteran CPI(M) leader Sitaram Yechury passed away on Thursday at the age of 72 after a prolonged illness. The senior politician had remained in a critical condition for the past few days after being admitted to AIIMS New Delhi on August 19 for treatment of a pneumonia-like chest infection. Arvind Kejriwal verdict: SC grants bail to Delhi CM in excise policy case, AAP chief to walk out of jail The Supreme Court granted bail to Delhi Chief Minister Arvind Kejriwal in connection with the excise policy case. In a plea, Kejriwal had sought bail and questioned his arrest by the Central Bureau of Investigation (CBI) in the excise policy case. While granting him bail in the case, the Supreme court said Kejriwal satisfies the triple condition for the grant of bail and we order accordingly. Read here. US Presidential Polls: Kamala Harris vs Donald Trump debate During a heated televised debate on Wednesday, Republican US presidential candidate Donald Trump labelled Vice President Kamala Harris as a Marxist in their first face-off. She's a Marxist. Everybody knows she's a Marxist. Her father is a Marxist professor in economics, and he taught her well, he said of his Democratic rival. Read here. A Bengaluru municipal corporation contractor filed a police complaint on Friday after being threatened by a BJP MLA. The individual identified as Chaluvaraju has accused the Rajarajeshwari lawmaker of issuing death threats and using abusive language. The incident has sparked outrage in the Congress ranks with former MP DK Suresh demanding an apology from the BJP. What happened to Renukaswamy will happen to you, Chaluvaraju recalled BJP MLA Munirathna telling him. The Bruhat Bengaluru Mahanagara Palike contractor claimed the MLA had demanded a commission of 20 lakh for a contract. Munirathna had refused his offer of 1 lakh and levelled death threats against the official. An audio clip of their purported interaction has also gone viral in the ensuing hours showing Munirathna using abusive language and threatening a Dalit person, the contractor, and his wife. In an audio, he can be heard asking about the money and he has also threatened the contractor. I demand that the BJP and the NDA should dismiss this leader (Munirathna) immediately. He has insulted the Dalit community and a mother. Suo-moto case must be registered against him and action must be taken immediately. BJP must also issue an apology, said Congress leader DK Suresh. Two FIRs have been registered at the Vyalikaval police station against the BJP MLA and former minister. Ahead of assembly polls in Jammu and Kashmir, Prime Minister Narendra Modi on Saturday said that he will repay the love received from the citizens of the country by working hard. PM Modi during his first rally in the election-bound state on September 14 in Doda said, I will repay this love and blessings of yours by working twice and thrice as hard for you and the country. Together we will build a safe and prosperous Jammu and Kashmir and this is Modi's guarantee, reported ANI. Given below are top quotes from his speech: Political dynasties projected their children, did not let new leadership grow. We focused on carving out young leadership in Jammu and Kashmir soon after we came to power at Centre in 2014, reported PTI. There is fight between new leadership and dynasties of Congress, PDP and NC; these dynasties destroyed Jammu and Kashmir. Terrorism breathing its last in Jammu and Kashmir, reported PTI. Want Jammu and Kashmir children to move ahead, BJP has announced Prem Nath Dogra scheme for this. You remember that time when an undeclared curfew was imposed here as the day ended...The situation was such that even the Home Minister of the Congress government at the Centre was afraid of going to Lal Chowk.Terrorism is taking its last breath in Jammu and Kashmir now. This time's assembly election in Jammu and Kashmir is between three families and the youth of Jammu and Kashmir. One family belongs to Congress, one family belongs to the National Conference and one family belongs to PDP...What these three families have done to you people in Jammu and Kashmir is no less than a sin. PM Modi said that he wants every child in Jammu and Kashmir to have access to good education. He suggested that over the past few years, the BJP govt has inaugurated various schools and colleges in the state for the betterment of the youth, reported ANI. The long-standing demand for a medical college in Doda was also fulfilled recently by the BJP government, reported ANI. These remarks come days before the first phase of elections to 8 Assembly seats across three districts. On 18 September, Doda, Kishtwar, and Ramban districts will go to polls in the first phase. On September 25, second round of polling will be conducted. On October 1, as many as 40 seats of the 90-member J-K assembly will vote in the third phase of polling. Meanwhile, vote counting will take place on October 8. (Adds SalMar, Grieg Seafood comment in paragraphs 8-9) By Foo Yun Chee BRUSSELS, Sept 13 (Reuters) - Grieg Seafood, SalMar and other Norwegian salmon producers will challenge EU charges of taking part in a cartel and fixing prices at a hearing in Brussels next week, the companies and three people with direct knowledge of the matter said. The closed-door hearing in front of senior antitrust officials from the European Commission and national watchdogs and possibly third parties comes eight months after the companies were hit with a statement of objections or charge sheet under antitrust legislation. The EU executive, which acts as the EU competition enforcer, declined to comment. In its charge sheet, it said Mowi, Mitsubishi Corp's Cermaq, Grieg Seafood, Bremnes, Leroy , and SalMar exchanged commercially sensitive information such as sales prices and production volumes between 2011 and 2019. It said this only related to sales on the spot market of Norwegian farmed Atlantic salmon into the EU and not sales based on long-term contracts. The alleged conduct concerns sales of fresh, whole and gutted Atlantic salmon farmed in Norway, which accounts for nearly 80% of all farmed Atlantic salmon exported from Norway. The companies were raided by the EU watchdog in 2019. Norway accounts for over half of the production of farmed Atlantic salmon worldwide and the EU is its main importer. Salmar said it strongly disagreed with the Commission's preliminary assessment and would present its views on this at the hearing. Grieg Seafood confirmed it would attend the hearing and denied any antitrust infringements or anti-competitive behaviour by it or its subsidiaries. Mowi declined to comment. The other companies did not respond to requests for comment. They all risk fines as much as 10% of their global turnover for breaching EU antitrust rules. The six companies agreed to pay $85 million to resolve antitrust claims from salmon purchasers in 2022. Earlier this year, a group of UK retailers said they planned to seek damages. MADRID, Sept 14 (Reuters) - Spain has asked Venezuela for information about reports on Saturday that two Spaniards, three US citizens and a Czech have been arrested on suspicion of links to an alleged plan to destabilize the South American country, a Spanish foreign ministry source said. Spanish media quoted Venezuelan Interior Minister Diosdado Cabello as saying the six were arrested on suspicion of planning to "generate violence" and "destabilize" Venezuela. "The Spanish embassy has sent a verbal note to the Venezuelan government asking for access to the detained citizens in order to verify their identities and their nationality and in order to know what they are accused of exactly," a Spanish foreign ministry source told Reuters. The Spanish nationals were detained in Puerto Ayacucho, Spanish media quoted the minister as telling a press conference, adding that about 400 rifles originating in the United States had been seized. The Spanish citizens were accused of belonging to the Spanish secret service. Spanish media reported Madrid denied this claim. The arrests came after the Venezuelan government recalled its ambassador to Spain this week for consultations and summoned the Spanish ambassador to appear at the foreign ministry, escalating diplomatic tensions following a disputed presidential election. Caracas recalled its ambassador to Madrid and summoned Spain's envoy to Venezuela for talks after a Spanish minister accused Maduro of running a "dictatorship." Venezuela was also angered by the decision of Spanish Prime Minister Pedro Sanchez to meet with Venezuelan opposition candidate Edmundo Gonzalez Urrutia, who went into exile in Spain last week after being threatened with arrest by Maduro's regime. Amid a growing number of employees exiting, Meghan Markle and Prince Harry are finding it difficult to run their newly founded startup. The two have emerged as tough bosses whose demanding and spoiled behaviour has resulted in multiple exits from their company, reported InTouch magazine, citing sources. The Duke and Dutchess of Sussex are the toughest of taskmasters and are incredibly difficult bosses to work for, an insider told InTouch magazine. Theyre incredibly difficult to work for. The numbers dont lie. To have almost 20 staffers quitting tells its own story. Its unprecedented, even for a startup, the American magazine quoted an insider source as saying. The latest report shedding light on Meghan's toxic work attitude surfaced nearly a month after their new chief of staff, Josh Kettler, suddenly stepped down. The exit came nearly three months after he joined the company and days before he was scheduled to join Prince Harry and Meghan Markle on their royal tour to Columbia. Meghan accused of bullying staff as Royal member This is not the first time when Meghan Markle has been labelled as a tough boss as she was also accused of bullying her staff during her time with the Royal family. However, the American actress has denied these allegations and termed them a calculated smear campaign. According to InTouch, the Dutchess of Sussex was "accused of bullying during her brief time in the palace. Queen Elizabeth had also ordered a formal investigation at that time, but the investigation outcome was never officially cleared as the probe was supposed to be kept secret. During her time with the Royal family, Meghan Markle had developed a reputation for having a high-and-mightly attitude and refusing to take advice from anyone, who alienated people, reported InTouch. Prince Harry, the Duke of Sussex, who turns 40 on Sunday, plans to celebrate the milestone privately with his wife Meghan Markle and their two young children, Prince Archie, five, and Princess Lilibet, three, at their home in Montecito. Following the family celebration, he is expected to go on a 'lads' getaway with some close friends, while Meghan, 43, will stay behind to look after the children, The Mirror reported. In a recent statement given to BBC News, Harry said, I was anxious about 30, I'm excited about 40. [...] Whatever the age, my mission is to continue showing up and doing good in the world. For Harrys 30th birthday, William and Kate had arranged an intimate dinner with royal cousins Princesses Beatrice, Eugenie, and Zara Tindall, along with close friends, to be held at Kensington Palace. However, Princess Kate, who was pregnant with her second child, Princess Charlotte, was dealing with Hyperemesis gravidarum (HG), a severe form of morning sickness. Given that Kate, whom Harry referred to as 'the sister I've never had' in his memoir Spare, was unwell, Harry chose to postpone the celebration to ensure she could be present. At the time, a source told the Mirror: Harry was in no doubt that the party should be postponed, even though 30 is an important milestone. Kate had been very involved in organising Harry's party, and once she fell ill he felt it wrong to let the event go ahead. According to another report in Vanity Fair, however, the party later went ahead. The United States Department of State accused Russian state media of playing a key role in carrying out covert influence activities, aimed at undermining the US elections and democracy, at a press conference on Friday(local time). RT, which was previously known as Russia Today, the state handled media, had moved beyond being simply a media outlet and has been an entity with cyber capabilities, read the US Secretary of State, Antony J. Blinken's statement. Also Read | Russia releases 35 Indians from Army after PM Modis Moscow visit The US State Department's further announced that it is launching a diplomatic campaign to warn countries about the dangers posed by RT's activities. They also revealed declassified U.S. intelligence indicating that RT is fully integrated with Russia's global intelligence operations. We took these steps based on our conclusion that Rossiya Segodnya and these five subsidiaries are no longer merely firehoses of Russian Government propaganda and disinformation; they are engaged in covert influence activities aimed at undermining American elections and democracies, functioning like a de facto arm of Russias intelligence apparatus, Blinken said. RT managed crowdfunding Blinken further claimed that RTs leadership managed an online crowdfunding initiative aimed at supplying military equipment to Russian forces in Ukraine, alongside their covert influence activities. He stated that, through this campaign, Russian combat units in Ukraine received items such as "sniper rifles, suppressors, body armor, night vision equipment, drones, radio equipment, personal weapon sights, and diesel generators." Also Read | US and UK Press Ukraine Before Allowing Russia Strikes The US State Secretary further accused Kremlin backed outlets of 'meddling' with the sovereign affairs of countries around the world. Antony J. Blinken said RTs leadership has leveraged Russian state-funded and directed media platforms to attempt to foment unrest in Moldova likely with the aim of causing protests to turn violent." He further hinted that RT was likely to coordinate with Russian intelligence services to try to manipulate the outcome of Moldovas upcoming elections in October 2024. US Russia relations The U.S. announcement comes against the backdrop of years of tense relations with Russia due to its invasion of Ukraine. Since the conflict began in 2022, President Joe Biden's administrationknown for its strong criticism of Russian President Vladimir Putinhas imposed multiple sanctions on Russian individuals and entities. Also Read | Ukraine pressed to think about a Plan B for war with Russia On September 5, 2024, the U.S. Justice Department unveiled a series of measures aimed at countering Russian interference in the upcoming November election, including criminal charges against two RT employees alleged to be involved in a Moscow-directed influence operation. NASA-Starliner astronauts Sunita Williams and Butch Wilmore spoke about their space station mission for the first time after the Starliner spacecraft successfully returned to Earth earlier in September. In a video press conference late Friday, Sunita Williams said she is loving her time up in space, while Wilmore said there have been "some trying times" since the start of the mission in space. He also explained why Starliner returned to Earth without him and Sunita Williams. Sunita Williams and Butch Wilmore are still in space. The duo went up to space on Boeing's Starliner spacecraft Calypso but will come back home on a SpaceX craft in February 2025. Starliner, which faced technical issues during its spaceflight, landed back on Earth on September 7. Here are the top quotes from Sunita Williams and Butch Wilmore's media interaction on Friday: 1. 'Trying times': Wilmore said, "It's quite an evolution over the last three months. We have been involved from the beginning in all the processes of assessing our spacecraft Calypso, and it was trying at times. There were some tough times all the way through. 2. 'We found some things': Butch explained why Starliner left the International Space Station without him and Sunita Williams. In this case, we found some things we could just not get comfortable with putting us back in the Starliner when we have other options....we were very fortunate that we had space station and that we had other options to stay and come back in different way if that's what the data showed. Also Read | NASA reassigns astronauts for SpaceX Crew-9 Mission ahead of September launch 3. 'We ran out of time': Butch said he believes they could have got to the point where they could have returned on Starliner, but "we simply ran out of time" because there were tests and assessments that needed to be done. He said officials had to make the decision on a timeline as the Starliner mission was a short-term mission and a long-duration mission. "Had we had a little more time, we could have done it...we did not have enough time to get to the end of the runway where we could say that we would come back at it. 4. Changes needed in Starliner: Willmore said, "We have lessons learnt that we will go through. We will have discussions" with NASA and Boeing regarding what needs to change in order to get Starliner back on track. He said things that need to change will change. "...when you have issues like those we had, there are some changes that need to be made...Boeing's on board with that. We are all on board with that," he said. His statement came days after NASA admitted to having a 'difference of opinions' with Boeing during a discussion over the return plan for astronauts Sunita Williams and Butch Wilmore. "I would say the teams were very split," Steve Stich, manager, Commercial Crew Program, NASA Kennedy Space Center, shad said earlier Will NASA astronauts Sunita Williams and Butch Wilmore vote for the US Presidential elections from space? The astronauts, who are spending extra time in space, said they plan to cast their ballot in space for the November 5 polls. Sunita Williams said voting from space is "pretty cool" . "It's a very important duty that we have as citizens and [I'm] looking forward to being able to vote from space which is pretty cool," Williams said she spoke with the media for the first time after Starliner's departure from the international space station. Sunita Williams also said that she misses her family and two dogs as she remains part of the crew onboard the International Space Station. You asked what we missed, right of course, things we always miss our families. I miss my two dogs I miss my friends but you know what...I know they understand. I know it's tough on them as wellBut everyone understands and everyone is cheering for us to get back, she added. Meanwhile, Butch Wilmore said, I set down my request for a ballot today." Sunita Williams and Butch Willmore had launched in space onboard Boeing's Starliner spacecraft on June 5. This Starliner test flight marked the first Boeing spaceflight with astronauts. During its journey towards the space station, Starliner endured a series of thruster failures and helium leaks prompting a delay in its return from the space, along with the crew. To ensure the safety of the astronauts on the faulty Starliner, NASA decided to bring back the spacecraft without it's crew on September 6. Also Read | Life beyond Earth: NASA moves ahead to find a new place for humans to live Meanwhile, NASA astronauts Sunita Williams and Butch Wilmore will return from space on a SpaceX craft in February 2025. They are on an extended mission now on the space station. Their mission on Starliner was expected to last for about eight days. However, they will be spending eight months in space before coming back home next year. How can astronauts vote from space? The Texas Legislature passed a bill in 1997 that allowed NASA astronauts to vote from space. That year, NASA astronaut David Wolf became the first American to vote from space on the Mir Space Station. NASA explains that voting from space starts with a Federal Postcard Application, or FPCA. Read the full story on The Auto Wire Georgia Man Hit with $1.4 Million 'Placeholder' Speeding Ticket In an eyebrow-raising incident, a Georgia man was slapped with a whopping $1.4 million fine after being caught driving at 90 mph in a 55 mph zone. The staggering sum, however, was clarified by local authorities as merely a "placeholder." Police in Metro Atlanta say theyre finally cracking down on illegal street takeovers. Connor Cato, the motorist in question, was left stunned when he received the seven-figure ticket. "I knew I was going to be fined for speeding, but $1.4 million was beyond comprehension," Cato told local media outlet, WSAV. ADVERTISEMENT When Cato contacted the Savannah court to inquire about the potential typographical error, he was met with a firm response. "The lady on the phone confirmed the '$1.4 million' figure," Cato shared. "She insisted that I either pay up or appear in court on Dec. 21." Joshua Peacock, a representative for the city of Savannah, shed light on the perplexing situation. Speaking to the Associated Press, Peacock explained that the jaw-dropping figure was an auto-generated "placeholder" by an e-citation software. The significant number appears because super speeder tickets necessitate a court appearance, lacking a pre-set fine upon being issued by the police. The city of Savannah further clarified in an email to WSAV that the ultimate penalty is determined by a judge. According to Peacock, the actual fine, when factoring in state-mandated costs, will not exceed $1,000. Given the confusion and publicity surrounding this incident, the Recorder's Court is now revisiting the placeholder language used in e-citations. Their aim? To ensure no other motorist is left as flabbergasted as Cato in the future. Join our Newsletter, subscribe to our YouTube page, and follow us on Facebook. (Bloomberg) -- Serbian President Aleksandar Vucic urged Kosovo to hold local elections in its Serb-populated north as a way to defuse ethnic tension that risks threatening peace in the Balkans. At a news conference on Friday, Vucic stopped short of repeating his policy of encouraging ethnic Serbs in Kosovo to defy the Kosovo government, signaling a potential de-escalation. A solution for the problems in Kosovo that would be satisfactory for Serbs simply does not exist, he told reporters in Belgrade. He called for a return to European Union-mediated talks with the former province and reiterated that his country would never formally recognize Kosovos split from Serbia. Northern Kosovo is home to the biggest remaining Serb community following a 1998-99 war over the territory and Kosovos declaration of independence in 2008. Under an EU-brokered deal in 2013, Serbs agreed to take part in local and central governments in Kosovo, but walked out in 2021 over alleged discrimination and has boycotted two ballots since. Vucic renewed allegations that Kosovo Prime Minister Albin Kurti is pressuring the already dwindling Serb community into moving out of Kosovo. He called for the return of several hundred Serbs into Kosovos police force and reintegration of Serb representatives into Kosovos judiciary. He also demanded implementation of an EU-brokered deal under which the Serb community is allowed some autonomy. Kurti has moved to crack down down on parallel, Serbia-funded institutions and ban the use of the Serbias currency, the dinar. Arrests of local Serbs by Kosovo police have also increased tensions, while several thousand NATO-led peacekeepers remain in the area. Kurti has defended the measures, saying Kosovo is working to restore law and order in the long-divided north. Foreign Minister Donika Gervalla-Schwarz dismissed Vucics demands, accusing him of trying to sabotage Kosovos independence and prevent normalization of relations by keeping tensions in the region. Vucic previously obstructed integration of Serbs in Kosovos post-independence society and now he demands a return to the previous state without even offering reasons for the departure and boycott of institutions, Gervalla-Schwarz wrote on a Facebook post. Kosovos western partners have criticized Kurti and warned his acts could be inflammatory. US Assistant Secretary of State James OBrien urged Kurti to consult first with his allies before steps to consolidate control in the remaining Serb enclaves. (Updates with reaction from Kosovo foreign minister from eighth paragraph) More stories like this are available on bloomberg.com Former Abercrombie & Fitch CEO Mike Jeffries faces allegations of sexual exploitation from several men, with claims of abuse and drugging during modelling events. As per BBC report, some of these men claim they were abused, while others allege they were drugged. Luke describes his astonishment as he was led into Jeffries luxurious hotel suite in Spain in 2011. It looked like a movie set from an Abercrombie store, he said, noting that he initially thought the event was meant to be a photoshoot. He said that the room was dimly lit with erotic photos of mens abs adorning the dark walls. In the middle, a group of assistants dressed in Abercrombie & Fitch uniforms - polos, blue jeans and flip-flops - were casually folding clothes on a table, pretending to be shop workers, he added. At age 20, Luke recounts being invited to appear in a company advertisement if he travelled from his home in Los Angeles to Madrid to meet the CEO of Abercrombie & Fitch (A&F). He said that the offer came through a modelling website from someone claiming to be a talent scout and executive assistant for Jeffries, who was then the head of the high-profile teen retailer, BBC reported. In the suite, Luke describes how Jeffries' assistants started role-playing, urging him to act as a shirtless greeter. Also Read: Sexual harassment cases in top cos spike BBC reported, at that moment, he says Jeffries and his life partner, Matthew Smith, came out of a corner of the room. They immediately started touching him and Jeffries forcibly kissed him, he says. I was trying to avoid the whole situation as much as I could, but Michael was very aggressive. I tried to say no repeatedly. And then I just got kind of convinced to do something. But I constantly was saying no, and I wanted to go. Luke (a pseudonym) is one of eight additional men who have come forward to the BBC over the past year since we first reported allegations of sexual exploitation at events organized by Jeffries and Smith. Following the BBCs reporting, the FBI initiated an investigation, and now 20 men have shared that they either attended or helped organize these events. Besides Lukes account, the new testimonies provide further insights into the extent of these events, which occurred from at least 2009 to 2015 during Jeffries' tenure as CEO. BBC reported that Keith Milkie had attended multiple events hosted by Jeffries and Smith between 2012 and 2014. At the time, Milkie identified as straight and found some of these events uncomfortable and painful. On one occasion in Paris, he claims Jeffries directed him to engage in sex with another man, which he found neither wanted nor enjoyed. On another occasion, while on the Queen Mary 2, a transatlantic ocean liner, Jeffries, who was intoxicated, verbally abused him after he refused a risky sexual act and attempted to insert a bleeding finger into him. A military court in Congo handed down death sentences Friday to 37 people, including three Americans, after convicting them on charges of taking part in a coup attempt. The defendants, who also included a Briton, Belgian, Canadian and several Congolese, can appeal the verdict on charges that included terrorism, murder and criminal association. Fourteen people were acquitted in the trial, which opened in June. Six people were killed during the botched coup attempt led by the little-known opposition figure Christian Malanga in May that targeted the presidential palace and a close ally of President Felix Tshisekedi. Malanga was fatally shot while resisting arrest soon after live-streaming the attack on his social media, the Congolese army said. Also Read | Trump pledges to deport Haitians from Ohio city; Biden calls for attacks to stop Malanga's 21-year-old son Marcel Malanga, who is a US citizen, and two other Americans were convicted in the the attack. His mother, Brittney Sawyer, has said her son is innocent and was simply following his father, who considered himself president of a shadow government in exile. The other Americans were Tyler Thompson Jr, who flew to Africa from Utah with the younger Malanga for what his family believed was a vacation, and Benjamin Reuben Zalman-Polun, 36, who is reported to have known Christian Malanga through a gold mining company. The company was set up in Mozambique in 2022, according to an official journal published by Mozambique's government, and a report by the Africa Intelligence newsletter. Also Read | Biden to make first Africa visit with Angola trip in coming weeks, sources say Thompson's family maintains he had no knowledge of the elder Malanga's intentions, no plans for political activism and didn't even plan to enter Congo. He and the Malangas were meant to travel only to South Africa and Eswatini, Thompson's stepmother said. Last month, the military prosecutor, Lt Col Innocent Radjabu called on the judges to sentence to death all of the defendants, except for one who suffers from psychological problems. President Joe Biden will meet his Ukrainian counterpart at the UN General Assembly to discuss Ukraines strategy for the war ahead of the US elections, said White House National Security Adviser Jake Sullivan. With little more than four months before a new US president is sworn in, we are going to treat each single one of those days preciously when it comes to supporting Ukraine, Sullivan said via a video link to the annual Yalta European Strategy conference in Kyiv, organized by businessman Victor Pinchuk. I believe that were at a vital moment, at a crossroads, Sullivan said of the Biden meeting with Volodymyr Zelenskiy meeting at UNGA. Zelenskiy, whos repeatedly criticized allies for the slow supply of promised weapons, has said hell lay out a victory plan when he meets with Biden that would force Russia to halt its full-scale invasion, though he hasnt offered specifics. Ukraines leader said he would also brief the US presidential candidates, Vice President Kamala Harris and former President Donald Trump, on the proposal. Ukraine is pressing its allies to allow the use of Western weapons to strike deeper inside Russia to target military objects that have been moved further back from the nations border. Our job is to put Ukraine in a strong position on the battlefield so that they are in a strong position at the negotiating table, Sullivan said. Having a conversation that puts all of the pieces together is what the White House hopes to bring together at the New York meeting. Sullivan warned that any peace proposal suggested for Ukraine that ran counter to fundamental principles of sovereignty and territorial integrity, democracy and freedom wouldnt be sustainable. Ukraine has to be in the lead when it comes to diplomacy and negotiations, he said. Kremlin forces recently stepped up missile attacks on Ukraine, damaging its energy facilities and causing blackouts across the country. Russian ground troops are advancing in Ukraines eastern region of Donetsk as the war moves through its third year, outnumbering Kyivs army in personnel and ammunition. The strategic town of Pokrovsk in Donetsk is of unique concern, Sullivan said. I meet with my team every single day to think about what tools we need to put into place to help stabilize the front and make sure that those grinding advances by the Russians are met with stiff resistance, Sullivan said. We are currently working on a substantial package, pulling together a range of different capabilities that we are going to try to get out the door before the end of this month. Ukraine has repeatedly called for more air-defense systems and the US is in the process right now of securing those from partners, Sullivan said. Kyiv also needs to build more concrete barriers around energy transformers and substations to protect them from Russian drones, Sullivan said, adding that were trying to deliver the tools to be able for them to build that out around more energy infrastructure. The US has made it a priority to help protect Ukraines nuclear power plants, Sullivan said. Russia has proved completely brazen about its attacks on civilian energy infrastructure, he added. On 'Hindi Diwas', Union Home Minister and Minister of Cooperation Amit Shah on Saturday said that there is no competition between Hindi and any local language rather, Hindi is a friend". As reported by ANI, Amit Shah said, This year's 'Hindi Diwas' is very important for all of us because on 14th September 1946, the Constituent Assembly of India had accepted Hindi as the official language. It is completing its 75th year and we are going to celebrate the Diamond Jubilee of the official language this year. Hindi has been very important for the official language and for the languages of all our states. Shah said, Hindi has seen many ups and downs. But today, I can say with certainty that there is no competition between Hindi and any local language. Hindi is a friend of all the local languages. Whether it is Gujarati, Marathi or Telugu, every language gives strength to Hindi and Hindi gives strength to every language. Shah further noted In the last 10 years, a lot of work has been done under the leadership of PM Modi to strengthen Hindi and local languages. PM Modi has put forth the importance of Hindi in front of the world by giving speeches in Hindi on many international forums and has also increased the interest towards our languages in our country. In the coming days, the Department of Official Language is also bringing a portal for translation from Hindi to all the languages of the Eighth Schedule, through which, whether it is a letter or a speech, we will be able to translate it into all languages in a very short time using Artificial Intelligence. Prime Minister Narendra Modi extended greetings to the people on the occasion of Hindi Diwas. "Many best wishes to all the countrymen on Hindi Diwas," PM Modi posted on X. On September 14, 1949, the Constituent Assembly of India adopted Hindi in the Devanagari script as the official language of India. Since 1953, September 14 has been celebrated annually as Hindi Diwas. Earlier, Uttarakhand Chief Minister Pushkar Singh Dhami on Friday extended wishes to the people of the state on the occasion of 'Hindi Diwas' and highlighted that Hindi represents the nation's culture, emotions, aspirations, and ideals. According to an official release from the Chief Minister's Office, "In his message issued on this occasion, the Chief Minister has said that Hindi is a symbol of our culture, feelings, aspirations and ideals." "The language of any country helps in connecting with its culture and traditions. Hindi is not just a language but also the identity of our civilization and culture. Hindi is also the basis of the unity and integrity of the country. It is also a continuous ritual that makes us aware of our traditions and our heritage," said CM Dhami. The World Health Organization (WHO) has just approved Bavarian Nordic's vaccine for Monkeypox or Mpox, the first to be cleared for use in African countries affected by the outbreak. With the Union Health Ministry's advisory to intensify screening, after the first case of Monkeypox was reported in India on September 8, 2024, concerns have risen about the spread of the disease in the country. The fear comes years after COVID-19 pandemic and the impact it left on the country's health infrastructure. Monkeypox: Should India be worried? Speaking to LiveMint, Dr. Arun Gupta, President of the Delhi Medical Council, said there is significant worry regarding the spread of the disease. Yes we should be worried. In this era of people moving fast across the globe chances of spreading infections across the countries is very high, Dr. Gupta told Mint, noting that a high degree of alertness was required for people coming from abroad. On September 8, 2024, the first case of Mpox in India was suspected in a young male patient who recently travelled from a country currently experiencing Mpox transmission. Dr. Anant Bhan, a public health researcher from Bhopal, advised that countries like India should keep a close watch on any cases which emerge due to people arriving from aboard. MPox has caused a global outbreak in 2022, so a close watch on current trends is needed, but the possibility of turning into a pandemic seems to be low at this point of time, Dr. Bhan told Mint. Monkeypox or COVID-19: Which is more fatal Mpox is less fatal as compared to COVID-19, but there are high chances of Monkeypox spreading globally, further noted experts. Speaking to LiveMint, a consultant physician from Kolkata, said, As with all zoonotic diseases(transferred from animals), there is a strong possibility that this disease may spread globally. The possibility of human-to-human respiratory spread of the virus (which was seen with Covid-19), however, appears to be low, the doctor said. Another specialist doctor also said that Mpox is not as fatal as COVID-19 was. If 100 people are diagnosed with Monkeypox, then three to six people can die, she said. Monkeypox: What health bulletins say According to a bulletin released by the National Centre for Disease Control(NCDC), India, Monkeypox is a viral zoonotic disease with symptoms like smallpox, with less clinical severity. The World Health Organisation (WHO) had declared Mpox as a Public Health Emergency of International Concern (PHEIC) for the first time in July 2022, second in August 2024. Monkeypox : Symptoms similar to Chickenpox? Once an individual contracts Mpox, visible symptoms develop within a period of 14 days (incubation period). Temperature, headache, fatigue, and often, lymph node enlargement in the neck and armpits are the most common symptoms during the initial one to four days, said the consultant physician. Severe symptoms, such as skin lesions, appear later on. These lesions are blisters that appear similar to chickenpox and appear over the face, trunk, arms, and legs, varying in number from a few to a thousand. What makes Monkeypox lesions distinguishable from chickenpox is that the lesions mostly occur on the palms and soles. In rare cases, skin lesions affect other areas, such as the genitals, or are associated with oral ulcers (pharyngitis) and conjunctival damage. Also Read | A deadly new strain of Mpox is raising alarm Lesions also appear on the genitals with painful lymph node swelling in the groin, further added the physician. Monkeypox: How to prevent Mpox has caused a global outbreak in 2022, so a close watch on current trends is needed. Speaking about how one can take precautions against Mpox, the consultant physician said Mpox is spread mainly through skin-to-skin contact. "One should avoid close contact and particularly sexual contact with a person with Mpox, said the doctor. NASA astronauts Butch Wilmore and Indian-origin Sunita Williams on Friday said it was hard to watch their Boeing ride leaving back to Earth without them resulting in spending extra months at the International Space Station (ISS). It was the first public reaction of Butch Wilmore and Sunita Williamsstuck in space since last week's return of Boeings Starliner that took them to the ISS in June. The US space agency decided to bring back the capsule without both astronauts as the problem-plagued capsule posed too much risk for them to ride back in. It was trying at times. There were some tough times all the way through, NASA astronaut Wilmore said. As spacecraft pilots, you dont want to see it go off without you, but thats where we wound up, he added, "That's how it goes in this business," said Sunita Williams, adding that "you have to turn the page and look at the next opportunity." Both astronauts are now full-fledged station crew members, chipping in on routine maintenance and experiments. Williams will take over command of the space station in a few more weeks. The duo, along with seven others on board, welcomed a Soyuz spacecraft carrying two Russians and an American earlier this week, temporarily raising the station population to 12, a near record. Two more astronauts are scheduled to fly up on SpaceX later this month; two capsule seats will be left empty for Wilmore and Williams for the return leg., a near record. The transition to station life was not that hard since both had previous stints there, said Williams, who logged two long space station stays years ago. This is my happy place. I love being up here in space, Williams added. Wilmore noted that if his adjustment wasnt instantaneous, it was pretty close. Also Read | Life beyond Earth: NASA moves ahead to find a new place for humans to live The astronauts said they appreciate all the prayers and well wishes from strangers back home, and that its helped them cope with everything theyll miss out on back home. The flapping of a butterflys wings can cause a typhoon halfway across the world. That seemingly outlandish notion gave rise to the Butterfly Effect, the idea that tiny events can trigger huge, nonlinear impacts. Haridas Mundhra, Indias first big financial scamster after independence, serves as a textbook example of this phenomenon. His actions caused tremors in the stock markets and unleashed a political storm in Parliament. Mundhras journey is a classic rags-to-riches story gone rogue. Read this | The unravelling of a financial titan: Ravi Parthasarathy and the IL&FS scandal The Calcutta-based stock speculator, who began his career selling light bulbs, was an ambitious young man with one burning desireto make money, by any means necessary. Unfortunately, most of his methods were less than honest. His playbook was depressingly familiar: he would buy shares in obscure companies, inflate their stock prices through misinformation and circular trading, then use those inflated holdings as collateral to secure loans. With fresh funds in hand, he would acquire stakes in other firms, notably targeting British companies left behind by the colonial exodus. These companies cash reserves fuelled his acquisition spree, allowing him to amass a vast empire worth several crores. But as his empire grew, so did the list of his transgressions. In 1956, the BSE Ltd (then the Bombay Stock Exchange) indicted him for selling forged shares. Yet, Mundhra was far from finished. With the audacity typical of his ilk, he soon found a way back into business. Using his network of well-placed officials, he persuaded the newly nationalized Life Insurance Corp. (LIC) to invest 1.25 crore in six ailing British firmsRichardson Cruddas, Jessops, Smith Stanistreet, Osler Lamps, Agnelo Brothers, and British India Corp.all of which he controlled. These investments bypassed LICs regulatory safeguards, including approval from its investment committee. Of course, Mundhra had no interest in reviving these firms; they were merely vehicles for his own enrichment. As a judge later remarked during a 1962 case against British India Corp.s directors, "The conduct of Haridas Mundhra in this affair is clearly in breach of his duty of loyalty to the Corporation... He has thus committed breach of trust." It didnt take long for the house of cards to collapse. The stock prices of the companies tanked, leaving LIC holding the baby. In another world, the scandal might have quietly died down, buried under bureaucratic indifference. Indeed, thats what the government of the day hoped for. But fate intervened in the form of an upright Member of Parliament, Feroze Gandhi. His father-in-law just so happened to be Prime Minister Jawaharlal Nehru. More here | From failed steel to soul: Vinay Rais dubious reset and redemption Gandhi, whose marriage to Indira Gandhi was already on rocky ground, raised the issue in Parliament, targeting finance minister T.T. Krishnamachari (popularly known as TTK). He questioned whether LIC had used the hard-earned premiums of 5.5 million policyholders to buy shares in Mundhras firms at prices well above market value. In a scathing speech, Gandhi dismantled the finance ministers defense, which claimed no irregularities had occurred and that LIC had acted independently. This was more than just a political embarrassment for Nehru, who had initially defended TTK. Already dealing with personal tensions involving his son-in-law, Nehru now had to grapple with the fallout of the scandal. But to his credit, the prime minister upheld his integrity and ordered an inquiry. He appointed retired Bombay High Court justice M.C. Chagla to investigate the matter. Chaglas 24-day public hearings were a masterclass in transparency, captivating the nation. As Govind Ballabh Pant, the leader of the house, remarked, "The attention of the country was riveted almost on this inquiry when it was being held in Bombay." The Chagla Committee concluded that LICs investments had been improper and violated basic business principles. The fallout was swift. In May 1958, the government set up another Board of Inquiry, led by justice Vivian Bose, to investigate the roles of finance secretary H.M. Patel and LIC chairman G.R. Kamat. Despite TTKs continued insistence that no wrongdoing had occurred, political pressure mounted. The Opposition, led by voices like Bhupesh Gupta of the Communist Party of India, lambasted the government. Guptas fiery statement in Parliament summed it up: "The findings of the Commission with regard to the finance minister and the statements made by the attorney general before the Commission are very relevant things. Controversy is created because they were rescuing the finance minister." TTK had already been a polarizing figure, particularly after the resignation of then Reserve Bank of India governor Benegal Rama Rau in 1956, reportedly due to differences with him. In February 1958, the pressure became unbearable, and TTK resigned as finance ministera significant blow to the government and his career. And what of Haridas Mundhra, the man whose metaphorical wings had set all this in motion? He was arrested in his luxury suite at Delhis Claridges Hotel and sentenced to 22 years in prison. His fall from grace was spectacular, proving that even the most well-connected cant escape forever. Also read | The rise and fall of Rita Singh: A cautionary tale of 1990s Indian capitalism Mundhras story is a stark reminder that seemingly small actionslike the flapping of a butterflys wingscan have consequences that have a ripple effect. Are you looking to upgrade your home office setup? Look no further than Amazon's pre-Diwali sale, where you can find amazing deals on top-quality office chairs. With discounts of up to 78%, now is the perfect time to invest in a comfortable and ergonomic chair that will enhance your productivity and reduce discomfort during long hours of work. Whether you prefer a mesh chair, a leather chair, or a task chair, Amazon offers a wide range of options to suit your style and preferences. From budget-friendly options to premium models, you're sure to find the perfect chair to elevate your home office experience. Not only will a comfortable office chair improve your overall well-being, but it can also help prevent back pain and other health issues associated with prolonged sitting. By investing in a quality chair, you're making a wise investment in your long-term health and productivity. Don't miss out on these incredible deals on office chairs during Amazon's pre-Diwali sale. Visit Amazon today and find the perfect chair to make your home office setup more comfortable and efficient. Da URBAN Merlion Office Chair Upgrade your home office with the ergonomic Da URBAN Merlion Office Chair. Its high back design, adjustable armrests, and lumbar support provide optimal comfort during long hours of work. Enjoy a 3-year warranty for added peace of mind. The breathable mesh material keeps you cool and comfortable throughout the day. The tilt lock mechanism allows you to adjust the chair's angle for your preferred seating position. Specifications of Da URBAN Merlion Office Chair Material: Mesh Lumbar Support: Adjustable Armrests: Adjustable Warranty: 3 years ASTRIDE Ace Mid Back Office Chair The ASTRIDE Ace Mid Back Office Chair is perfect for work from home or study setups. It features height adjustability, a revolving design, and a tilt lock for customised comfort. With a heavy-duty nylon base, this black chair is both durable and stylish. As part of Amazon pre deals ahead of Diwali sale, its one of the best office chairs to consider for ergonomic support and long-lasting quality. Specifications of ASTRIDE Ace Mid Back Office Chair Height adjustable Tilt lock mechanism Heavy-duty nylon base 360 revolving design ASTRIDE Ergofit Ergonomic Office Chair The Astride Ergofit ergonomic office chair offers superior comfort for home office setups. With a 2D headrest, adjustable arms, and lumbar support, it provides personalised ergonomics. The tilt lock mechanism and heavy-duty chromium metal base ensure stability and durability. Covered by a 3-year warranty, this grey-white chair is a reliable choice. As part of Amazon pre deals ahead of Diwali sale, it stands out among the best office chairs for long-lasting support and style. Specifications of ASTRIDE Ergofit Ergonomic Office Chair 2D headrest for adjustable neck support Adjustable arms for customised comfort Lumbar support for better posture Heavy-duty chromium metal base for durability Also Read: Best ergonomic desk chairs for comfort and support: Top 7 supportive and durable picks 4. CELLBELL Desire C104 Mesh Mid Back Ergonomic Office Chair/Study Chair/Revolving Chair/Computer Chair for Work from Home Metal Base Seat Height Adjustable Chair (Black) The CELLBELL Desire C104 mesh mid back ergonomic office chair is perfect for work from home setups. Its adjustable seat height and revolving design ensure comfort and flexibility. The mesh back provides breathability, while the metal base offers sturdy support. This black chair is ideal for long hours at the desk. With Amazon pre deals ahead of Diwali sale, its among the best office chairs, offering great value for those seeking comfort and durability. Specifications of CELLBELL Desire C104 Office Chair Adjustable seat height breathable mesh back 360 revolving design Sturdy metal base The Trade Craft High Back Mesh Ergonomic Office Chair offers exceptional comfort for work from home. It features an adjustable headrest, armrests, and seat height, along with a heavy-duty metal base for durability. The push-back tilt feature adds flexibility for relaxed sitting. As part of Amazon pre deals ahead of Diwali sale, this chair stands out among the best office chairs, providing both ergonomic support and value for money in a stylish grey design. Specifications of Trade Craft High Back Mesh Ergonomic Office Chair Adjustable headrest Adjustable armrests Heavy-duty metal base Push-back tilt feature Some more office chairs for you: Also Read: Best office chairs 2024: Top 9 picks for your home office setup offering maximum comfort Green Soul Jupiter Office Chair The Green Soul Jupiter Superb office chair is designed for both home and office use. It features a high back with breathable mesh fabric and a smart multi-tilt lock mechanism for customised comfort. The ergonomic design ensures support for long working hours. With a 3-year warranty, its a reliable choice. As part of Amazon pre deals ahead of Diwali sale, this chair stands out among the best office chairs for its functionality and style. Specifications of Green Soul Jupiter Office Chair High back Mesh fabric Smart multi-tilt lock mechanism 3-year warranty CELLBELL C190 Berlin Office Chair The CELLBELL C190 Berlin office chair combines high-back mesh ergonomics with sleek design, ideal for home office use. Its adjustable features ensure comfort during long hours, and the grey-white colour adds a touch of style to any workspace. Built for durability and support, it enhances productivity and promotes good posture. As part of Amazon pre deals ahead of Diwali sale, this chair is among the best office chairs, offering both quality and value. Specifications of CELLBELL C190 Berlin Office Chair High back mesh Adjustable features Ergonomic design Grey-white colour Also Read: Best sofa sets: Discover 6 stylish and comfortable sofa set options for every living space and home decor 9. beAAtho Verona Mesh Mid-Back Ergonomic Home Office Chair | 3-Years Limited Warranty Included | Tilting & Height Adjustable Mechanism, Heavy Duty Metal Base | Ideal for Office Work & Study (Black) The beAAtho Verona Mesh Mid-Back Ergonomic Office Chair is perfect for both office work and study. It features a tilting and height-adjustable mechanism, ensuring customised comfort throughout your workday. The heavy-duty metal base offers stability and durability. With a sleek black design and a 3-year limited warranty included, this chair combines style with functionality. As part of Amazon pre deals ahead of Diwali sale, it ranks among the best office chairs for its ergonomic benefits and quality. Specifications of beAAtho Verona Mesh Mid-Back Ergonomic Home Office Chair Tilting and height-adjustable mechanism Heavy-duty metal base Mesh fabric 3-year limited warranty 10. Green Soul Seoul X Office Chair, Mid Back Mesh Ergonomic Home Office Desk Chair with Comfortable & Spacious Seat, Rocking-tilt Mechanism & Heavy Duty Metal Base (Black) The Green Soul Seoul X Office Chair offers excellent comfort and support for home offices. Its mid-back mesh design ensures breathability, while the spacious seat adds to the comfort. The chair features a rocking-tilt mechanism for flexible seating positions and a heavy-duty metal base for durability. With its sleek black design, it enhances any workspace. As part of Amazon pre deals ahead of Diwali sale, this chair is a top choice among the best office chairs for its ergonomic features and style. Specifications of Green Soul Seoul X Office Chair Mid-back mesh design Rocking-tilt mechanism Spacious seat Heavy-duty metal base Also Read: Best selling furniture and mattresses: Up to 70% off on these top picks of beds, chairs, mattresses and more 11. Green Soul Zodiac Lite | Office Chair|Flybird Ergonomic Design|1D Adjustable Armrests|Synchro Tilt Lock Mechanism|Adjustable Lumbar Support |Mesh Fabric |No Seat Slider (White & Grey) The Green Soul Zodiac Lite office chair offers a sleek ergonomic design with Flybird technology for optimal comfort. It features 1D adjustable armrests and a synchro tilt lock mechanism for customised seating. The adjustable lumbar support and breathable mesh fabric enhance ergonomic benefits, while the white and grey colour scheme adds a modern touch. Note that this model does not include a seat slider. As part of Amazon pre deals ahead of Diwali sale, it ranks among the best office chairs for its design and functionality. Specifications of Green Soul Zodiac Lite Office Chair Flybird ergonomic design 1D adjustable armrests Synchro tilt lock mechanism Adjustable lumbar support Similar articles for you Best chairs: Upgrade your home and office chairs with up to 80% off on these top 10 stylish options with modern features Best executive chairs for your office setup: Top 8 choices to upgrade your workspace comfort Best study chairs for home office 2024: Top 9 options with sturdy build quality and good ergonomics Best office chairs under 5000 for work from home folks ensuring comfort FAQs Question : What is an ergonomic office chair? Ans : An ergonomic office chair is designed to support the natural posture of the user, reducing strain on the back and neck. Question : How do I adjust the height of an office chair? Ans : Most office chairs have a lever or button under the seat that allows you to adjust the height. Question : What is the difference between a mid-back and high-back chair? Ans : Mid-back chairs support the lower to middle back, while high-back chairs offer support up to the neck and shoulders. Question : Are mesh chairs better than upholstered chairs? Ans : Mesh chairs are more breathable and can be cooler, while upholstered chairs offer a softer, more cushioned seating experience. Question : How often should I replace my office chair? Ans : Its recommended to replace your office chair every 5-7 years or sooner if it shows signs of wear or discomfort. Disclaimer: At Livemint, we help you stay up-to-date with the latest trends and products. Mint has an affiliate partnership, so we may get a part of the revenue when you make a purchase. We shall not be liable for any claim under applicable laws, including but not limited to the Consumer Protection Act, 2019, with respect to the products. The products listed in this article are in no particular order of priority. With a whirlwind of news coming out every week, it can be hard to keep up with all the updates. In order to remedy this, we have compiled a list of the top stories that made headlines in the world of technology. This week's tech recap includes the launch of Apple's iPhone 16 series, the launch of OpenAI's o1 language model and the launch of Motorola's Razr 50. Top tech news for the week: Apple launches iPhone 16 series: Apple launched four variants of its latest iPhone 16 series at the company's Its Glowtime' event on Monday. The star of the show was the new Apple Intelligence features that will come with the iPhone 16 variants later this year, thanks to the latest A18 bionic chipsets. iPhone 16 and iPhone 16 Plus start at the same price as last year, at 79,900 and 89,900 respectively. Meanwhile, the iPhone 16 Pro and iPhone 16 Pro Max have received a massive price drop from their predecessors (in India) and start at 1,19,900 and 1,44,900 respectively. OpenAI releases o1 language model: OpenAI has released a new series of models codenamed o1 with reasoning capabilities that are designed to spend more time before responding to users' queries. The new model is immediately available for use and is said to have the ability to perform more complex tasks that weren't thought possible by previous models. While o1 is said to be better at performing some tasks like coding and solving multistep problems, it is also slower and costlier than current models like GPT-4o. OpenAI researcher Noam Brown in a series of posts on X (formerly Twitter) stated that o1 is designed to think in a private chain of thought before responding to queries. Brown states that the longer o1 the better it does on reasoning tasks. Motorola Razr 50 launched in India: Motorola Razr 50 features a 6.9-inch FlexView Full HD+ pOLED LTPO display with a resolution of 2640 x 1080 pixels, 120Hz refresh rate and 3000 nits peak brightness. There is also a 3.6-inch external pOLED display with a 90Hz refresh rate and Corning Gorilla Glass Victus protection. The Motorola foldable is powered by a MediaTek Dimensity 7300x processor (based on a 4nm process) and is paired with a Mali G615 MC2 GPU to handle graphics-intensive tasks. The Motorola Razr 50 runs on the Hello UI based on Android 14 and the company is promising 3 years of OS updates and 4 years of security patches with the new device. The phone is powered by a 4,200 mAh battery with support for 33W TurboPower fast charging and 15W wireless charging. WhatsApp reportedly working on bringing multiple voices for Meta AI: Meta-owned instant messaging platform WhatsApp is preparing to enhance its artificial intelligence (AI) chatbot capabilities by integrating a two-way voice chat feature. According to recent reports, this new feature will allow users to interact with the Meta AI chatbot using voices that include public figures, offering a more personalized and engaging experience. The forthcoming voice chat feature, noted in a recent update by WhatsApp feature tracker WABetaInfo, is expected to include multiple voice options for Meta AI. While the feature is currently not available to beta testers on Android, the trackers report reveals that users will soon have access to a range of voices, including those of well-known personalities. OnePlus 13 launch confirmed: Chinese tech giant OnePlus has officially confirmed the release of its highly anticipated OnePlus 13 flagship smartphone, set to be unveiled next month. The announcement was made by Louis Lee, President of OnePlus China, who revealed that the upcoming device will likely be powered by Qualcomm's latest innovation, the Snapdragon 8 Gen 4 chipset. Milestone Alert! Livemint tops charts as the fastest growing news website in the world Click here to know more. 3.6 Crore Indians visited in a single day choosing us as India's undisputed platform for General Election Results. Explore the latest updates here! NEW YORKFormer President Donald Trump was dealt a pair of legal blows Thursday in litigation looking to lessen the existing severity of his ongoing hush money trial involving AVN Hall of Famer Stormy Daniels. Trump was found guilty of 34 felony counts of falsifying business records in a conspiracy to silence Daniels from speaking out about their 2006 affair. In efforts to prolong the criminal trial and eventually render it null, Trump's defense team has been working overtime to lift a standing gag order and to move the case from state court to a federal venue in hopes of the guilty verdict being vacated. However, these efforts have proven fruitless as the 2024 Presidential Election in November nears. First, the U.S. Second Circuit Court of Appeals declined to review a bid filed by Trump's attorneys to issue an administrative stay on the case as it considered an appeal to remove it from the New York Supreme Court. AVN reported on this after a federal district judge in the Southern District of New York declined once again to remove the case from the courtroom of Justice Juan Merchan. District Judge Alvin Hellerstein didn't buy the defense team's arguments that Trump is immunized by presidential immunity. The Second Circuit declined the appeal on Hellerstein's denial of motion due to Merchan's acceptance of the defense motion to push back sentencing until after the electionTuesday, November 26. The three-judge panel on the Second Circuit concluded, "In light of [Justice Merchan's] adjournment of sentencing until November 26 [...], it is hereby ordered that the motion for an emergency administrative stay is denied." By citing this, the Second Circuit dismissed the order and reverted to Hellerstein's order. Another slap in Trump's face was when his effort to have the limited gag order on him in his criminal trial lifted got denied at the New York Court of Appeals, the high court of that state. Defense attorneys for Trump have maintained the gag order violates his First Amendment rights and harms his campaigning for the U.S. presidency. The court ruled in a brief order, "Appeal dismissed without costs, by the Court sua sponte, upon the ground that no substantial constitutional question is directly involved." In this case, the high court of New York ultimately declared that Donald Trump's free speech rights were not violated and threw out the appeal alongside several other cases. Regardless of the strength of the case against Trump, the attempts by his defense team to question the validity of the court and its attempts to be impartial have, for the most part, failed miserably. Among their only successes in motions has been convincing Merchan to delay sentencing. Donald Trump is the Republican nominee for the White House. If given a second term, Trump could be the first U.S. president to have been convicted of a felony offense. AVN has covered the Trump case extensively. Read more here. New Jersey Man Arrested for Scamming $20,000 from Elderly Woman in New Hyde Park in Elaborate Fraud Scheme Crime By Long Island Published: September 14 2024 A New Jersey man was arrested for scamming $20,000 from an elderly woman in New Hyde Park through a fraudulent phone scheme. The Third Squad reports the arrest of a New Jersey man for an Elder Scam/Grand Larceny that occurred on Friday, September 6, 2024 in New Hyde Park. According to detectives, a 69-year-old female victim received a phone call from an unknown male claiming to be a Walmart employee. The male advised the victim that there was fraudulent activity on her account and that the victims social security number was compromised. The victim was then instructed to move her money out of her bank account to protect it. On Monday, September 9, 2024 the victim withdrew $20,000 USC and met with an unknown male subject in the parking lot of City Park Plaza on Jericho Turnpike and gave the subject the money to safeguard it. The victim later realized she was being scammed and contacted Police. On Friday, September 13, 2024, the subject contacted the victim again and requested additional funds. Detectives then coordinated with the victim to locate and arrest the Defendant Tejas Kapur, 51, in the parking lot of City Park Plaza. Defendant Tejas Kapur is charged with Grand Larceny 3rd Degree and Attempted Grand Larceny 3rd Degree. He will be arraigned on Saturday, September 14, 2024 at First District Court, 99 Main Street, Hempstead. The US military and Iraqi Security Forces killed four Islamic State (IS) leaders during an August 29 raid in western Iraq that resulted in the wounding of seven American soldiers. One of the IS leaders was a wanted terrorist with a $5 million bounty on his head. US Central Command (CENTCOM) identified the slain terrorists as Ahmad Hamid Husayn Abd-al-Jalil al-Ithawi, Abu Hammam, Abu-Ali al-Tunisi, and Shakir Abud Ahmad al-Issawi. In all, 14 Islamic State members were killed during the raid. Ithawi was responsible for all operations in Iraq, Hammam was responsible for overseeing all operations in Western Iraq, al-Tunisi was responsible for overseeing technical development, and al-Issawi was responsible for overseeing military operations in Western Iraq, according to CENTCOM. Al-Tunisi, who CENTCOM identified as overseeing technical development for the Islamic State, was wanted by the US government. The Department of States Rewards for Justice Program offered a $5 million reward for information leading to his capture. According to Rewards for Justice, he was a key leader of the Islamic State of Iraq and ash-Sham (ISIS) and the leader of manufacturing for ISIS in Iraq, including chemical weapons. He has conducted training for ISIS members, including instruction on how to make explosives, suicide vests, and improvised explosive devices (IEDs), Rewards for Justice reported. Al-Tunisi also provided advanced training on weapons development and the manufacturing of chemical weapons. US to end its mission in Iraq The raid against the Islamic State leadership cell took place one week before the news of the drawdown of US forces from Iraq. According to Reuters, the US and Iraq governments have agreed to a deal for US forces to leave Iraq by the end of 2026. The plan, which has been broadly agreed but requires a final go-ahead from both capitals and an announcement date, would see hundreds of troops leave by September 2025, with the remainder departing by the end of 2026, the news agency reported. There are an estimated 2,500 US troops based in Iraq, while an additional 900 US personnel are scattered among smaller bases throughout northeastern Syria. These forces target the Islamic State in both countries. It is unclear if a US presence in Syria is sustainable if American troops are withdrawn from Iraq. US forces have been targeting the Islamic States network in Iraq and Syria since the terror group lost the last vestiges of its physical caliphate in 2019. Between 2014 and 2019, the Islamic State, an offshoot of Al Qaeda in Iraq, controlled an area in Iraq and Syria the size of Great Britain before being defeated by an unlikely alliance of the US, Iraq Security Forces, the Assad regime in Syria, Iran and its Shia militias in Iraq, the Kurdistan Workers Party (the PKK, a terrorist group rebranded by the US as the Syrian Democratic Forces), and Iraqi and Syrian tribal militias. Despite losing ground in Iraq and Syria, the Islamic State has persisted. The United Nations Security Council Analytical Support and Sanctions Monitoring Team estimates that IS has a combined strength in both countries [] between 1,500 to 3,000 fighters, as it continues to face battlefield losses, desertions, and recruitment challenges. It is likely that the Islamic States strength is far greater, however. General Michael Erik Kurilla, the commander of CENTCOM, said that his organization remains committed to the enduring defeat of ISIS, who continues to threaten the United States, our allies and partners, and regional stability. However, a US withdrawal from Iraq and Syria by the end of 2026 would give the terror group new life. Bill Roggio is a Senior Fellow at the Foundation for Defense of Democracies and the Editor of FDD's Long War Journal. Dear Eric: Im a 62-year-old female and have been married to my second husband (a 65-year-old male) for 30 years. Empty nesters. Never once has my husband divulged to me what his financial situation is. Now that I am disabled and dont work anymore, I depend on him financially. He just tells me to not spend so much. I dont by any means. I have no idea where we stand. Ive asked him many times to sit down with me and plan a budget. I am on a fixed income; he still works. I feel like Im going through life fiscally blind. What can I say to convince him to give me that information? What would happen if he went before me? Hidden Accounts Dear Accounts: Lets focus on long-term care and stability should he predecease you. Ask him what his end-of-life plans are. Does he have a will? How will you know what his accounts are, should you survive him? A wise friend once advised me that every couple should have a binder where copies of everything important are stored: birth certificates, marriage licenses, deeds, bank account information, et cetera. Framing your concerns as questions about long-term planning may help him understand how important it is to be forthcoming. Generously, I want to assume his reticence is due to machismo i.e., he wants to take care of you. But withholding information, especially when its asked for repeatedly, is just awful in a marriage. If he doesnt respond to the will questions, loop in the kids. Its irresponsible for him not to plan and for him not to let you know what that plan is. The kids be they yours together or not can help advocate for you. (Send questions to R. Eric Thomas at eric@askingeric.com or P.O. Box 22474, Philadelphia, PA 19110. Follow him on Instagram and sign up for his weekly newsletter at rericthomas.com.) 2024 Tribune Content Agency, LLC. Chinese envoy urges U.S. to desist from creating division, antagonism on Ukraine crisis Xinhua) 10:34, September 14, 2024 UNITED NATIONS, Sept. 13 (Xinhua) -- A Chinese envoy on Friday urged the United States to desist from undermining international solidarity, creating division and antagonism, and provoking bloc confrontations on the Ukraine crisis. "In the ongoing Ukraine crisis, there has been a steady influx of large quantities of weapons and ammunition into the battlefield," which will only lead to escalated situation and the loss of more civilian lives, Geng Shuang, China's deputy permanent representative to the United Nations, said at the UN Security Council briefing on arms supply to Ukraine. "It will have an extensive negative impact on international and regional peace and security," he warned. Noting that China is deeply concerned about the recent spiraling of the intensity of the war, the ambassador said the pressing priority of the moment is to respect "the principles of no expansion of the battlefield, no escalation of the fighting, and no provocation by any party," with a view to swiftly deescalate the situation. He called on the parties to the conflict to demonstrate their political will, meet each other halfway, put an early end to the hostilities, and relaunch peace talks. He also called on the international community to pave the way to this end by providing tangible assistance and facilitation, and urged all parties concerned to put peace and humanity first and re-channel their resources and energy to ending hostilities through diplomacy. In response to remarks by the U.S. representative that "spread a false narrative in his earlier statement, distorting and vilifying China's position on the Ukraine issue once again," Geng emphasized that "China did not create the Ukraine crisis, much less are we a party to the conflict." "China has not supplied weapons to either party, and we have consistent and rigorous controls over dual-use items. We maintain normal trade and economic cooperation with all countries around the world, including Russia and Ukraine," he added. Underscoring that China's position on the Ukraine issue "is objective and impartial," the ambassador said that for over two years, China has been working hard to promote peace and encourage and facilitate peace talks. He elaborated the efforts made by China and other countries on the political settlement of the Ukraine crisis, including the six-point consensus jointly issued by China and Brazil in May this year. "This fully demonstrates that diplomatic negotiations and a political settlement meet the common expectations of the majority of countries and represent the will of the international community." "We hope that the United States will not continue to turn a deaf ear to these calls for peace, that it will desist from discrediting and obstructing the diplomatic efforts of China and other countries concerned, undermining international solidarity, creating division and antagonism, and provoking bloc confrontations, and that it will truly play a constructive role in bringing an early end to the war and achieving peace," Geng said. (Web editor: Zhang Kaiwei, Liang Jun) A former project manager of a defunct luxury home building business in West Springfield was sentenced Thursday for conspiring to defraud the United States, according to a press release from Acting U.S. Attorney Joshua S. Levys office. Jason Pecoy, 44, of Wilbraham, who was the project manager of Kent Pecoy and Sons Construction Inc., (KPSC) was sentenced by U.S. District Court Judge Mark G. Mastroianni to two years of probation and a fine of $7,500, the release read. The government recommended a sentence of 21 to 27 months in prison followed by three years of supervised release and a mandatory restitution in the amount of $35,982. In June, Pecoy was convicted by a federal jury of two counts of conspiracy to defraud the U.S. I have a confession to make. Id never heard of The Big E until I interviewed for this job. So when it came to doling out assignments for this years fair, I was happy to volunteer to try the iconic Maine baked potato. I figured, for my first trip I might as well play the hits. And I must say, the potato was definitely a hit for me. The $8 potato is available inside the Maine state building, with several toppings: butter, sour cream, cheese, bacon and chives. It is cash only though, so plan in advance or hit up one of the ATMs on the fairgrounds. I got in line for my tater around 10:30 a.m. and had a fully loaded baked potato in my hands by around 10:45 a.m., which Im led to believe means I got lucky. The potato is, well, your standard baked potato. Theres nothing particularly fancy about it, and thats part of the reason why I think I enjoyed it so much. Its just a very well-executed version of what its trying to be. The potato is piping hot and all the toppings work so well together. I was surprised though that the cheese was a sort-of nacho-cheese type sauce, rather than your standard shredded or sliced cheese. That added a nice element, ensuring cheesy goodness in each bite. The bacon, essentially bacon bits, provided a nice smoky note to cut through some of the richness. Was it worth the 10-minute wait? I thought so and so did many other fairgoers. Joanie and Paul Delarond told me they drove from Webster roughly 90 minutes away to The Big E, which they said they had been attending for 50 years. The Delaronds said the Maine potato has been a staple each time theyve attended. Its so good and fresh and its got everything on it, Joanie Delarond said in between bites of potato outside the state building. Both agreed that the spud was worth the wait. Eric Clifford, of Hudson, New Hampshire, brought his kids Caden, 12, and Cash, 9, to the fair this year. Clifford said he grew up attending The Big E and has turned it into a must-do with his own kids. Clifford said he makes sure to get the potato on the first day of the fair each year. I just love the taste of it, he said. Its kind of like a tradition for us. Every year were like, lets go to The Big E. Lets get the baked potato right away. I have to get it every year, he added. Caden and Cash were big fans, too. I love it, said Cash Clifford. The Coca-Cola Company in Africa and its bottling partners Coca-Cola Beverages Africa (CCBA), Equatorial Coca-Cola Bottling Company (ECCBC) and Coca-Cola HBC announced a nearly USD 25 million investment to help address critical water-related challenges in local communities in 20 African countries, starting this year through 2030. The work will be led by Global Water Challenge (GWC) and implemented by a consortium of partners, including The Nature Conservancy (TNC), The International Union for Conservation of Nature (IUCN) and the World Wildlife Fund (WWF). The effort, called The Coca-Cola Systems Africa Water Stewardship Initiative, was introduced in Cape Town, South Africa, in presence of executives from the Coca-Cola system in Africa and NGO partners. During the event, Karyn Harrington, Vice President of Public Affairs, Communications and Sustainability at The Coca-Cola Companys Africa Operating Unit indicated Water is a priority for The Coca-Cola Company and its local bottling partners because it is essential to life, the communities we serve and our beverages. As we face increasing water insecurity worldwide, with demand outstripping supply in many regions such as Africa, Coca-Cola is taking steps to help accelerate efforts to address water stress, protect local water resources, and build community climate resilience. Our 2030 Water Security Strategy focuses on helping enhance water security where we operate, source ingredients, and touch lives. One in three Africans face water insecurity. The Global Water Challenge and The Coca-Cola Systems Africa Water Stewardship Initiative partner coalition will seek to improve water security for millions across the African continent, helping advance community health and resilience through abundant, clean water. We applaud Coca-Colas continued leadership on African water security said Monica Ellis, CEO of GWC. The Coca-Cola Systems Africa Water Stewardship Initiative aims to help protect and enhance the health of important watersheds and to help improve access to water and sanitation services in local communities. We will have projects in Algeria, Botswana, Cabo Verde, Comoros, Egypt, Eritrea, Eswatini, Ethiopia, Kenya, Mayotte, Morocco, Mozambique, Namibia, Nigeria, Somalia, South Africa, Tanzania, Uganda, Zambia and Zimbabwe. CCBA has a responsibility to help those who face water scarcity and to help protect local water resources where we operate, especially in places with the biggest challenges. We are proud to partner with The Coca-Cola Company on this project, says Layla Jeevanantham, Chief Public Affairs, Communication and Sustainability Officer at CCBA. We are proud to partner with The Coca-Cola Company and fellow bottlers on this critical initiative to help tackle water challenges across Africa. By working together, we can leverage the expertise of our partners and the knowledge of local communities to help create sustainable solutions that enhance water access and safeguard vital water resources, said Sonia Ventosa, Public Affairs, Communications & Sustainability Manager at ECCBC. Coca-Cola HBC has been part of African communities for more than 70 years, and sustainability is an important part of how we operate. Were very happy to see this new water initiative come to life and to support the systems water stewardship efforts, said Marcel Martin, Chief Corporate Affairs & Sustainability Officer, Coca-Cola HBC. Recognizing that partnerships are critical to support this work, the company and its bottlers are collaborating with governments, businesses, and civil society organizations to design and implement strategic interventions. In addition to supporting the companys water strategy, this effort also aims to contribute to advancing the United Nations Sustainable Development Goal 6, which focuses on ensuring availability and sustainable management of water and sanitation. This water initiative will build upon The Coca-Cola Foundation (TCCF)s Replenish Africa Initiative (RAIN), a groundbreaking collaboration with key partners and co-funders which helped improve access to clean water, sanitation and hygiene for 6 million people across African countries between 2009 and 2019. Through 120 projects, the initiative positively impacted homes, schools and healthcare clinics in more than 4,000 communities. TWO houses in Claremorris which have been attracting anti-social behaviour are to be refurbished by Mayo County Council next year. The houses in Clareville have been acquired by Mayo County Council and are to be refurbished with funding from the Buy and Renew Scheme under the Central Housing Refurbishment Programme. I It is estimated that the refurbishment will be complete by the end of next year. The council is also negotiating with the owners of a housing complex on James Street which has been vacant for a number of years. The local authority is looking to acquire the complex, known as An Tintean, which consists of three houses and eight apartments. Cllr Richard Finn described the approved housing body which operated An Tintean as do-gooders when he raised the matter at the monthly meeting of Claremorris-Swinford Municipal District. Tom Gilligan, Director of Services for the Claremorris-Swinford Municipal District, described the matter as a complex case but said he wanted to see the building brought back into use. SINN Fein are to commemorate IRA hunger striker Michael Gaughan in his native Ballina later today (Saturday, September 14) Cummans from all over the country are to descend on the town for a march that will begin in Ardnaree at 3pm and finish in his burial place in Leigue Cemetery. Mayo Sinn Fein TDs Rose Conway Walsh and MLA Gerry Kelly - who was on hunger strike at the same time as Michael Gaughan - will give an oration at his graveside. Well-known Ballina musician, Eamonn Walsh, will play a lament during the ceremony. Later that evening, Deputy Conway-Walsh will chair a panel involving MLA Kelly and former IRA member Danny Morrison in Ballina Arts Centre at 7 pm. Michael Gaughan grew up on Healy Terrace, in Ballina before becoming an IRA volunteer in a London-based Active Service Unit. After his arrest for a bank robbery, he was sentenced to seven years imprisonment in 1971. He was later transferred to Parkhurst Prison on March 31, 1974, where he joined fellow Mayo man Frank Stagg and others in a hunger strike campaign to obtain political status and to be transferred to a jail in Ireland. He died on Monday, June 3 1974, aged 24 years old after a hunger strike that lasted 64 days, during which he was repeatedly force-fed. An estimated 50,000 people attended his funeral in Ballina before he was laid to rest at a republican plot in Leigue Cemetery. A similar commemoration in honour of Michael Gaughan organised by the National Graves Association took place earlier this year on June 1. WANG XIAOYING/CHINA DAILY The recent visits by Spanish Prime Minister Pedro Sanchez and Norwegian Prime Minister Jonas Gahr Store to China highlight the growing potential for cooperation between Europe and China, especially in the area of clean energy and green transition. Their visits reflect the shared interest in stabilizing relations and deepening collaboration on sustainable development. By engaging in constructive dialogue, Spain and Norway demonstrated their will to facilitate green transition, a crucial pathway to addressing the global climate crisis. Trade disputes, not least those related to tariffs on Chinese EVs, need to be resolved without derailing broader efforts toward a green economy. Spain, one of Europe's largest EV producers, plays a crucial role in this dynamic. During his visit, Sanchez voiced Spain's readiness to expand collaboration with China in areas like cultural exchanges, trade and particularly EVs. Spain's position as a major player in the automotive industry, coupled with China's strength in EV production, presents significant opportunities for their win-win cooperation. Likewise, Norway, following talks between Chinese and Norwegian leaders, issued a joint statement with China on the establishment of dialogue on green transition. A leader in renewable energy and EV adoption, Norway has long been committed to reducing its carbon footprint. "We welcome cooperation and trade with China in a broad range of areas ... We want to cooperate on areas where we have overlapping interests with China, such as addressing climate change and the green industrial transition," the Norwegian prime minister told Xinhua ahead of his visit to China. Norway's strategic insight in the maritime and shipbuilding sectors, which also touch upon greener, more efficient transportation, aligns with China's expertise in green technologies, hence a mutually beneficial partnership. This collaboration on green economy, as widely deemed a win-win scenario, enables Europe and China to strengthen their positions in the global market thereby contributing to international climate goals. Rising up to challenges and braving headwinds, China and Europe are capable of forging meaningful partnerships that transcend political differences, especially when it comes to the shared goal of sustainability. XINHUA by Tanya Gazdik , September 12, 2024 General Motors and Hyundai announced plans to collaborate on new vehicles, supply chains and technologies in a bid to cut costs and move faster. The two automakers said they aimed to work together on internal combustion, electric and hydrogen-powered vehicles, according to The New York Times. But they did not provide details on where the joint work would be done, which executives would oversee the effort or how quickly they would come up with new models. The companies have signed a nonbinding agreement via GM CEO Mary Barra and Hyundai executive chair Euisun Chung. GM and Hyundai have complementary strengths and talented teams, Barra said in a statement. Our goal is to unlock the scale and creativity of both companies to deliver even more competitive vehicles to customers faster and more efficiently. advertisement advertisement Although both automakers have invested heavily in modern EV platforms, they will likely start on the next generation before long. Supply chains, particularly for raw materials like steel or the minerals that go into battery cells are another potential avenue for collaboration, according to Ars Technica. The companies declined to provide additional details about the announcement, including potential capital investments or expected savings or efficiency gains. The agreement comes months after Barra said now is a prime time for industry collaboration to share in capital spending, per CNBC. This is the first such agreement for Hyundai, according to a spokesman. GM, meanwhile, has been part of many partnerships or deals. Some tie-ups have led to products, but many others have not worked out or did not accomplish as much as initially expected. Trusted Source Antimicrobial resistance Go to source Trusted Source Have you heard of the #superbug threat? #Antimicrobial resistance is on the rise, making infections harder to treat. Let's learn more about this growing public health crisis. #AMR #HealthCrisis Fungal Pathogens Overlooked in AMR Fight Antimicrobial resistance - (https://www.who.int/news-room/fact-sheets/detail/antimicrobial-resistance) Incorporating fungal pathogens is essential in the battle against deadly antimicrobial resistance (AMR) (), a rapidly growing global public health threat.The study, led by scientists at the universities of Manchester in the UK, Amsterdam, and the Westerdijk Institute in the Netherlands, showed that most fungal pathogens identified by the World Health Organisation (WHO) are either already resistant or rapidly acquiring resistance to antifungal drugs.These fungal pathogens account for around 3.8 million deaths a year.The study, which comes ahead of the UN meeting on AMR later this month, calls for including resistance developed in many fungal pathogens to curb AMR. The scientists argued that focussing on bacteria alone will not help fully combat antimicrobial resistance (AMR). They called for immediate action, without which resistance to fungal disease will escalate. Aspergillus, Candida,andare the major fungicide resistant infections.These can have devastating health impacts on the elderly and people with weak immune systems . Dr. Norman van Rhijn from The University of Manchester said that invasive fungal diseases have been responsible for many drug resistance problems over the past decades. However, the threat of fungal pathogens and antifungal resistance has largely been underrecognised and left out of the debate by scientists, governments, clinicians, and pharmaceutical companies. van Rhijn explained that the close similarities between fungal and human cells make it hard to find treatments that selectively inhibit fungi with minimal toxicity to patients.The scientists suggested a global consensus on limiting the use of certain classes of antifungal molecules. They also stressed the need for collaborating on solutions and regulations that ensure food security and universal health for animals, plants, and humans.Source-IANS Trusted Source Mpox Go to source Trusted Source #Mpox vaccinations are here! Are you eligible? Learn more about how this vaccine can protect you and your community. #monkeypox #vaccines DR Congo Remains Mpox Epicenter Advertisement Mpox - (https://www.who.int/news-room/questions-and-answers/item/mpox) The DR Congo, the heart of Africa's monkeypox ( Mpox ) outbreak, will begin its vaccination campaign on October 2 ().The vaccination effort, which runs until October 11, follows the receipt of over 265,000 doses from international partners, according to the minutes of the weekly Council of ministers meeting, Xinhua news agency reported."The process of procuring 3,000 doses of vaccines for children is sufficiently advancing," the minutes said, late Friday.A report by the Congolese Ministry of Health revealed that the DRC has reported 21,813 suspected cases. This includes 716 deaths since the start of 2024. The country accounts for about 90 percent of cases in Africa. This comes as the World Health Organization (WHO) announced the approval of the MVA-BN vaccine, developed by Bavarian Nordic, as the first Mpox vaccine to its prequalification list.Mpox is a viral illness that can cause a range of symptoms, including fever, rash, fatigue , and swollen lymph nodes. It is spread through close contact with an infected person or animal, or through contaminated materials. While most cases of Mpox are mild, the virus can be severe in certain individuals, particularly those with weakened immune systems . The development of vaccines and antiviral treatments has been crucial in combating the spread of Mpox and improving outcomes for those infected.Modified Vaccinia Ankara-Bavarian Nordic or MVA-BN is indicated for active immunisation against smallpox , Mpox, and related orthopoxvirus infections and disease in all adults 18 years of age and older.The vaccine can be administered as a 2-dose injection given 4 weeks apart. Available data shows that a single-dose MVA-BN vaccine given before exposure has an estimated 76 percent effectiveness in protecting people against Mpox, with the 2-dose schedule achieving an estimated 82 percent effectiveness, the WHO said in a statement.The ongoing Mpox outbreak in Africa was declared a public health emergency of international concern by the WHO in mid-August. About 15 countries are battling the deadly infections -- Morocco has become the latest country. The outbreak began with the emergence of the poorly understood but more dangerous clade 1b variant. It was first detected in the DRC in September 2023. This strain has since been reported in countries including Sweden and Thailand.Source-IANS A former employee of an organ recovery group said in a letter to a congressional committee that in 2021 the Kentucky organization tried to harvest organs for transplant from a patient who was still alive. Nyckoletta Martin said a man who was incorrectly listed as brain dead woke up in a Kentucky hospital. But the group charged with recovering the organs, the Kentucky Organ Donor Affiliates, wanted to proceed with the operation anyway, Martin said. Martin called it an alarming case of patient abuse. Organ transplantation in the United States is overseen by a Richmond, Virginia-based contractor, the United Network for Organ Sharing, or UNOS. Anne Paschke, a spokesperson for the contractor, said UNOS was unaware of the allegation until it was referenced at a congressional hearing Wednesday by the House Committee on Energy and Commerce. Had it been reported to the transplant network, the claim would have been investigated by a peer review process. Paschke called the remarks serious and unfounded, saying they have the potential to jeopardize confidence our countrys donation and transplantation system. After Virginia Rep. Morgan Griffith, R-9th, amplified the allegation Wednesday, it drew responses of disbelief. Its like a bad Netflix movie, said Rep. Kelly Armstrong, R-N.D. Is it possible this stuff is actually happening? UNOS has held the organ transplantation contract since its inception in 1986. It oversees the Organ Procurement and Transplant Network, which includes 56 organ procurement organizations and about 250 transplant hospitals. A nonprofit, UNOS has faced years of problems. It has been accused of operating old technology, failing to get organs delivered on time and an inability to discipline underperforming members of the network. Repairing the countrys system for organ transplantation is one of the rare places where Republicans and Democrats agree, Rep. Kathy Castor, D-Fla., said Wednesday. A law passed in 2023 breaks up its monopoly. The Health Resources and Services Administration has solicited bids for contractors to take on various pieces of UNOS work. UNOS agreed to a contract that expires at the end of the year. The government can extend the contract up to another year. UNOS said it supports the governments plan to divide up the contracts. UNOS believes it has the experience and expertise to remain a part of the national system. Crying and thrashing Martin said she had been working for Kentucky Organ Donor Affiliates for a year when she was called to the hospital in 2021. A young man had overdosed and was declared brain dead. It was Martins job to recover the organs for transplantation, she wrote in a letter to Congress obtained by the Richmond Times-Dispatch. Martin said that her colleagues notified her that the man awoke during a cardiac catheterization procedure in preparation for organ donation. He was crying and thrashing around, Martin wrote in her letter. Medical staff gave him a sedative and a paralytic and returned him to the intensive-care unit. Later, he woke again. The determination that the man was brain dead was an error. Such errors are shockingly common, Martin wrote. But a senior official for Kentucky Organ Donor Affiliates, the organ recovery group, directed that the organ recovery proceed, Martin said. The mans movements were written off as reflexes. Staff wheeled the patient toward the operating room, a process known as an honor walk, where employees stand and honor the organ donor. The man woke a third time, Martin said. On the operating table and fully conscious, the man cried, shook his head and pulled at his breathing tube. The surgeon canceled the procedure, and the patient was taken back to the ICU. The senior organ procurement official looked for another surgeon to take the organs, but none would do it. Two weeks later, the man was discharged from the hospital, and several organ procurement employees quit the company, including Martin. It was horrifying to see so many opportunities for KODA leadership to do the right thing and not to do them, Martin wrote. Paschke, the UNOS spokesperson, said the allegations should be reported to law enforcement for further investigation. She said the safety of patients is UNOS top priority. UNOS has a phone number for reporting safety events, and reports can be made confidentially. The networks bylaws prohibit retaliation against whistleblowers. But Greg Segal, who started a reform group called Organize, testified Wednesday that UNOS ignores calls for improvement and sometimes attempts to quiet those who speak out. In 2022, UNOSs then-CEO, Brian Shepard, told Congress that it is the responsibility of the Health Resources and Services Administration to discipline or remove failing organ collection groups not UNOSs. A new CEO, Maureen McBride, took over last year and is steering the nonprofit toward a future defined by innovation and improvement, Paschke said. On Capitol Hill on Wednesday, other transplant experts explained that a patient might show signs of life after he or she has been declared dead. Dr. Robert Cannon, a transplant surgeon at the University of Alabama at Birmingham, said he once experienced a similar situation. During the procedure to remove the organs, the anesthetist said it appeared the patient breathed. No one knew what to do, Cannon said, so someone called the organ procurement organization to ask for help. The organization recommended the hospital staff continue collecting the organs which would have been murder had we proceeded, Cannon said. Instead, Cannon stopped the procedure, and the patient died at a later point. Every transplant surgeon has a story like this, he added. Dr. Seth Karp, a transplant surgeon at Vanderbilt University Medical Center, said that from time to time, after a patient has arrived for organ removal, the question arises of whether the patient is actually dead. Transplant teams have poor training and a poor standard of practice, Karp said. People dont know what to do in that situation, Karp said. Another who testified Wednesday, Segal, said he has heard similar allegations with regularity. Some employees of organ procurement organizations decline to be organ donors because of the companys practices. Paschke, a spokesperson for UNOS, said those who testified spread untruths and misunderstandings about the transplant network. Organ groups dont collect enough These allegations of overzealous pursuit of organs contrast the most common criticism of organ procurement organizations that they do not collect enough organs, disregarding patients who dont speak English or those who died in Veterans Affairs hospitals, critics said. After organs are collected, too many of them are discarded or lost before they can be transplanted into a needy patient, Karp said. There isnt a uniform policy across all states to determine if a dead patient is ready to become an organ donor, Cannon said. Often, two doctors will affirm that the patient has experienced brain death. They might check for breathing and whether blood is flowing to the brain. The transplant surgeon who removes the organs is not part of the determination and should not be, Cannon added. After the patient is declared dead, the organ procurement organization steps in and begins making arrangements, including speaking to family members. If the transplant surgeon or another staffer notices something to suggest the patient is alive, the operation stops immediately. Such instances are rare, Karp said. Allegations such as these are troubling, said Rep. Debbie Lesko, R-Ariz., because they go beyond hurting a patient. If word spreads that organs are harvested before a patient dies, fewer people will volunteer to be organ donors. This is a problem, she said. Trusted Source An Estimate of the Number of People with Clinical Depression Eligible for Psilocybin-Assisted Therapy in the United States Go to source Trusted Source Could #psilocybin therapy be a game-changer for #depression? If the #FDA approves it, millions of #Americans could benefit from this promising treatment. #mentalhealth #depressiontreatment #depressed Millions Could Benefit from Psilocybin Therapy Advertisement Advertisement An Estimate of the Number of People with Clinical Depression Eligible for Psilocybin-Assisted Therapy in the United States - (https://pp.genomicpress.com/wp-content/uploads/2024/09/PP0025-Rab-2024.pdf) As mounting evidence supports the potential of psychedelic-assisted therapies, the FDA nears a groundbreaking decision: the approval of psilocybin, the psychoactive compound found in magic mushrooms , for treating depression. This pivotal moment raises a critical question: How many people could benefit from this promising but unproven therapy ()?Shedding light on this high-stakes inquiry, a first-of-its-kind peer-reviewed study led by researchers at Emory University, the University of Wisconsin-Madison and UC Berkeley, and that will be published in(ISSN: 2997-2671, Genomic Press, New York) has generated initial estimates of the potential demand for psilocybin-assisted therapy for depression in the United States.Psilocybin is a psychoactive compound found in certain mushrooms, commonly known as "magic mushrooms." It interacts with serotonin receptors in the brain , leading to altered states of consciousness, hallucinations, and spiritual experiences.By analyzing national survey data on depression prevalence and treatment in conjunction with the eligibility criteria from recent landmark clinical trials, the researchers determined that between 56% and 62% of patients currently receiving treatment for depressionamounting to a staggering 5.1 to 5.6 million individualscould qualify for psilocybin therapy if approved.This underscores the importance of understanding the practical realities of rolling out this novel treatment on a large scale, said Syed Fayzan Rab, an Emory MD candidate and the study's lead author.To arrive at their projections, the researchers first determined that of the nearly 15 million American adults with depression, about 9 million receive treatment in a given year. They then evaluated this population against various eligibility criteria used in recent clinical trials of psilocybin for depression. Their analysis generated a range of estimates: a lower-bound of 24% of patients eligible if the strict criteria of initial trials were applied, a mid-range of 56% based on criteria likely to be used in real-world medical settings, and an upper-bound of 62% after accounting for patients with multiple exclusionary conditions.Notably, nearly a third of the lower-bound to mid-range jump resulted from the inclusion of patients with alcohol and substance use disorders, for whom growing evidence suggests psilocybin may actually be beneficial rather than contraindicated. However, even the 62% upper-bound estimate is likely conservative, as the analysis focused only on currently treated individuals and did not account for the potential influx of new patients drawn by the appeal of psychedelic medicine.The researchers caution that these projections are highly contingent on the precise FDA approval parameters and subsequent real-world implementation factors. Insurance coverage decisions, availability of trained practitioners, and regional variations in access could all considerably constrain the ultimate uptake of psilocybin therapy. Additionally, if approval encompasses off-label use for conditions beyond depression, demand could further surge in unpredictable ways.While our analysis is a crucial first step, we've only scratched the surface in understanding the true public health impact psilocybin therapy may have, said Dr. Charles Raison, a collaborator on the study and the lead investigator on one of the largest clinical trials looking at the efficacy of psilocybin therapy for depression. "Ultimately, the realizable potential of this treatment rests in the hands of regulatory bodies, policymakers, insurers, and the healthcare community at large. It's our hope that these findings spur productive discussions and proactive preparations to optimize the benefit to patients while minimizing unintended consequences."As the psychedelic renaissance continues to gather momentum, this study provides a vital glimpse into the promise and challenges that may lie ahead. With millions of lives potentially in the balance, it underscores the urgency of further research to refine demand estimates and inform equitable, effective delivery of psilocybin therapy should it gain approval.Source-Eurekalert A Korean Odyssey (2017) Inspired by 'Journey to the West,' this drama follows an immortal's adventures in modern Seoul, battling demons and meeting an unyielding woman. MONTGOMERY, Alabama -- As he rolled out the latest batch of incremental improvements aimed at helping troops have easier lives, Defense Secretary Lloyd Austin said Friday that he wished he could move faster and be bolder. "You can never move fast enough, but I do think we are moving," Austin told reporters at Maxwell Air Force Base in Alabama on Friday. Austin, along with first lady Dr. Jill Biden, traveled to the Air Force base -- home to the service's war college -- in order to highlight the latest reforms that he has put in place, as well as the White House's efforts to improve early childhood education in the military. Read Next: Vance Would 'Consider' Expanding Private Care Options for Veterans if Trump Wins The latest changes, which the Pentagon announced earlier Friday, include new pilot programs for Wi-Fi in barracks rooms, new health care options for troops, and looking into making enlisted uniform allowances more flexible. One of the most immediate changes would make permanent change of station, or PCS, moves less stressful by offering longer periods of time for troops to use government funds to stay in temporary housing. Service members will now get 21 days of funds if they are moving inside the continental U.S. and up to 60 days if they are coming from outside the continent. The other immediate change is making the "My Career Advancement Account," or MyCAA, program available to spouses of active-duty service members serving in the top three enlisted ranks of E-7, E-8 and E-9, as well as warrant officer 3. MyCAA is a scholarship fund of $4,000 that is aimed at helping spouses get professional credentials, certifications, or classes that enable them to work or grow their careers. However, outside of these smaller immediate changes, most of the Pentagon plans and projects, such as new barracks buildings or facilities, have long lead times, and many service members may have to wait months, if not years, to reap the benefits. But both Austin and senior officials under him acknowledged that, despite the changes they've already put into place, more needs to be done. Reports about troops being unable to find affordable housing in some parts of the country, poor barracks conditions, or even service members struggling just to get food on base continue to persist despite the efforts of reporters to highlight the problems and military leaders to stamp them out. "I would like to take a big chunk of money and knock all the barracks that have challenges down today and rebuild them today," Austin said. "Our appropriation system ... doesn't work like that, and so you have to operate within the rules and the confines of that system. "But having said that, I think Congress is very much interested in making sure that our troops have what they need," the secretary added. Underscoring the importance of the issue, Biden said that taking care of military families is "a national security imperative to the military families here today," and she praised Austin for having a "willingness to seek out fresh perspectives and bring new depth to everything that he does." In speaking to both troops and reporters, the defense secretary noted that, when possible, he tries to use his influence and experience as a former Army officer to ease their lives in small ways. Austin said when he and his staff were discussing increasing the housing allowance for troops, he insisted on making that change automatic. "I didn't want folks to apply for things," he said. "I wanted them to wake up the next day, look at their leave and earnings statement, and see that they had already had money in the bank." Other benefits announced Friday that will be coming in the future include greater salaries for managers and supervisors at child care centers to help increase retention, a new health savings plan that more closely matches what employers offer civilians, and a review of uniforms to see whether they are sturdy enough to last as long as they are intended. Related: Pentagon's New Quality-of-Life Tweaks Aim for Temporary Housing, Uniform Allowances, Wi-Fi Title: Mastering photo power to select all photos in Google Photos for bulk actions (Photo Power: How to Select All Your Photos in Google Photos for Bulk Actions) Do you struggle to efficiently manage your photo library? Do you want to perform various tasks quickly on your photos without losing any? Look no further! In this article, well take a step-by-step approach to mastering photo power to select all photos in Google Photos for bulk actions. 1. Check if your Google account is allowed to access all photos in Google Photos. Before you can use photo power, make sure that your Google account has the required permissions to access all photos. If not, follow these steps: Go to Settings > Account & Privacy > Permissions. Click on Add permissions. Tap on Image usage. Follow the prompts to grant permission to your Google account to access your photos. 2. Open Photos and locate the area where you want to select all photos. Once youve granted permission, you can open Photos in Google and navigate to the Select photos option. 3. Click on Full album or Group by album. Selecting a full album or group by album will give you access to all photos in your library. To select only certain photos, click on Select photos from selected albums. 4. Use the filter tool to choose photos based on specific criteria. Once you have selected a full album, you can now use the filters tool to filter the photos. You can choose between different options such as color, size, date, etc., to find the best pictures of yourself or others. For example, you could select all photos with white backgrounds or edited photos taken recently. 5. Preview and share your selection. After selecting the photos you want to use, preview them and choose which ones to share. Once youre satisfied with your selection, share it with others using Googles Share button. (Photo Power: How to Select All Your Photos in Google Photos for Bulk Actions) In conclusion, mastering photo power is easy when you follow these simple steps. With these tips, you can easily select all photos in Google Photos for bulk actions and perform various tasks quickly. So, dont hesitate to try out these steps and see how they work for you! Inquiry us if you want to want to know more, please feel free to contact us. (nanotrun@yahoo.com) As American grocery buyers await a Federal Trade Commission verdict on Krogers 2-year-old, $24.6 billion bid to buy competitor Albertsons, the European Commission took just 35 days to give its blessing to the merger between two of the worlds largest grain merchandisers, Bunge and Viterra. The megadeal, valued at about $34 billion at the time of its June 2023 announcement, isnt a slam dunk, though. Canada, where both companies own substantial export facilities in Vancouver, is holding up approval as it evaluates the deals impact on western Canadas grain markets. And for good reason. Bunge, the worlds fifth-largest grain merchant, operates in 40 countries and has about $57 billion in annual revenues. Its the worlds largest oilseed processor and is globally dominant in soybeans, canola and corn, notes a recent 92-page report on the deal by SOMO, an Amsterdam-based, independent agency that examines corporate power in business, politics, and culture. Viterra is no slouch, either. Owned by mining giant Glencore, it has extensive grain processing and export facilities in 38 countries and, in 2023, had revenues of $53 billion. Together, however, the pair would challenge industry cornerstones. The proposed transaction will create a global grain giant to rival Cargill and Archer Daniels Midland further contributing to the consolidation of the crucial commodity trading and logistics segment of the global food value chain, explains SOMO. That type of latent market power, notes Canadas National Farmers Union, belies Viterras deep roots in the nations prairie co-operatives that built Canadas grain handling system over the course of nearly a century. Those co-ops founded, funded and fueled by remarkable farmer members developed an elevator system to gain control of the [local grain] trade and operated as profitable farmer-run businesses that handled nearly 60% of Canadas grain from country elevator to port terminal. When the North American Free Trade Agreement went into effect in the mid-1990s, however, the prairie co-ops and the nations single-desk export agent, the Canadian Wheat Board, lost political favor with the newly installed, Conservative Harper government. Shortly thereafter, explains the farmers union, the Harper government stripped the CWB of its authority which quickly led to restructuring that ultimately and controversially converted these farmer-created co-operatives into the corporation that rebranded as Viterra in 2007. But now, If Bunge is allowed to buy Viterra, it will get billions of dollars worth of revenue potential created by and for Canadian farmers to counter the very exploitation of private grain traders like Bunge visited on them a century ago, the union warned in a Sept. 3 news release. Its not idle speculation. A March 2024 preliminary report on the Bunge-Viterra deal by three University of Saskatchewan ag economists showed that by any measure the grain industry in Canada is already very concentrated and will only become more concentrated with a (Bunge-Viterra) merger. The trio then tested their hypothesis. A merger simulation indicates that Vancouver export basis will increase by about 15% while canola crush margins will increase by 10%. When added together, the total impact of the proposed Bunge-Viterra deal reduces grain producer income by approximately $770 million per year. And outside of Canada, says SOMO, the merger is equally troubling. It will significantly contribute to the consolidation of the global agribusiness sector by creating the world's largest grain trader (that can) undermine the resilience of food supply chains, economic democracy, and food security and sovereignty. Its a story almost as old as agriculture itself: Companies accumulate market power to squeeze otherwise unobtainable revenue from their markets least protected, most vulnerable players. And whos less protected and more vulnerable to predatory global grain giants than farmers? FLINT TWP, MI -- Dairy just got a makeover at this Flint-area Middle Eastern grocery store. With the arrival of B. Haleeb, a rich and creamy dairy product, shoppers are buzzing about the blend of authenticity and freshness that promises to elevate everything from a cup of tea to classic Middle Eastern dishes. GRAND RAPIDS, MI A visual spectacle unfolded Friday night in downtown Grand Rapids as a massive, 35-foot illuminated puppet scaled the Amway Grand Plaza to celebrate the opening of ArtPrize 2024. Shortly before fireworks lit up the night sky, the giant marionette built from thick pieces of aluminum woven together began climbing the 29-story hotel. Thousands of residents and visitors gathered on the Pearl Street bridge and throughout downtown to watch the performance. RELATED: 15 years later, can ArtPrize still wow Grand Rapids? The puppet, whose name is David, weighs 200 pounds and was created by The Underground Circus, a professional circus performance company based in Vancouver, British Columbia. ArtPrize officials say hes the largest marionette in North America. There has been months and months of planning, said ArtPrize spokesperson Mark Osgerby, when asked what it took to pull off the event. I hope that people are just in awe of the whole spectacle. David climbed the west side of the Amway Grand on a host of thick, wired cables that stretched from the buildings roof to a railing on the sidewalk below. His movements were powered by a team of puppeteers stationed on the roof of the Amway Grand. Last year the fireworks were very popular and well attended, and we wanted to add to that excitement with something new and build some momentum, he said, describing why ArtPrize wanted to bring the giant puppet to Grand Rapids. RELATED: ArtPrize 2024 kicks off in Grand Rapids with magical displays The spectacle was one highlight of ArtPrizes opening celebration. The annual international art competition kicked off Friday, Sept. 13 and runs for 16 days through Sept. 28. Downtown was bustling with activity Friday ahead of the big reveal during the opening night party from 7 to 10 p.m. A colorful fireworks show illuminated the night sky, and attendees enjoyed food, music and more on the Pearl Street bridge, which was closed for the event. The evening kicked off with three parades one from Fish Ladder Park, another from 555 Monroe Ave. NW, and the third from the ArtPrize ClubHouse that converged at the bridge. Fridays performance wasnt Davids first time in the spotlight. Created in 2009, hes appeared at the opening of the Vancouver Trade and Convention Centre, a TED event in Long Beach, California, and the Beakerhead and Calgary International Film Festival in 2021. There, he scaled the 45-story Devon Tower, a feat that took him three hours to accomplish, according to LiveWire Calgary. For those who missed Fridays spectacle, theres still time to meet David. RELATED: Your guide to ArtPrize 2024 around Grand Rapids He will be on display from Sept. 16-25 at a parking lot at 45 Ottawa Ave. NW in downtown Grand Rapids. There, he will be hoisted vertically by a crane provided by Rockford Construction, and attendees will have an opportunity to operate him at select times, Osgerby said. The public will be able to operate David make his arms and his legs move, so that will be really cool, Osgerby said. Times when the public can do so can be found under the events tab at ArtPrize.com, he said. 31 1 / 31 ArtPrize 2024 kicks off in downtown Grand Rapids More on MLive: Abandoned railroad tunnel could be transformed into colorful pedestrian walkway As ArtPrize returns to Grand Rapids, see all the past grand prize winners Holton Public Schools cancels remainder of varsity football team conference games Newly transformed Walmart opens near Grand Rapids WALKER, MI -- Looking for someplace new for your next Taco Tuesday? El Tapatio Mexican Bar and Grill, located at 363 Cummings Ave. NW in Walker, recently opened with an overwhelming number of options for those looking for Mexican cuisine. Walker resident Dennis Kuklewski and wife Joyce migrate south for the winter where they frequent Mexican eateries. The couple, who originally planned on a fast food chain for dinner, found El Tapatio was highly recommended on the area residents Facebook page. Plans changed, and the food did not disappoint. We were thinking Taco Bell tonight. Thats how much we enjoy having Mexican food, Dennis said. We made the right choice. This might be the best Mexican food weve had, Joyce chimed in. Dennis agreed. The restaurant, which soft launched on Aug. 17, is now in full operation and aims to provide an authentic experience. The kitchen is captained by a Jalisco, Mexico-born man who brought his handcrafted recipes to the area more than 25 years ago. People dont realize theres a difference between authentic Mexican meals and Tex-Mex, manager and part owner Martin Lares said. Our ingredients are fresh. Nothings out of the can. We serve homestyle Mexican meals. While theres many choices, Lares said Burrito Tapatio, Burrito Monterrey and Texas fajitas are his go-to recommendations to new customers. Burrito Tapatio, which costs $18.99, is filled with steak, chicken, shrimp, Monterey Jack cheese, rice and beans. It is served with lettuce, tomato and sour cream. Burrito Monterrey, which costs $18.99, is filled with steak, chicken and shrimp, chorizo, rice and beans topped with green sauce, cheese dip and red sauce. Texas fajitas, which costs $23.99, can be made with steak, chicken or shrimp. It is served with rice, beans, lettuce, sour cream, pico de gallo, guacamole and three warmed tortillas. The menu also includes tacos, quesadillas, enchiladas and vegetarian options. The cantina serves margaritas and beer as well as typical cocktails. El Tapatio is open from 11 a.m. to 10 p.m. Monday through Thursday, 11 a.m. to 10:30 p.m. Friday and Saturday and 11 a.m. to 9 p.m. on Sunday. Lunch specials are served 11:00 a.m. to 3:00 p.m. Monday through Friday and 11:30 a.m. to 3:00 p.m. on Saturday. For more information about El Tapatio check out its website, Facebook, or call 616-805-3232. Want more Grand Rapids-area news? Bookmark the local Grand Rapids news page or sign up for the free 3@3 Grand Rapids daily newsletter. ANN ARBOR, Mich. Michigan will be without reserve running back Jordan Marshall today, a week after the true freshman made an impact on special teams. Marshall is one of five players ruled out for the 17th-ranked Wolverines game Saturday against Arkansas State (Noon, Big Ten Network), according to the teams pregame player availability reported to the Big Ten. Traveling during peak season can be a drag. Visiting Europe in the summer, for example, means contending with higher prices, tight availability and throngs of fellow travelers. Thats why many savvy travelers choose to vacation during shoulder seasons that lie between peak season and low season spring and autumn for many destinations. Yet remote work and overcrowded peak seasons have increased the popularity of these shoulder seasons. Take the Jersey Shore, a popular seaside destination in New Jersey, for example. This coastal region has seen a significant increase in visitors during the fall months, with October through December occupancy rates in 2023 up by as much as 50% compared to pre-pandemic levels, according to a 2024 report from AirDNA, a short-term rental analytics firm. And its not the only place thats getting more visitors outside of peak season. As many destinations see more tourists spill into the shoulders, whats the best way to avoid these offseason crowds? Avoid trendy destinations If everyone is zagging their travel plans, maybe its a good time to zig. For example, Japan saw a huge influx of travelers this spring. The number of U.S. citizens departing for Japan in March through May of 2024 rose 17% compared with the same months in 2023, and jumped a whopping 41% compared with the same months in 2019, according to the International Trade Administration. Similarly, Greece saw nearly three times as many U.S. visitors from March through May in 2024 compared to the same period in 2019. Closer to home, popular national parks have seen a surge in shoulder season crowds. In Maine, Acadia National Park, which was once highly seasonal with peak demand only in July and August, now sees high demand stretching from June through October, Chloe Garlaschi, a communications manager for AirDNA, said in an email. This trend is part of a broader shift where national park destinations are attracting visitors outside of their traditional peak periods. If everyone you know is talking about visiting Tokyo or Athens, Greece, maybe its worth researching locales that have seen fewer tourists in recent years. For example, Australia saw 27% fewer U.S. visitors in the spring months of 2024 compared with the same months in 2019. China, which has seen much less U.S. tourism since the start of the COVID-19 pandemic, had 78% fewer U.S. visitors this spring compared with 2019. Embrace the offbeat Even within popular destinations, its possible to venture to offbeat locales with far fewer tourists. Most travelers to Japan visit the Eastern cities of Tokyo and Kyoto, but fewer venture inland to mountain towns such as Takayama, which boasts impressive temples and a quiet, quaint atmosphere. And few foreign tourists visit the island prefecture of Okinawa in Japans south, despite its warm weather and distinct culture from the mainland. In the U.S., well-known national parks like Acadia (in Maine) and Yosemite (in California) may be popular during shoulder season. But lesser-known parks such as Great Sand Dunes National Park in Colorado or Californias Channel Islands National Park may see smaller crowds. Avoid high prices When demand for travel to a destination peaks, so do prices for airfare, accommodations and ground transportation. So looking for deals can save you money and help you avoid the most crowded spots. According to data provided by Hopper, a travel booking platform, these destinations in the U.S. are seeing the biggest spike in flight booking demand this autumn: Seattle. Portland, Ore. Salt Lake City. San Jose, Calif. Hawaii Island, Hawaii. Spokane, Washington. Lihue, Hawaii. Indianapolis. Portland, Maine. Asheville, N.C. Meanwhile, these international destinations are seeing the biggest price spikes: Seoul, South Korea. Shanghai. Athens, Greece. Frankfurt, Germany. Venice, Italy. Zurich. Lima, Peru. Brussels. Kuala Lumpur, Malaysia. Bangalore, India. Of course, just because a flight is expensive doesnt mean the destination will be crowded, but it does provide a proxy for demand. These lists give a sense of which spots are hot even when the weather isnt. Know thy shoulder Not all shoulder seasons follow the same pattern, so knowing the right time to travel to avoid crowds means more than just leaving in the spring or fall. In Phoenix and Scottsdale, [Arizona], we see an unconventional seasonal pattern, Garlaschi said. The peak season actually falls in February and March due to the mild winter climate. And keep in mind that, even though travel data show shoulder seasons getting more popular, crowds (and prices) will still be much lower during these off-peak periods. You dont have to travel to the North Pole in winter to avoid overtourism. AKITA Drilling Ltd. (OTCMKTS:AKTAF Get Free Report) saw a significant growth in short interest in August. As of August 31st, there was short interest totalling 11,700 shares, a growth of 431.8% from the August 15th total of 2,200 shares. Based on an average trading volume of 3,900 shares, the days-to-cover ratio is presently 3.0 days. AKITA Drilling Trading Up 2.7 % OTCMKTS:AKTAF opened at $0.98 on Friday. The businesss 50-day simple moving average is $1.02 and its 200-day simple moving average is $1.07. AKITA Drilling has a fifty-two week low of $0.86 and a fifty-two week high of $1.43. Get AKITA Drilling alerts: AKITA Drilling Company Profile (Get Free Report) Recommended Stories AKITA Drilling Ltd. operates as an oil and gas drilling contractor in Canada and the United States. It is involved in the drilling oil and gas wells, potash exploration and development wells, geothermal wells, disposal wells, and carbon storage wells, as well as wells to be developed into storage caverns for gas. Receive News & Ratings for AKITA Drilling Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for AKITA Drilling and related companies with MarketBeat.com's FREE daily email newsletter. Alaska Air Group (NYSE:ALK Get Free Report) updated its third quarter earnings guidance on Thursday. The company provided earnings per share (EPS) guidance of $2.15-2.25 for the period, compared to the consensus estimate of $1.61. Alaska Air Group also updated its Q3 2024 guidance to 2.150-2.250 EPS. Analysts Set New Price Targets A number of brokerages have recently issued reports on ALK. Susquehanna dropped their price objective on Alaska Air Group from $42.00 to $41.00 and set a neutral rating on the stock in a research note on Tuesday, July 16th. Bank of America upped their price objective on Alaska Air Group from $50.00 to $55.00 and gave the company a buy rating in a report on Friday. Citigroup reduced their target price on Alaska Air Group from $51.00 to $48.00 and set a buy rating on the stock in a report on Thursday, August 29th. TD Cowen increased their target price on Alaska Air Group from $51.00 to $52.00 and gave the stock a buy rating in a report on Friday. Finally, Wolfe Research raised Alaska Air Group from a peer perform rating to an outperform rating and set a $55.00 target price on the stock in a report on Friday, May 17th. Four investment analysts have rated the stock with a hold rating and eight have given a buy rating to the stock. According to MarketBeat, the stock currently has a consensus rating of Moderate Buy and a consensus target price of $54.00. Get Alaska Air Group alerts: Check Out Our Latest Analysis on Alaska Air Group Alaska Air Group Price Performance Alaska Air Group stock opened at $41.64 on Friday. The company has a current ratio of 0.68, a quick ratio of 0.66 and a debt-to-equity ratio of 0.55. The company has a market capitalization of $5.25 billion, a PE ratio of 22.27, a P/E/G ratio of 0.60 and a beta of 1.59. Alaska Air Group has a 12 month low of $30.75 and a 12 month high of $46.15. The stock has a 50-day moving average price of $36.88 and a two-hundred day moving average price of $39.79. Alaska Air Group (NYSE:ALK Get Free Report) last issued its quarterly earnings results on Thursday, July 18th. The transportation company reported $2.55 earnings per share for the quarter, beating the consensus estimate of $2.36 by $0.19. Alaska Air Group had a return on equity of 11.85% and a net margin of 2.14%. The company had revenue of $2.90 billion during the quarter, compared to analysts expectations of $2.94 billion. During the same quarter in the prior year, the firm posted $3.00 earnings per share. The businesss quarterly revenue was up 2.1% on a year-over-year basis. Analysts expect that Alaska Air Group will post 4.17 EPS for the current year. Alaska Air Group Company Profile (Get Free Report) Alaska Air Group, Inc, through its subsidiaries, operates airlines. It operates through three segments: Mainline, Regional, and Horizon. The company offers scheduled air transportation services on Boeing jet aircraft for passengers and cargo in the United States, and in parts of Canada, Mexico, Costa Rica, Belize, Guatemala, and the Bahamas; and for passengers across a shorter distance network within the United States, Canada, and Mexico. Recommended Stories Receive News & Ratings for Alaska Air Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Alaska Air Group and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com began coverage on shares of American Shared Hospital Services (NYSEAMERICAN:AMS Free Report) in a research note released on Tuesday. The firm issued a hold rating on the stock. American Shared Hospital Services Stock Performance NYSEAMERICAN AMS opened at $3.02 on Tuesday. The company has a market cap of $19.22 million, a price-to-earnings ratio of 37.78 and a beta of 0.67. The company has a debt-to-equity ratio of 0.37, a current ratio of 1.92 and a quick ratio of 1.92. American Shared Hospital Services has a 1-year low of $2.16 and a 1-year high of $4.60. Get American Shared Hospital Services alerts: American Shared Hospital Services (NYSEAMERICAN:AMS Get Free Report) last released its quarterly earnings results on Wednesday, August 14th. The company reported $0.13 earnings per share for the quarter, beating the consensus estimate of $0.07 by $0.06. The business had revenue of $7.06 million during the quarter, compared to analysts expectations of $6.15 million. American Shared Hospital Services had a return on equity of 5.39% and a net margin of 18.41%. As a group, equities analysts anticipate that American Shared Hospital Services will post 0.29 EPS for the current year. Institutional Investors Weigh In On American Shared Hospital Services American Shared Hospital Services Company Profile Institutional investors have recently modified their holdings of the stock. Empowered Funds LLC bought a new stake in shares of American Shared Hospital Services in the 1st quarter valued at approximately $31,000. Renaissance Technologies LLC lifted its stake in shares of American Shared Hospital Services by 31.2% during the 2nd quarter. Renaissance Technologies LLC now owns 55,501 shares of the companys stock worth $177,000 after acquiring an additional 13,201 shares during the last quarter. Finally, Dimensional Fund Advisors LP lifted its stake in shares of American Shared Hospital Services by 4.9% during the 2nd quarter. Dimensional Fund Advisors LP now owns 179,637 shares of the companys stock worth $574,000 after acquiring an additional 8,436 shares during the last quarter. 23.16% of the stock is owned by institutional investors. (Get Free Report) American Shared Hospital Services provides stereotactic radiosurgery and advanced radiation therapy equipment. It operates in two segments, Medical Equipment Leasing, and Retail. The company offers radiosurgery equipment for the Gamma Knife stereotactic radiosurgery, a non-invasive procedure to treat malignant and benign brain tumors, and arteriovenous malformations, as well as for trigeminal neuralgia. Featured Stories Receive News & Ratings for American Shared Hospital Services Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for American Shared Hospital Services and related companies with MarketBeat.com's FREE daily email newsletter. North European Oil Royalty Trust (NYSE:NRT Get Free Report) and LandBridge (NYSE:LB Get Free Report) are both oils/energy companies, but which is the superior investment? We will compare the two companies based on the strength of their profitability, earnings, valuation, analyst recommendations, risk, institutional ownership and dividends. Analyst Ratings This is a summary of recent ratings and price targets for North European Oil Royalty Trust and LandBridge, as reported by MarketBeat. Get North European Oil Royalty Trust alerts: Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score North European Oil Royalty Trust 0 0 0 0 N/A LandBridge 0 2 6 0 2.75 LandBridge has a consensus target price of $34.14, indicating a potential downside of 10.10%. Given LandBridges higher probable upside, analysts plainly believe LandBridge is more favorable than North European Oil Royalty Trust. Insider and Institutional Ownership Profitability 7.0% of North European Oil Royalty Trust shares are owned by institutional investors. 0.5% of North European Oil Royalty Trust shares are owned by company insiders. Comparatively, 17.8% of LandBridge shares are owned by company insiders. Strong institutional ownership is an indication that endowments, large money managers and hedge funds believe a stock will outperform the market over the long term. This table compares North European Oil Royalty Trust and LandBridges net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets North European Oil Royalty Trust N/A 560.64% 238.08% LandBridge N/A N/A N/A Valuation & Earnings This table compares North European Oil Royalty Trust and LandBridges revenue, earnings per share (EPS) and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio North European Oil Royalty Trust $22.02 million N/A $21.17 million $1.31 3.92 LandBridge N/A N/A N/A N/A N/A North European Oil Royalty Trust has higher revenue and earnings than LandBridge. Summary North European Oil Royalty Trust beats LandBridge on 4 of the 7 factors compared between the two stocks. About North European Oil Royalty Trust (Get Free Report) North European Oil Royalty Trust, a grantor trust, holds overriding royalty rights covering gas and oil production in various concessions or leases in the Federal Republic of Germany. The company also has rights under contracts with German exploration and development subsidiaries of ExxonMobil Corp. and the Royal Dutch/Shell Group of Companies. In addition, it holds royalties for the sale of gas well gas, oil well gas, crude oil, condensate, and sulfur. North European Oil Royalty Trust was founded in 1975 and is based in Keene, New Hampshire. About LandBridge (Get Free Report) LandBridge Company LLC owns and manages land and resources to support and enhance oil and natural gas development in the United States. It owns surface acres in and around the Delaware Basin in Texas and New Mexico. The company holds a portfolio of oil and gas royalties. It also sells brackish water and other surface composite materials. The company was founded in 2021 and is based in Houston, Texas. LandBridge Company LLC operates as a subsidiary of LandBridge Holdings LLC. Receive News & Ratings for North European Oil Royalty Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for North European Oil Royalty Trust and related companies with MarketBeat.com's FREE daily email newsletter. ASGN Incorporated (NYSE:ASGN Get Free Report) Director Jonathan S. Holman sold 503 shares of the stock in a transaction that occurred on Wednesday, September 11th. The stock was sold at an average price of $90.45, for a total value of $45,496.35. Following the transaction, the director now owns 10,821 shares in the company, valued at approximately $978,759.45. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. ASGN Stock Performance NYSE ASGN opened at $92.76 on Friday. The firms 50 day simple moving average is $93.20 and its 200 day simple moving average is $95.42. The company has a current ratio of 2.34, a quick ratio of 2.34 and a debt-to-equity ratio of 0.57. ASGN Incorporated has a 1 year low of $76.70 and a 1 year high of $106.42. The firm has a market capitalization of $4.26 billion, a price-to-earnings ratio of 21.47, a P/E/G ratio of 14.49 and a beta of 1.40. Get ASGN alerts: ASGN (NYSE:ASGN Get Free Report) last released its quarterly earnings data on Wednesday, July 24th. The business services provider reported $1.36 earnings per share for the quarter, beating the consensus estimate of $1.33 by $0.03. ASGN had a return on equity of 14.30% and a net margin of 4.56%. The business had revenue of $1.04 billion for the quarter, compared to analyst estimates of $1.05 billion. During the same period last year, the firm posted $1.59 earnings per share. ASGNs revenue was down 8.5% compared to the same quarter last year. As a group, research analysts expect that ASGN Incorporated will post 5.17 earnings per share for the current fiscal year. Institutional Investors Weigh In On ASGN Analysts Set New Price Targets Hedge funds and other institutional investors have recently made changes to their positions in the stock. Reinhart Partners LLC. lifted its stake in ASGN by 0.9% in the 1st quarter. Reinhart Partners LLC. now owns 548,300 shares of the business services providers stock valued at $57,440,000 after purchasing an additional 4,931 shares during the last quarter. SG Americas Securities LLC boosted its holdings in shares of ASGN by 1,851.6% during the 2nd quarter. SG Americas Securities LLC now owns 19,887 shares of the business services providers stock valued at $1,753,000 after acquiring an additional 18,868 shares in the last quarter. Argent Capital Management LLC grew its position in ASGN by 43.8% during the 2nd quarter. Argent Capital Management LLC now owns 9,417 shares of the business services providers stock worth $830,000 after acquiring an additional 2,868 shares during the last quarter. Meadow Creek Wealth Advisors LLC acquired a new position in ASGN in the 1st quarter worth about $512,000. Finally, LRI Investments LLC bought a new position in ASGN in the first quarter valued at about $154,000. 95.36% of the stock is owned by institutional investors. ASGN has been the topic of several recent research reports. Canaccord Genuity Group reiterated a buy rating and set a $115.00 price objective on shares of ASGN in a research report on Thursday, July 25th. Jefferies Financial Group decreased their price target on shares of ASGN from $95.00 to $88.00 and set a hold rating on the stock in a research note on Monday, September 9th. Two research analysts have rated the stock with a sell rating, four have issued a hold rating and five have issued a buy rating to the companys stock. Based on data from MarketBeat, ASGN presently has a consensus rating of Hold and a consensus price target of $97.22. Read Our Latest Analysis on ASGN About ASGN (Get Free Report) ASGN Incorporated engages in the provision of information technology (IT) services and solutions in the technology, digital, and creative fields for commercial and government sectors in the United States, Canada, and Europe. It operates through two segments: Commercial and Federal Government. The Commercial Segment provides consulting, creative digital marketing, and permanent placement services primarily to enterprise clients. See Also Receive News & Ratings for ASGN Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ASGN and related companies with MarketBeat.com's FREE daily email newsletter. BlackRock Multi-Sector Income Trust (NYSE:BIT Get Free Report)s share price passed above its 50 day moving average during trading on Thursday . The stock has a 50 day moving average of $14.77 and traded as high as $15.04. BlackRock Multi-Sector Income Trust shares last traded at $15.00, with a volume of 83,046 shares. Wall Street Analysts Forecast Growth Separately, Royal Bank of Canada raised shares of BlackRock Multi-Sector Income Trust to a hold rating in a research report on Thursday, July 25th. Get BlackRock Multi-Sector Income Trust alerts: View Our Latest Report on BIT BlackRock Multi-Sector Income Trust Price Performance BlackRock Multi-Sector Income Trust Dividend Announcement The companys 50-day moving average price is $14.78 and its 200 day moving average price is $15.06. The firm also recently declared a monthly dividend, which will be paid on Monday, September 30th. Investors of record on Monday, September 16th will be given a dividend of $0.1237 per share. The ex-dividend date is Monday, September 16th. This represents a $1.48 dividend on an annualized basis and a yield of 9.84%. Institutional Inflows and Outflows A number of large investors have recently bought and sold shares of the business. NewEdge Advisors LLC increased its stake in BlackRock Multi-Sector Income Trust by 4.5% during the 2nd quarter. NewEdge Advisors LLC now owns 72,704 shares of the investment management companys stock valued at $1,060,000 after purchasing an additional 3,140 shares in the last quarter. Envestnet Asset Management Inc. lifted its holdings in BlackRock Multi-Sector Income Trust by 45.0% during the second quarter. Envestnet Asset Management Inc. now owns 205,703 shares of the investment management companys stock valued at $2,999,000 after purchasing an additional 63,811 shares in the last quarter. Private Advisor Group LLC lifted its holdings in BlackRock Multi-Sector Income Trust by 11.5% during the second quarter. Private Advisor Group LLC now owns 90,760 shares of the investment management companys stock valued at $1,323,000 after purchasing an additional 9,341 shares in the last quarter. Wolverine Asset Management LLC purchased a new position in BlackRock Multi-Sector Income Trust during the second quarter valued at approximately $791,000. Finally, Verus Capital Partners LLC purchased a new position in BlackRock Multi-Sector Income Trust during the second quarter valued at approximately $219,000. BlackRock Multi-Sector Income Trust Company Profile (Get Free Report) BlackRock Multi-Sector Income Trust is a close ended fixed income mutual fund launched by BlackRock, Inc It is co-managed by BlackRock Advisors, LLC and BlackRock (Singapore) Limited. The fund invests in fixed income markets. It invests primarily in loan and debt instruments and other investments with similar economic characteristic. Featured Stories Receive News & Ratings for BlackRock Multi-Sector Income Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BlackRock Multi-Sector Income Trust and related companies with MarketBeat.com's FREE daily email newsletter. Deutsche Bank Aktiengesellschaft restated their hold rating on shares of BorgWarner (NYSE:BWA Free Report) in a research note issued to investors on Tuesday morning, Marketbeat.com reports. The brokerage currently has a $36.00 target price on the auto parts companys stock. A number of other research analysts also recently weighed in on the company. Bank of America cut their price objective on BorgWarner from $50.00 to $45.00 and set a buy rating on the stock in a research note on Monday, July 22nd. Robert W. Baird increased their price target on BorgWarner from $38.00 to $39.00 and gave the company a neutral rating in a research note on Thursday, August 1st. UBS Group lifted their price target on shares of BorgWarner from $41.00 to $42.00 and gave the stock a buy rating in a report on Wednesday, July 10th. Citigroup cut their price target on shares of BorgWarner from $41.00 to $36.00 and set a neutral rating for the company in a research report on Friday, July 12th. Finally, Barclays increased their price target on shares of BorgWarner from $45.00 to $47.00 and gave the stock an overweight rating in a research note on Thursday, August 1st. Six research analysts have rated the stock with a hold rating and eleven have given a buy rating to the company. Based on data from MarketBeat.com, the stock currently has a consensus rating of Moderate Buy and a consensus target price of $41.07. Get BorgWarner alerts: Check Out Our Latest Report on BorgWarner BorgWarner Stock Performance NYSE:BWA opened at $32.21 on Tuesday. The company has a market cap of $7.34 billion, a price-to-earnings ratio of 12.20, a PEG ratio of 0.87 and a beta of 1.20. The company has a debt-to-equity ratio of 0.52, a current ratio of 1.62 and a quick ratio of 1.26. The stocks 50 day simple moving average is $32.79 and its two-hundred day simple moving average is $33.51. BorgWarner has a 1 year low of $29.51 and a 1 year high of $42.27. BorgWarner (NYSE:BWA Get Free Report) last announced its earnings results on Wednesday, July 31st. The auto parts company reported $1.19 earnings per share (EPS) for the quarter, beating the consensus estimate of $1.01 by $0.18. BorgWarner had a return on equity of 15.50% and a net margin of 4.97%. The company had revenue of $3.60 billion for the quarter, compared to analyst estimates of $3.69 billion. During the same period in the prior year, the company posted $1.35 EPS. The firms quarterly revenue was down 20.3% compared to the same quarter last year. As a group, equities analysts anticipate that BorgWarner will post 4.1 earnings per share for the current fiscal year. BorgWarner Dividend Announcement The business also recently disclosed a quarterly dividend, which will be paid on Monday, September 16th. Investors of record on Tuesday, September 3rd will be issued a dividend of $0.11 per share. The ex-dividend date of this dividend is Tuesday, September 3rd. This represents a $0.44 dividend on an annualized basis and a yield of 1.37%. BorgWarners dividend payout ratio (DPR) is 16.67%. Insider Transactions at BorgWarner In related news, EVP Tania Wingfield sold 2,066 shares of the stock in a transaction that occurred on Tuesday, August 13th. The stock was sold at an average price of $32.00, for a total transaction of $66,112.00. Following the completion of the transaction, the executive vice president now directly owns 46,016 shares of the companys stock, valued at $1,472,512. The sale was disclosed in a filing with the SEC, which is available through this link. In other news, CAO Tonit M. Calaway sold 10,868 shares of the businesss stock in a transaction that occurred on Monday, August 5th. The stock was sold at an average price of $31.74, for a total transaction of $344,950.32. Following the transaction, the chief accounting officer now directly owns 206,083 shares in the company, valued at approximately $6,541,074.42. The sale was disclosed in a legal filing with the SEC, which is available at the SEC website. Also, EVP Tania Wingfield sold 2,066 shares of the companys stock in a transaction that occurred on Tuesday, August 13th. The shares were sold at an average price of $32.00, for a total value of $66,112.00. Following the completion of the transaction, the executive vice president now owns 46,016 shares in the company, valued at $1,472,512. The disclosure for this sale can be found here. Corporate insiders own 0.45% of the companys stock. Institutional Inflows and Outflows A number of hedge funds have recently modified their holdings of BWA. Norges Bank acquired a new position in BorgWarner during the 4th quarter valued at about $75,489,000. Point72 Asset Management L.P. raised its holdings in shares of BorgWarner by 361.1% during the 2nd quarter. Point72 Asset Management L.P. now owns 2,450,696 shares of the auto parts companys stock valued at $79,010,000 after purchasing an additional 1,919,219 shares in the last quarter. Dimensional Fund Advisors LP raised its holdings in shares of BorgWarner by 23.5% during the 2nd quarter. Dimensional Fund Advisors LP now owns 8,628,733 shares of the auto parts companys stock valued at $278,190,000 after purchasing an additional 1,640,503 shares in the last quarter. Hotchkis & Wiley Capital Management LLC grew its stake in BorgWarner by 43.5% in the 4th quarter. Hotchkis & Wiley Capital Management LLC now owns 2,213,630 shares of the auto parts companys stock valued at $79,359,000 after buying an additional 670,720 shares during the last quarter. Finally, Earnest Partners LLC grew its stake in BorgWarner by 20.2% in the 2nd quarter. Earnest Partners LLC now owns 3,979,776 shares of the auto parts companys stock valued at $128,308,000 after buying an additional 669,137 shares during the last quarter. 95.67% of the stock is owned by hedge funds and other institutional investors. About BorgWarner (Get Free Report) BorgWarner Inc, together with its subsidiaries, provides solutions for combustion, hybrid, and electric vehicles worldwide. It offers turbochargers, eBoosters, eTurbos, timing systems, emissions systems, thermal systems, gasoline ignition technology, smart remote actuators, powertrain sensors, cabin heaters, battery modules and systems, battery heaters, and battery charging. Further Reading Receive News & Ratings for BorgWarner Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BorgWarner and related companies with MarketBeat.com's FREE daily email newsletter. Oppenheimer Asset Management Inc. lifted its position in shares of Bristol-Myers Squibb (NYSE:BMY Free Report) by 31.2% during the second quarter, according to its most recent Form 13F filing with the SEC. The institutional investor owned 467,994 shares of the biopharmaceutical companys stock after purchasing an additional 111,303 shares during the period. Oppenheimer Asset Management Inc.s holdings in Bristol-Myers Squibb were worth $19,436,000 at the end of the most recent reporting period. Several other institutional investors and hedge funds have also made changes to their positions in BMY. Leelyn Smith LLC increased its position in Bristol-Myers Squibb by 12.9% during the second quarter. Leelyn Smith LLC now owns 43,507 shares of the biopharmaceutical companys stock worth $1,807,000 after buying an additional 4,982 shares during the period. Thoroughbred Financial Services LLC grew its position in shares of Bristol-Myers Squibb by 9.5% during the second quarter. Thoroughbred Financial Services LLC now owns 10,353 shares of the biopharmaceutical companys stock worth $430,000 after acquiring an additional 901 shares during the last quarter. Stoneridge Investment Partners LLC increased its holdings in shares of Bristol-Myers Squibb by 216.5% in the 2nd quarter. Stoneridge Investment Partners LLC now owns 147,573 shares of the biopharmaceutical companys stock valued at $6,129,000 after acquiring an additional 100,951 shares during the period. Mcintyre Freedman & Flynn Investment Advisers Inc. purchased a new stake in Bristol-Myers Squibb in the 2nd quarter valued at $293,000. Finally, SYM FINANCIAL Corp purchased a new position in Bristol-Myers Squibb during the 2nd quarter worth $343,000. 76.41% of the stock is owned by hedge funds and other institutional investors. Get Bristol-Myers Squibb alerts: Analysts Set New Price Targets A number of brokerages have recently commented on BMY. Cantor Fitzgerald reaffirmed a neutral rating and issued a $45.00 target price on shares of Bristol-Myers Squibb in a report on Monday, July 22nd. TD Cowen increased their price objective on Bristol-Myers Squibb from $45.00 to $53.00 and gave the stock a hold rating in a research report on Monday, August 12th. StockNews.com raised Bristol-Myers Squibb from a buy rating to a strong-buy rating in a report on Monday, July 29th. Jefferies Financial Group increased their price target on Bristol-Myers Squibb from $49.00 to $51.00 and gave the stock a hold rating in a report on Wednesday, August 28th. Finally, Deutsche Bank Aktiengesellschaft dropped their price objective on shares of Bristol-Myers Squibb from $53.00 to $45.00 and set a hold rating on the stock in a research note on Tuesday, July 23rd. Two equities research analysts have rated the stock with a sell rating, thirteen have assigned a hold rating, two have issued a buy rating and one has assigned a strong buy rating to the companys stock. According to data from MarketBeat, the company has an average rating of Hold and an average price target of $54.67. Bristol-Myers Squibb Price Performance BMY stock opened at $49.13 on Friday. The firm has a 50 day moving average of $46.79 and a 200-day moving average of $46.53. The company has a market cap of $99.61 billion, a price-to-earnings ratio of -15.85, a PEG ratio of 12.59 and a beta of 0.46. The company has a current ratio of 1.16, a quick ratio of 1.02 and a debt-to-equity ratio of 2.86. Bristol-Myers Squibb has a 12-month low of $39.35 and a 12-month high of $60.55. Bristol-Myers Squibb (NYSE:BMY Get Free Report) last issued its quarterly earnings data on Friday, July 26th. The biopharmaceutical company reported $2.07 earnings per share (EPS) for the quarter, topping the consensus estimate of $1.64 by $0.43. The firm had revenue of $12.20 billion during the quarter, compared to analysts expectations of $11.54 billion. Bristol-Myers Squibb had a positive return on equity of 12.51% and a negative net margin of 14.06%. Bristol-Myers Squibbs revenue was up 8.7% on a year-over-year basis. During the same period in the previous year, the firm posted $1.75 earnings per share. As a group, equities analysts expect that Bristol-Myers Squibb will post 0.77 EPS for the current fiscal year. Bristol-Myers Squibb Dividend Announcement The firm also recently announced a quarterly dividend, which will be paid on Friday, November 1st. Shareholders of record on Friday, October 4th will be issued a dividend of $0.60 per share. The ex-dividend date of this dividend is Friday, October 4th. This represents a $2.40 annualized dividend and a yield of 4.88%. Bristol-Myers Squibbs dividend payout ratio (DPR) is currently -77.42%. Bristol-Myers Squibb Profile (Free Report) Bristol-Myers Squibb Company discovers, develops, licenses, manufactures, markets, distributes, and sells biopharmaceutical products worldwide. It offers products for hematology, oncology, cardiovascular, immunology, fibrotic, and neuroscience diseases. The company's products include Eliquis for reduction in risk of stroke/systemic embolism in non-valvular atrial fibrillation, and for the treatment of DVT/PE; Opdivo for various anti-cancer indications, including bladder, blood, CRC, head and neck, RCC, HCC, lung, melanoma, MPM, stomach and esophageal cancer; Pomalyst/Imnovid for multiple myeloma; Orencia for active rheumatoid arthritis and psoriatic arthritis; and Sprycel for the treatment of Philadelphia chromosome-positive chronic myeloid leukemia. See Also Want to see what other hedge funds are holding BMY? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Bristol-Myers Squibb (NYSE:BMY Free Report). Receive News & Ratings for Bristol-Myers Squibb Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bristol-Myers Squibb and related companies with MarketBeat.com's FREE daily email newsletter. Cathay Pacific Airways Limited (OTCMKTS:CPCAY Get Free Report) crossed below its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of $5.23 and traded as low as $5.03. Cathay Pacific Airways shares last traded at $5.03, with a volume of 722 shares. Cathay Pacific Airways Price Performance The stocks fifty day simple moving average is $5.06 and its 200-day simple moving average is $5.23. The company has a quick ratio of 0.50, a current ratio of 0.50 and a debt-to-equity ratio of 0.96. Get Cathay Pacific Airways alerts: Cathay Pacific Airways Cuts Dividend The company also recently declared a dividend, which will be paid on Monday, October 21st. Investors of record on Thursday, September 5th will be given a dividend of $0.113 per share. The ex-dividend date of this dividend is Thursday, September 5th. About Cathay Pacific Airways Cathay Pacific Airways Limited, together with its subsidiaries, offers international passenger and air cargo transportation services. The company conducts airline operations principally to and from Hong Kong. It also engages in the property investment and travel reward program; operates as a travel tour operator; and provision of financial, aircraft acquisition facilitation, airline catering, information processing, aircraft ramp handling, laundry and dry cleaning, ground handling, and cargo terminal services. Featured Stories Receive News & Ratings for Cathay Pacific Airways Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Cathay Pacific Airways and related companies with MarketBeat.com's FREE daily email newsletter. CCL Industries Inc. (TSE:CCL.B Get Free Report)s share price reached a new 52-week high during trading on Thursday after BMO Capital Markets raised their price target on the stock from C$84.00 to C$90.00. The company traded as high as C$82.96 and last traded at C$81.88, with a volume of 5806 shares. The stock had previously closed at C$81.47. Several other equities research analysts have also recently commented on the company. CIBC raised their target price on CCL Industries from C$86.00 to C$88.00 and gave the stock an outperform rating in a research note on Wednesday, August 14th. TD Securities lifted their price objective on shares of CCL Industries from C$90.00 to C$92.00 and gave the company a buy rating in a research note on Monday, August 12th. Raymond James boosted their target price on shares of CCL Industries from C$84.00 to C$87.00 and gave the company an outperform rating in a research report on Monday, August 12th. National Bankshares raised their price target on shares of CCL Industries from C$84.00 to C$87.00 and gave the stock an outperform rating in a report on Monday, August 12th. Finally, Scotiabank upped their price objective on shares of CCL Industries from C$80.00 to C$84.00 and gave the company an outperform rating in a report on Monday, August 12th. Nine analysts have rated the stock with a buy rating, According to MarketBeat.com, the stock currently has a consensus rating of Buy and a consensus price target of C$85.44. Get CCL Industries alerts: View Our Latest Stock Analysis on CCL Industries Insider Buying and Selling CCL Industries Trading Down 0.2 % In other news, insider CCL Industries Inc. acquired 230,500 shares of the businesss stock in a transaction that occurred on Thursday, June 27th. The shares were bought at an average cost of C$72.48 per share, with a total value of C$16,705,718.00. In related news, insider CCL Industries Inc. bought 230,500 shares of the companys stock in a transaction that occurred on Thursday, June 27th. The shares were bought at an average price of C$72.48 per share, for a total transaction of C$16,705,718.00. Also, Senior Officer Mark Mcclendon sold 400 shares of the companys stock in a transaction on Monday, August 12th. The shares were sold at an average price of C$73.47, for a total value of C$29,388.00. Insiders have sold 110,924 shares of company stock valued at $8,521,231 over the last quarter. Corporate insiders own 11.16% of the companys stock. The company has a quick ratio of 1.31, a current ratio of 1.96 and a debt-to-equity ratio of 48.08. The stock has a market capitalization of C$13.51 billion, a PE ratio of 21.50, a PEG ratio of 0.26 and a beta of 0.56. The stocks 50 day moving average price is C$75.17 and its 200-day moving average price is C$72.35. CCL Industries Company Profile (Get Free Report) CCL Industries Inc manufactures and sells labels, containers, consumer printable media products, technology driven label solutions, polymer bank note substrates, and specialty films. The company operates through four segments: CCL, Avery, Checkpoint, and Innovia. The CCL segment offers pressure sensitive and specialty extruded film materials for decorative, instructional, functional, and security applications in the consumer packaging, healthcare, chemicals, consumer electronic device, and automotive markets. Further Reading Receive News & Ratings for CCL Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CCL Industries and related companies with MarketBeat.com's FREE daily email newsletter. China CITIC Bank Co. Limited (OTCMKTS:CHCJY Get Free Report) saw a significant decrease in short interest during the month of August. As of August 31st, there was short interest totalling 100 shares, a decrease of 66.7% from the August 15th total of 300 shares. Based on an average daily trading volume, of 100 shares, the days-to-cover ratio is presently 1.0 days. China CITIC Bank Price Performance OTCMKTS CHCJY remained flat at $11.67 during trading hours on Friday. The business has a fifty day simple moving average of $11.87 and a 200 day simple moving average of $11.47. China CITIC Bank has a 1 year low of $8.63 and a 1 year high of $13.64. The company has a debt-to-equity ratio of 1.52, a quick ratio of 0.87 and a current ratio of 0.87. Get China CITIC Bank alerts: China CITIC Bank Cuts Dividend The business also recently announced a dividend, which was paid on Thursday, August 15th. Shareholders of record on Friday, July 5th were given a $0.8323 dividend. The ex-dividend date was Friday, July 5th. China CITIC Banks payout ratio is currently 23.55%. About China CITIC Bank China CITIC Bank Corporation Limited provides various banking products and services in the People's Republic of China and internationally. The company operates in segments, such as Corporate Banking, Retail Banking, and Financial Market business. It accepts deposits; offers corporate and personal loans; and provides securities agency, remittance and settlement, and guarantee services, as well as investment banking and international services. Further Reading Receive News & Ratings for China CITIC Bank Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for China CITIC Bank and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com initiated coverage on shares of Credit Suisse Group (NYSE:CS Free Report) in a research report sent to investors on Friday. The brokerage issued a hold rating on the financial services providers stock. Credit Suisse Group Price Performance Shares of CS stock opened at $0.89 on Friday. The company has a debt-to-equity ratio of 2.79, a current ratio of 1.53 and a quick ratio of 1.53. The firms 50-day moving average is $0.89 and its two-hundred day moving average is $0.89. Credit Suisse Group has a 12 month low of $0.82 and a 12 month high of $6.36. The company has a market capitalization of $2.77 billion, a PE ratio of 1.37 and a beta of 1.27. Get Credit Suisse Group alerts: Credit Suisse Group Company Profile (Get Free Report) Read More Credit Suisse Group AG is a holding company, which engages in the provision of financial services. It operates through the following four divisions: Wealth Management, Investment Bank, Swiss Bank and Asset Management and four geographic regions: Switzerland, Europe, the Middle East and Africa (EMEA), Asia Pacific, and Americas. Receive News & Ratings for Credit Suisse Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Credit Suisse Group and related companies with MarketBeat.com's FREE daily email newsletter. Susquehanna began coverage on shares of Dell Technologies (NYSE:DELL Free Report) in a research report released on Tuesday, Marketbeat reports. The brokerage issued a neutral rating and a $120.00 target price on the technology companys stock. DELL has been the topic of several other research reports. Wells Fargo & Company lowered their target price on Dell Technologies from $150.00 to $140.00 and set an overweight rating on the stock in a report on Friday, August 30th. Sanford C. Bernstein decreased their price objective on Dell Technologies from $155.00 to $140.00 and set an outperform rating on the stock in a research note on Friday, August 30th. Evercore ISI reaffirmed an outperform rating and set a $140.00 price objective on shares of Dell Technologies in a research note on Wednesday, August 28th. Citigroup increased their price objective on Dell Technologies from $155.00 to $160.00 and gave the company a buy rating in a research note on Friday, August 30th. Finally, UBS Group decreased their price objective on Dell Technologies from $164.00 to $158.00 and set a buy rating on the stock in a research note on Friday, August 30th. Three investment analysts have rated the stock with a hold rating and fourteen have issued a buy rating to the companys stock. According to data from MarketBeat.com, Dell Technologies has an average rating of Moderate Buy and an average target price of $135.75. Get Dell Technologies alerts: Check Out Our Latest Analysis on DELL Dell Technologies Price Performance Shares of DELL stock opened at $114.35 on Tuesday. The businesss fifty day moving average price is $113.98 and its 200 day moving average price is $123.86. Dell Technologies has a 1-year low of $63.90 and a 1-year high of $179.70. The firm has a market capitalization of $81.10 billion, a PE ratio of 23.34, a P/E/G ratio of 1.15 and a beta of 0.90. Dell Technologies (NYSE:DELL Get Free Report) last posted its earnings results on Thursday, August 29th. The technology company reported $1.89 earnings per share for the quarter, beating the consensus estimate of $1.49 by $0.40. The company had revenue of $25.03 billion for the quarter, compared to analyst estimates of $24.14 billion. Dell Technologies had a negative return on equity of 178.09% and a net margin of 4.32%. Dell Technologiess revenue was up 9.1% compared to the same quarter last year. During the same quarter in the prior year, the company earned $1.44 earnings per share. Equities research analysts expect that Dell Technologies will post 6.9 earnings per share for the current fiscal year. Insider Transactions at Dell Technologies In other news, Director V (Gp) L.L.C. Slta sold 8,493 shares of the firms stock in a transaction dated Wednesday, July 3rd. The stock was sold at an average price of $146.80, for a total value of $1,246,772.40. Following the transaction, the director now directly owns 731,612 shares in the company, valued at approximately $107,400,641.60. The transaction was disclosed in a document filed with the SEC, which is accessible through the SEC website. In related news, CEO Michael S. Dell sold 1,032,968 shares of the firms stock in a transaction dated Friday, September 13th. The stock was sold at an average price of $113.67, for a total transaction of $117,417,472.56. Following the sale, the chief executive officer now directly owns 13,094,517 shares in the company, valued at approximately $1,488,453,747.39. The sale was disclosed in a document filed with the SEC, which is accessible through this link. Also, Director V (Gp) L.L.C. Slta sold 8,493 shares of the firms stock in a transaction dated Wednesday, July 3rd. The shares were sold at an average price of $146.80, for a total value of $1,246,772.40. Following the sale, the director now owns 731,612 shares in the company, valued at $107,400,641.60. The disclosure for this sale can be found here. Insiders have sold a total of 6,140,496 shares of company stock worth $722,588,749 in the last three months. 46.70% of the stock is currently owned by company insiders. Institutional Investors Weigh In On Dell Technologies Several hedge funds have recently modified their holdings of DELL. Private Advisor Group LLC raised its holdings in shares of Dell Technologies by 2.0% during the 4th quarter. Private Advisor Group LLC now owns 14,074 shares of the technology companys stock valued at $1,077,000 after buying an additional 276 shares during the period. Apollon Wealth Management LLC purchased a new stake in shares of Dell Technologies during the 4th quarter valued at about $336,000. Principal Securities Inc. acquired a new stake in Dell Technologies during the 4th quarter valued at approximately $52,000. Dimensional Fund Advisors LP grew its stake in Dell Technologies by 0.4% during the 4th quarter. Dimensional Fund Advisors LP now owns 889,485 shares of the technology companys stock valued at $68,048,000 after purchasing an additional 3,343 shares in the last quarter. Finally, Envestnet Portfolio Solutions Inc. grew its stake in Dell Technologies by 6.4% during the 4th quarter. Envestnet Portfolio Solutions Inc. now owns 8,456 shares of the technology companys stock valued at $647,000 after purchasing an additional 506 shares in the last quarter. 38.10% of the stock is currently owned by hedge funds and other institutional investors. About Dell Technologies (Get Free Report) Dell Technologies Inc designs, develops, manufactures, markets, sells, and supports various comprehensive and integrated solutions, products, and services in the Americas, Europe, the Middle East, Asia, and internationally. The company operates through two segments, Infrastructure Solutions Group (ISG) and Client Solutions Group (CSG). Featured Articles Receive News & Ratings for Dell Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Dell Technologies and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com upgraded shares of EnLink Midstream (NYSE:ENLC Free Report) from a hold rating to a buy rating in a research report sent to investors on Tuesday morning. Several other analysts also recently commented on ENLC. Tudor, Pickering, Holt & Co. raised EnLink Midstream from a hold rating to a buy rating and set a $15.00 price objective for the company in a research note on Friday, August 16th. JPMorgan Chase & Co. upped their price objective on EnLink Midstream from $14.00 to $15.00 and gave the company a neutral rating in a research note on Wednesday, July 10th. Capital One Financial reissued an equal weight rating and set a $16.00 target price on shares of EnLink Midstream in a research note on Tuesday, September 3rd. Wells Fargo & Company downgraded EnLink Midstream from an overweight rating to an equal weight rating and cut their target price for the stock from $16.00 to $15.00 in a research note on Friday, August 30th. Finally, Royal Bank of Canada reissued a sector perform rating and set a $15.00 target price on shares of EnLink Midstream in a research note on Thursday, August 29th. Five research analysts have rated the stock with a hold rating, six have given a buy rating and one has assigned a strong buy rating to the company. According to MarketBeat, the company presently has an average rating of Moderate Buy and a consensus price target of $15.33. Get EnLink Midstream alerts: View Our Latest Analysis on EnLink Midstream EnLink Midstream Stock Up 0.1 % EnLink Midstream stock opened at $14.52 on Tuesday. EnLink Midstream has a fifty-two week low of $11.44 and a fifty-two week high of $14.80. The company has a debt-to-equity ratio of 1.72, a quick ratio of 0.65 and a current ratio of 0.65. The company has a market capitalization of $6.70 billion, a P/E ratio of 41.49 and a beta of 2.40. The stock has a 50-day simple moving average of $13.58 and a 200-day simple moving average of $13.37. EnLink Midstream (NYSE:ENLC Get Free Report) last posted its quarterly earnings data on Tuesday, August 6th. The pipeline company reported $0.07 EPS for the quarter, missing the consensus estimate of $0.13 by ($0.06). The company had revenue of $1.55 billion for the quarter, compared to analysts expectations of $1.90 billion. EnLink Midstream had a net margin of 2.15% and a return on equity of 8.22%. The firms revenue was up 1.4% on a year-over-year basis. During the same period in the prior year, the company earned $0.12 earnings per share. Equities research analysts expect that EnLink Midstream will post 0.59 earnings per share for the current year. EnLink Midstream Increases Dividend The business also recently announced a quarterly dividend, which was paid on Wednesday, August 14th. Shareholders of record on Friday, August 2nd were issued a dividend of $0.1325 per share. This represents a $0.53 dividend on an annualized basis and a yield of 3.65%. The ex-dividend date of this dividend was Friday, August 2nd. This is a boost from EnLink Midstreams previous quarterly dividend of $0.13. EnLink Midstreams dividend payout ratio is 151.43%. Institutional Investors Weigh In On EnLink Midstream A number of large investors have recently bought and sold shares of the stock. First Horizon Advisors Inc. boosted its holdings in shares of EnLink Midstream by 12.5% during the second quarter. First Horizon Advisors Inc. now owns 7,702 shares of the pipeline companys stock worth $106,000 after purchasing an additional 853 shares during the last quarter. First Dallas Securities Inc. boosted its holdings in shares of EnLink Midstream by 0.6% during the second quarter. First Dallas Securities Inc. now owns 158,598 shares of the pipeline companys stock worth $2,182,000 after purchasing an additional 960 shares during the last quarter. Callahan Advisors LLC boosted its holdings in shares of EnLink Midstream by 8.7% during the second quarter. Callahan Advisors LLC now owns 12,500 shares of the pipeline companys stock worth $172,000 after purchasing an additional 1,000 shares during the last quarter. Bank of New York Mellon Corp boosted its holdings in shares of EnLink Midstream by 9.1% during the second quarter. Bank of New York Mellon Corp now owns 13,861 shares of the pipeline companys stock worth $191,000 after purchasing an additional 1,151 shares during the last quarter. Finally, Sunbelt Securities Inc. raised its position in shares of EnLink Midstream by 24.2% in the 1st quarter. Sunbelt Securities Inc. now owns 6,885 shares of the pipeline companys stock worth $94,000 after buying an additional 1,343 shares during the period. Institutional investors and hedge funds own 45.87% of the companys stock. About EnLink Midstream (Get Free Report) EnLink Midstream, LLC provides midstream energy services in the United States. The company operates through Permian, Louisiana, Oklahoma, North Texas, and Corporate segments. It is involved in gathering, compressing, treating, processing, transporting, storing, and selling natural gas; fractionating, transporting, storing, and selling natural gas liquids; and gathering, transporting, stabilizing, storing, trans-loading, and selling crude oil and condensate, as well as providing brine disposal services. Featured Stories Receive News & Ratings for EnLink Midstream Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for EnLink Midstream and related companies with MarketBeat.com's FREE daily email newsletter. The North Dakota National Guard will have a new leader effective Sunday. A change-of-command ceremony is set for 1 p.m. at the Raymond J. Bohn Armory in Bismarck. Brig. Gen. Mitchell Johnson will officially take over for Maj. Gen. Alan Dohrmann, who has served in the position for more than eight years. Dohrmann in April announced his intent to retire at the end of September. Gov. Doug Burgum in June named Johnson as Dohrmann's successor. Johnson most recently served as commander of the Fargo-based 119th Wing of the state's Air National Guard. As adjutant general he also will serve as director of the state Department of Emergency Services. Burgum will preside over Sunday's event. Following the change-of-command ceremony, Dohrmann will be honored at a retirement ceremony. Shore Capital reaffirmed their house stock rating on shares of Epwin Group (LON:EPWN Free Report) in a research note released on Wednesday morning, MarketBeat Ratings reports. Epwin Group Trading Up 3.1 % Shares of LON EPWN opened at GBX 100 ($1.31) on Wednesday. The company has a debt-to-equity ratio of 115.28, a current ratio of 1.22 and a quick ratio of 0.72. The stock has a market capitalization of 140.24 million, a P/E ratio of 1,666.67 and a beta of 1.14. Epwin Group has a 1-year low of GBX 63 ($0.82) and a 1-year high of GBX 100 ($1.31). The business has a fifty day moving average price of GBX 91.26 and a 200 day moving average price of GBX 87.29. Get Epwin Group alerts: Epwin Group Cuts Dividend The business also recently disclosed a dividend, which will be paid on Tuesday, October 8th. Investors of record on Thursday, September 19th will be paid a dividend of GBX 2.10 ($0.03) per share. This represents a dividend yield of 2.12%. The ex-dividend date of this dividend is Thursday, September 19th. Epwin Groups dividend payout ratio (DPR) is currently 8,333.33%. About Epwin Group Epwin Group Plc manufactures and sells building products in the United Kingdom, rest of Europe, and internationally. The company operates through Extrusion and Moulding, and Fabrication and Distribution segments. It also offers windows, doors, cavity closers, and curtain walling products; wood plastic composite and aluminium decking products, and panels; glass reinforced plastic building components. See Also Receive News & Ratings for Epwin Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Epwin Group and related companies with MarketBeat.com's FREE daily email newsletter. Everest Group, Ltd. (NYSE:EG Get Free Report) declared a quarterly dividend on Wednesday, August 7th, Wall Street Journal reports. Stockholders of record on Monday, September 16th will be given a dividend of 2.00 per share on Friday, September 27th. This represents a $8.00 dividend on an annualized basis and a dividend yield of 2.09%. The ex-dividend date is Monday, September 16th. Everest Group has raised its dividend payment by an average of 3.1% annually over the last three years. Everest Group has a payout ratio of 11.7% meaning its dividend is sufficiently covered by earnings. Equities analysts expect Everest Group to earn $68.50 per share next year, which means the company should continue to be able to cover its $8.00 annual dividend with an expected future payout ratio of 11.7%. Get Everest Group alerts: Everest Group Stock Up 1.0 % Shares of NYSE:EG opened at $382.75 on Friday. Everest Group has a 1 year low of $343.76 and a 1 year high of $417.92. The stock has a 50-day simple moving average of $380.58 and a 200-day simple moving average of $379.22. The company has a debt-to-equity ratio of 0.24, a quick ratio of 0.38 and a current ratio of 0.38. The stock has a market capitalization of $16.63 billion, a P/E ratio of 5.69, a PEG ratio of 2.46 and a beta of 0.62. Analysts Set New Price Targets Everest Group ( NYSE:EG Get Free Report ) last announced its quarterly earnings results on Wednesday, July 31st. The company reported $16.85 earnings per share for the quarter, missing analysts consensus estimates of $16.97 by ($0.12). Everest Group had a return on equity of 24.09% and a net margin of 18.36%. The business had revenue of $4.23 billion for the quarter, compared to analysts expectations of $4.32 billion. During the same period last year, the company posted $15.21 earnings per share. The firms revenue for the quarter was up 15.8% on a year-over-year basis. Equities analysts forecast that Everest Group will post 61.66 earnings per share for the current year. A number of research analysts have recently commented on the stock. Bank of America boosted their target price on shares of Everest Group from $470.00 to $493.00 and gave the stock a buy rating in a research report on Thursday, July 11th. Morgan Stanley lowered their target price on Everest Group from $450.00 to $445.00 and set an overweight rating for the company in a research note on Wednesday, July 10th. Keefe, Bruyette & Woods cut their price target on Everest Group from $454.00 to $438.00 and set an outperform rating on the stock in a research note on Thursday, August 8th. Wells Fargo & Company raised their price objective on Everest Group from $392.00 to $393.00 and gave the company an equal weight rating in a research note on Monday, August 12th. Finally, BMO Capital Markets restated a market perform rating and set a $403.00 price objective on shares of Everest Group in a report on Friday, August 30th. Four investment analysts have rated the stock with a hold rating, four have given a buy rating and one has given a strong buy rating to the companys stock. According to MarketBeat.com, the stock presently has an average rating of Moderate Buy and a consensus target price of $438.09. View Our Latest Report on Everest Group Everest Group Company Profile (Get Free Report) Everest Group, Ltd., through its subsidiaries, provides reinsurance and insurance products in the United States, Europe, and internationally. The company operates through two segment, Insurance and Reinsurance. The Reinsurance segment writes property and casualty reinsurance; and specialty lines of business through reinsurance brokers, as well as directly with ceding companies in the United States, Bermuda, Ireland, Canada, Singapore, Switzerland, and the United Kingdom. Read More Receive News & Ratings for Everest Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Everest Group and related companies with MarketBeat.com's FREE daily email newsletter. 1ST Source Bank lifted its stake in shares of General Electric (NYSE:GE Free Report) by 3.2% during the second quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The institutional investor owned 12,336 shares of the conglomerates stock after purchasing an additional 382 shares during the quarter. 1ST Source Banks holdings in General Electric were worth $1,961,000 at the end of the most recent reporting period. Other hedge funds and other institutional investors have also modified their holdings of the company. Scholtz & Company LLC grew its holdings in General Electric by 9.1% during the second quarter. Scholtz & Company LLC now owns 43,717 shares of the conglomerates stock worth $6,950,000 after acquiring an additional 3,632 shares during the period. SYM FINANCIAL Corp grew its holdings in General Electric by 37.2% during the second quarter. SYM FINANCIAL Corp now owns 6,400 shares of the conglomerates stock worth $1,017,000 after acquiring an additional 1,735 shares during the period. Federated Hermes Inc. increased its stake in General Electric by 1.9% in the 2nd quarter. Federated Hermes Inc. now owns 793,087 shares of the conglomerates stock worth $126,077,000 after purchasing an additional 15,133 shares in the last quarter. Pinkerton Retirement Specialists LLC purchased a new position in General Electric in the 2nd quarter worth about $724,000. Finally, GHP Investment Advisors Inc. increased its stake in General Electric by 14.9% in the 2nd quarter. GHP Investment Advisors Inc. now owns 1,167 shares of the conglomerates stock worth $186,000 after purchasing an additional 151 shares in the last quarter. 74.77% of the stock is currently owned by institutional investors. Get General Electric alerts: Analyst Ratings Changes A number of equities analysts recently weighed in on GE shares. Deutsche Bank Aktiengesellschaft lifted their price target on General Electric from $195.00 to $209.00 and gave the stock a buy rating in a research note on Monday, June 10th. Sanford C. Bernstein began coverage on General Electric in a research report on Tuesday. They set an outperform rating and a $201.00 price objective for the company. Barclays raised their price objective on General Electric from $175.00 to $200.00 and gave the stock an overweight rating in a research report on Tuesday, July 30th. Jefferies Financial Group raised their price objective on General Electric from $185.00 to $190.00 and gave the stock a buy rating in a research report on Friday, June 28th. Finally, Wells Fargo & Company raised their price objective on General Electric from $192.00 to $205.00 and gave the stock an overweight rating in a research report on Wednesday, July 24th. Two investment analysts have rated the stock with a hold rating and fourteen have given a buy rating to the companys stock. According to MarketBeat, General Electric currently has an average rating of Moderate Buy and a consensus target price of $191.14. General Electric Price Performance Shares of GE stock opened at $178.28 on Friday. The stocks fifty day moving average is $166.57 and its 200-day moving average is $171.42. The company has a debt-to-equity ratio of 0.95, a quick ratio of 0.85 and a current ratio of 1.14. The stock has a market capitalization of $195.15 billion, a PE ratio of 58.45, a PEG ratio of 1.65 and a beta of 1.18. General Electric has a 52 week low of $84.42 and a 52 week high of $179.32. General Electric (NYSE:GE Get Free Report) last announced its earnings results on Tuesday, July 23rd. The conglomerate reported $1.20 EPS for the quarter, topping the consensus estimate of $0.99 by $0.21. The company had revenue of $9.09 billion for the quarter, compared to analysts expectations of $8.44 billion. General Electric had a return on equity of 16.07% and a net margin of 7.66%. The businesss revenue for the quarter was up 3.9% on a year-over-year basis. During the same quarter in the prior year, the business earned $0.68 earnings per share. Equities research analysts expect that General Electric will post 4.2 EPS for the current fiscal year. General Electric Announces Dividend The company also recently declared a quarterly dividend, which was paid on Thursday, July 25th. Investors of record on Thursday, July 11th were issued a $0.28 dividend. This represents a $1.12 annualized dividend and a yield of 0.63%. The ex-dividend date of this dividend was Thursday, July 11th. General Electrics dividend payout ratio is 36.72%. General Electric Profile (Free Report) General Electric Company, doing business as GE Aerospace, designs and produces commercial and defense aircraft engines, integrated engine components, electric power, and mechanical aircraft systems. It also offers aftermarket services to support its products. The company operates in the United States, Europe, China, Asia, the Americas, the Middle East, and Africa. See Also Want to see what other hedge funds are holding GE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for General Electric (NYSE:GE Free Report). Receive News & Ratings for General Electric Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for General Electric and related companies with MarketBeat.com's FREE daily email newsletter. Guardian Wealth Management Inc. lifted its position in Kimberly-Clark Co. (NYSE:KMB Free Report) by 1.3% during the 2nd quarter, according to the company in its most recent filing with the SEC. The fund owned 14,864 shares of the companys stock after purchasing an additional 195 shares during the quarter. Kimberly-Clark accounts for approximately 1.6% of Guardian Wealth Management Inc.s holdings, making the stock its 24th biggest holding. Guardian Wealth Management Inc.s holdings in Kimberly-Clark were worth $2,054,000 at the end of the most recent reporting period. A number of other hedge funds have also made changes to their positions in the company. CX Institutional lifted its stake in Kimberly-Clark by 1.2% in the 2nd quarter. CX Institutional now owns 5,968 shares of the companys stock worth $825,000 after purchasing an additional 71 shares in the last quarter. Capital Investment Counsel Inc boosted its holdings in Kimberly-Clark by 0.8% during the 1st quarter. Capital Investment Counsel Inc now owns 10,019 shares of the companys stock valued at $1,296,000 after acquiring an additional 75 shares during the period. Connecticut Wealth Management LLC increased its stake in Kimberly-Clark by 4.6% in the 1st quarter. Connecticut Wealth Management LLC now owns 1,755 shares of the companys stock worth $227,000 after purchasing an additional 77 shares during the period. Equitable Trust Co. boosted its stake in shares of Kimberly-Clark by 0.9% during the second quarter. Equitable Trust Co. now owns 9,066 shares of the companys stock valued at $1,253,000 after purchasing an additional 80 shares during the period. Finally, Comprehensive Financial Consultants Institutional Inc. boosted its stake in shares of Kimberly-Clark by 1.6% during the first quarter. Comprehensive Financial Consultants Institutional Inc. now owns 5,093 shares of the companys stock valued at $659,000 after purchasing an additional 81 shares during the period. Institutional investors and hedge funds own 76.29% of the companys stock. Get Kimberly-Clark alerts: Kimberly-Clark Stock Performance Shares of NYSE KMB opened at $143.52 on Friday. The stock has a market cap of $48.34 billion, a PE ratio of 26.38, a price-to-earnings-growth ratio of 3.04 and a beta of 0.39. Kimberly-Clark Co. has a 1 year low of $116.32 and a 1 year high of $149.30. The firm has a fifty day moving average price of $142.18 and a 200-day moving average price of $135.33. The company has a debt-to-equity ratio of 5.59, a current ratio of 0.85 and a quick ratio of 0.58. Kimberly-Clark Announces Dividend Kimberly-Clark ( NYSE:KMB Get Free Report ) last posted its quarterly earnings results on Tuesday, July 23rd. The company reported $1.96 earnings per share for the quarter, topping the consensus estimate of $1.71 by $0.25. The business had revenue of $5.03 billion during the quarter, compared to the consensus estimate of $5.10 billion. Kimberly-Clark had a return on equity of 223.55% and a net margin of 11.28%. The firms revenue for the quarter was down 2.0% compared to the same quarter last year. During the same period in the prior year, the company posted $1.65 EPS. Equities analysts anticipate that Kimberly-Clark Co. will post 7.25 earnings per share for the current fiscal year. The business also recently declared a quarterly dividend, which will be paid on Wednesday, October 2nd. Shareholders of record on Friday, September 6th will be issued a $1.22 dividend. The ex-dividend date of this dividend is Friday, September 6th. This represents a $4.88 dividend on an annualized basis and a yield of 3.40%. Kimberly-Clarks dividend payout ratio is currently 89.71%. Insiders Place Their Bets In other news, insider Gonzalo Uribe sold 1,780 shares of the firms stock in a transaction that occurred on Monday, July 29th. The stock was sold at an average price of $140.84, for a total value of $250,695.20. Following the completion of the sale, the insider now directly owns 7,377 shares of the companys stock, valued at approximately $1,038,976.68. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available through the SEC website. In other news, insider Gonzalo Uribe sold 1,780 shares of the stock in a transaction that occurred on Monday, July 29th. The stock was sold at an average price of $140.84, for a total value of $250,695.20. Following the sale, the insider now directly owns 7,377 shares in the company, valued at $1,038,976.68. The sale was disclosed in a document filed with the SEC, which is available at this link. Also, insider Jeffrey P. Melucci sold 37,699 shares of the stock in a transaction that occurred on Thursday, July 25th. The stock was sold at an average price of $141.42, for a total value of $5,331,392.58. Following the completion of the sale, the insider now owns 19,935 shares in the company, valued at $2,819,207.70. The disclosure for this sale can be found here. Corporate insiders own 0.62% of the companys stock. Analyst Ratings Changes A number of equities research analysts have issued reports on the stock. Piper Sandler raised their price target on shares of Kimberly-Clark from $167.00 to $174.00 and gave the stock an overweight rating in a report on Thursday, July 11th. Deutsche Bank Aktiengesellschaft boosted their price target on Kimberly-Clark from $139.00 to $142.00 and gave the company a hold rating in a report on Wednesday, July 24th. Royal Bank of Canada reissued an outperform rating and set a $165.00 target price on shares of Kimberly-Clark in a research note on Wednesday, July 24th. StockNews.com downgraded shares of Kimberly-Clark from a strong-buy rating to a buy rating in a research note on Tuesday, July 30th. Finally, TD Cowen began coverage on shares of Kimberly-Clark in a report on Tuesday, July 23rd. They issued a buy rating and a $161.00 price objective on the stock. Two investment analysts have rated the stock with a sell rating, eight have issued a hold rating and seven have issued a buy rating to the stock. According to data from MarketBeat, Kimberly-Clark presently has an average rating of Hold and a consensus target price of $145.67. Read Our Latest Research Report on KMB Kimberly-Clark Company Profile (Free Report) Kimberly-Clark Corporation, together with its subsidiaries, manufactures and markets personal care and consumer tissue products in the United States. It operates through three segments: Personal Care, Consumer Tissue, and K-C Professional. The companys Personal Care segment offers disposable diapers, training and youth pants, swimpants, baby wipes, feminine and incontinence care products, reusable underwear, and other related products under the Huggies, Pull-Ups, Little Swimmers, GoodNites, DryNites, Sweety, Kotex, U by Kotex, Intimus, Thinx, Poise, Depend, Plenitud, Softex, and other brand names. Read More Want to see what other hedge funds are holding KMB? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Kimberly-Clark Co. (NYSE:KMB Free Report). Receive News & Ratings for Kimberly-Clark Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Kimberly-Clark and related companies with MarketBeat.com's FREE daily email newsletter. Hengan International Group Company Limited (OTCMKTS:HEGIF Get Free Report) was the target of a significant growth in short interest in August. As of August 31st, there was short interest totalling 1,943,100 shares, a growth of 666.5% from the August 15th total of 253,500 shares. Based on an average trading volume of 0 shares, the short-interest ratio is currently days. Hengan International Group Stock Performance Shares of OTCMKTS:HEGIF opened at $2.87 on Friday. The firm has a fifty day moving average price of $3.01 and a 200 day moving average price of $3.23. Hengan International Group has a fifty-two week low of $2.87 and a fifty-two week high of $3.83. Get Hengan International Group alerts: Hengan International Group Dividend Announcement The firm also recently declared a dividend, which will be paid on Thursday, October 10th. Investors of record on Tuesday, September 10th will be issued a $0.7637 dividend. This represents a dividend yield of 3.41%. The ex-dividend date of this dividend is Monday, September 9th. Hengan International Groups payout ratio is 62.66%. About Hengan International Group Hengan International Group Company Limited, an investment holding company, manufactures, distributes, and sells personal hygiene products in the People's Republic of China and internationally. The company offers pocket handkerchiefs, box and soft tissue papers, kitchen towels/papers, toilet rolls, first-aid products, enema, garbage and food bags, preservation papers, table cloths and disposable toilet brush, sanitary napkins, pantiliners, overnight pants, wet tissues, maternal and child care products, adult and baby diapers, and cleansing products. Featured Articles Receive News & Ratings for Hengan International Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Hengan International Group and related companies with MarketBeat.com's FREE daily email newsletter. Inspire Advisors LLC raised its holdings in Mueller Industries, Inc. (NYSE:MLI Free Report) by 1.8% during the 2nd quarter, HoldingsChannel reports. The institutional investor owned 18,554 shares of the industrial products companys stock after purchasing an additional 328 shares during the period. Inspire Advisors LLCs holdings in Mueller Industries were worth $1,056,000 as of its most recent filing with the Securities and Exchange Commission (SEC). Other hedge funds and other institutional investors also recently made changes to their positions in the company. International Assets Investment Management LLC acquired a new position in Mueller Industries in the second quarter worth about $26,000. Federated Hermes Inc. bought a new position in shares of Mueller Industries during the 2nd quarter worth approximately $28,000. Opal Wealth Advisors LLC acquired a new stake in Mueller Industries in the 2nd quarter valued at $30,000. LifeSteps Financial Inc. bought a new stake in Mueller Industries in the first quarter valued at $43,000. Finally, CWM LLC lifted its holdings in shares of Mueller Industries by 36.9% during the first quarter. CWM LLC now owns 827 shares of the industrial products companys stock valued at $45,000 after acquiring an additional 223 shares during the period. 94.50% of the stock is owned by institutional investors. Get Mueller Industries alerts: Mueller Industries Stock Performance Shares of NYSE:MLI opened at $70.24 on Friday. The company has a market capitalization of $7.96 billion, a PE ratio of 14.08 and a beta of 1.03. The companys fifty day moving average is $66.87 and its 200-day moving average is $59.20. Mueller Industries, Inc. has a 52 week low of $34.96 and a 52 week high of $72.83. Mueller Industries Dividend Announcement Mueller Industries ( NYSE:MLI Get Free Report ) last released its quarterly earnings results on Tuesday, July 23rd. The industrial products company reported $1.41 EPS for the quarter, beating analysts consensus estimates of $1.29 by $0.12. The firm had revenue of $997.75 million for the quarter. Mueller Industries had a net margin of 16.19% and a return on equity of 22.86%. The firm also recently declared a quarterly dividend, which will be paid on Friday, September 20th. Investors of record on Friday, September 6th will be issued a dividend of $0.20 per share. The ex-dividend date of this dividend is Friday, September 6th. This represents a $0.80 dividend on an annualized basis and a yield of 1.14%. Mueller Industriess dividend payout ratio is 16.03%. Insider Buying and Selling at Mueller Industries In other news, CEO Gregory L. Christopher sold 45,000 shares of the stock in a transaction on Wednesday, August 14th. The stock was sold at an average price of $67.73, for a total transaction of $3,047,850.00. Following the sale, the chief executive officer now owns 1,264,311 shares in the company, valued at $85,631,784.03. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available through the SEC website. In related news, Director John B. Hansen sold 8,000 shares of the businesss stock in a transaction that occurred on Friday, August 9th. The shares were sold at an average price of $67.24, for a total value of $537,920.00. Following the completion of the transaction, the director now owns 95,914 shares in the company, valued at approximately $6,449,257.36. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is accessible through the SEC website. Also, CEO Gregory L. Christopher sold 45,000 shares of the companys stock in a transaction on Wednesday, August 14th. The stock was sold at an average price of $67.73, for a total value of $3,047,850.00. Following the transaction, the chief executive officer now directly owns 1,264,311 shares in the company, valued at approximately $85,631,784.03. The disclosure for this sale can be found here. In the last quarter, insiders sold 103,000 shares of company stock worth $7,081,770. 2.80% of the stock is currently owned by insiders. About Mueller Industries (Free Report) Mueller Industries, Inc manufactures and sells copper, brass, aluminum, and plastic products in the United States, the United Kingdom, Canada, South Korea, the Middle East, China, and Mexico. It operates through three segments: Piping Systems, Industrial Metals, and Climate. The Piping Systems segment offers copper tubes, fittings, line sets, and pipe nipples. Recommended Stories Want to see what other hedge funds are holding MLI? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Mueller Industries, Inc. (NYSE:MLI Free Report). Receive News & Ratings for Mueller Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Mueller Industries and related companies with MarketBeat.com's FREE daily email newsletter. Invesco Emerging Markets Sovereign Debt ETF (NYSEARCA:PCY Get Free Report)s stock price reached a new 52-week high during trading on Thursday . The stock traded as high as $21.23 and last traded at $21.17, with a volume of 20043 shares traded. The stock had previously closed at $21.22. Invesco Emerging Markets Sovereign Debt ETF Trading Up 0.6 % The firm has a fifty day simple moving average of $20.71 and a 200-day simple moving average of $20.39. Get Invesco Emerging Markets Sovereign Debt ETF alerts: Hedge Funds Weigh In On Invesco Emerging Markets Sovereign Debt ETF Institutional investors have recently bought and sold shares of the stock. Syon Capital LLC bought a new stake in shares of Invesco Emerging Markets Sovereign Debt ETF in the 4th quarter worth about $36,059,000. Jane Street Group LLC acquired a new position in Invesco Emerging Markets Sovereign Debt ETF during the 1st quarter worth $32,344,000. Apollon Wealth Management LLC increased its stake in shares of Invesco Emerging Markets Sovereign Debt ETF by 1.3% in the 2nd quarter. Apollon Wealth Management LLC now owns 1,355,618 shares of the companys stock valued at $27,112,000 after buying an additional 16,998 shares during the period. Financial & Tax Architects LLC lifted its stake in shares of Invesco Emerging Markets Sovereign Debt ETF by 5.0% during the second quarter. Financial & Tax Architects LLC now owns 749,598 shares of the companys stock valued at $14,992,000 after acquiring an additional 35,835 shares during the period. Finally, Bank of New York Mellon Corp boosted its holdings in Invesco Emerging Markets Sovereign Debt ETF by 0.4% in the second quarter. Bank of New York Mellon Corp now owns 374,287 shares of the companys stock valued at $7,486,000 after acquiring an additional 1,471 shares during the last quarter. About Invesco Emerging Markets Sovereign Debt ETF The Invesco Emerging Markets Sovereign Debt ETF (PCY) is an exchange-traded fund that mostly invests in broad credit fixed income. The fund tracks a tier-weighted index of US-dollar-denominated sovereign debt in emerging markets with at least 3 years to maturity. PCY was launched on Oct 11, 2007 and is managed by Invesco. See Also Receive News & Ratings for Invesco Emerging Markets Sovereign Debt ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Invesco Emerging Markets Sovereign Debt ETF and related companies with MarketBeat.com's FREE daily email newsletter. J.W. Cole Advisors Inc. trimmed its position in iShares Russell 2000 ETF (NYSEARCA:IWM Free Report) by 3.1% in the second quarter, according to its most recent disclosure with the Securities and Exchange Commission (SEC). The firm owned 67,709 shares of the exchange traded funds stock after selling 2,152 shares during the period. iShares Russell 2000 ETF makes up about 0.6% of J.W. Cole Advisors Inc.s portfolio, making the stock its 23rd largest holding. J.W. Cole Advisors Inc.s holdings in iShares Russell 2000 ETF were worth $13,737,000 at the end of the most recent reporting period. Other institutional investors also recently modified their holdings of the company. American International Group Inc. raised its stake in iShares Russell 2000 ETF by 315.1% during the 4th quarter. American International Group Inc. now owns 44,000 shares of the exchange traded funds stock valued at $8,831,000 after purchasing an additional 33,400 shares during the period. Cassady Schiller Wealth Management LLC raised its stake in iShares Russell 2000 ETF by 6.0% during the 4th quarter. Cassady Schiller Wealth Management LLC now owns 1,145 shares of the exchange traded funds stock valued at $230,000 after purchasing an additional 65 shares during the period. SWP Financial LLC acquired a new stake in iShares Russell 2000 ETF during the 4th quarter valued at $381,000. Baird Financial Group Inc. raised its stake in iShares Russell 2000 ETF by 6.6% during the 4th quarter. Baird Financial Group Inc. now owns 1,109,790 shares of the exchange traded funds stock valued at $222,746,000 after purchasing an additional 68,342 shares during the period. Finally, Exchange Capital Management Inc. acquired a new stake in iShares Russell 2000 ETF during the 1st quarter valued at $206,000. Get iShares Russell 2000 ETF alerts: iShares Russell 2000 ETF Price Performance Shares of NYSEARCA:IWM opened at $216.85 on Friday. The companys fifty day moving average is $213.75 and its two-hundred day moving average is $206.68. iShares Russell 2000 ETF has a 12-month low of $161.67 and a 12-month high of $228.63. iShares Russell 2000 ETF Profile iShares Russell 2000 ETF (the Fund) is an exchange-traded fund. The Fund seeks investment results that correspond generally to the price and yield performance of the Russell 2000 Index (the Index). The Index is a float-adjusted capitalization weighted index that measures the performance of the small-capitalization sector of the United States equity market and includes securities issued by the approximately 2,000 smallest issuers in the Russell 3000 Index. Featured Stories Want to see what other hedge funds are holding IWM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares Russell 2000 ETF (NYSEARCA:IWM Free Report). Receive News & Ratings for iShares Russell 2000 ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Russell 2000 ETF and related companies with MarketBeat.com's FREE daily email newsletter. Valley Wealth Managers Inc. trimmed its holdings in shares of iShares S&P 500 Value ETF (NYSEARCA:IVE Free Report) by 22.1% in the second quarter, according to its most recent 13F filing with the Securities and Exchange Commission. The fund owned 1,118 shares of the companys stock after selling 317 shares during the quarter. Valley Wealth Managers Inc.s holdings in iShares S&P 500 Value ETF were worth $203,000 at the end of the most recent reporting period. A number of other hedge funds have also recently made changes to their positions in IVE. Boston Partners lifted its holdings in iShares S&P 500 Value ETF by 36.9% during the 4th quarter. Boston Partners now owns 1,903 shares of the companys stock worth $331,000 after buying an additional 513 shares in the last quarter. Vanguard Personalized Indexing Management LLC acquired a new stake in shares of iShares S&P 500 Value ETF during the 4th quarter worth about $442,000. Clarity Capital Advisors LLC acquired a new stake in iShares S&P 500 Value ETF during the 4th quarter worth $623,000. TrinityPoint Wealth LLC grew its stake in iShares S&P 500 Value ETF by 40.4% during the 4th quarter. TrinityPoint Wealth LLC now owns 1,878 shares of the companys stock worth $327,000 after buying an additional 540 shares during the last quarter. Finally, UBS Group AG boosted its stake in iShares S&P 500 Value ETF by 0.4% during the 4th quarter. UBS Group AG now owns 3,558,382 shares of the companys stock worth $618,767,000 after purchasing an additional 14,425 shares during the period. Get iShares S&P 500 Value ETF alerts: iShares S&P 500 Value ETF Stock Up 0.6 % IVE opened at $194.26 on Friday. iShares S&P 500 Value ETF has a 52 week low of $147.23 and a 52 week high of $196.36. The business has a 50 day moving average price of $189.39 and a two-hundred day moving average price of $184.71. The company has a market cap of $34.80 billion, a PE ratio of 23.79 and a beta of 0.81. About iShares S&P 500 Value ETF iShares S&P 500 Value ETF (the Fund), formerly iShares S&P 500 Value Index Fund, is an exchange-traded fund (ETF). The Fund seeks investment results that correspond generally to the price and yield performance of the S&P 500 Value Index (the Index). The Index measures the performance of the large-capitalization value sector of the United States equity market and consists of those stocks in the S&P 500 exhibiting the strongest value characteristics. See Also Want to see what other hedge funds are holding IVE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares S&P 500 Value ETF (NYSEARCA:IVE Free Report). Receive News & Ratings for iShares S&P 500 Value ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares S&P 500 Value ETF and related companies with MarketBeat.com's FREE daily email newsletter. John Hancock Financial Opportunities Fund (NYSE:BTO Get Free Report) shares passed above its fifty day moving average during trading on Wednesday . The stock has a fifty day moving average of $31.46 and traded as high as $32.82. John Hancock Financial Opportunities Fund shares last traded at $32.29, with a volume of 68,604 shares. John Hancock Financial Opportunities Fund Price Performance The companys 50-day moving average is $31.63 and its 200-day moving average is $29.26. Get John Hancock Financial Opportunities Fund alerts: John Hancock Financial Opportunities Fund Announces Dividend The firm also recently declared a quarterly dividend, which will be paid on Monday, September 30th. Shareholders of record on Friday, September 13th will be given a dividend of $0.65 per share. The ex-dividend date of this dividend is Friday, September 13th. This represents a $2.60 dividend on an annualized basis and a yield of 8.09%. Institutional Inflows and Outflows John Hancock Financial Opportunities Fund Company Profile A number of hedge funds and other institutional investors have recently bought and sold shares of the business. Aurora Investment Counsel lifted its position in John Hancock Financial Opportunities Fund by 0.5% during the second quarter. Aurora Investment Counsel now owns 89,279 shares of the financial services providers stock valued at $2,501,000 after buying an additional 429 shares in the last quarter. Wedbush Securities Inc. raised its stake in shares of John Hancock Financial Opportunities Fund by 8.7% during the second quarter. Wedbush Securities Inc. now owns 8,738 shares of the financial services providers stock valued at $245,000 after purchasing an additional 700 shares during the period. Oppenheimer & Co. Inc. raised its stake in shares of John Hancock Financial Opportunities Fund by 3.3% during the first quarter. Oppenheimer & Co. Inc. now owns 26,337 shares of the financial services providers stock valued at $779,000 after purchasing an additional 831 shares during the period. L.M. Kohn & Company raised its stake in shares of John Hancock Financial Opportunities Fund by 7.8% during the first quarter. L.M. Kohn & Company now owns 12,301 shares of the financial services providers stock valued at $364,000 after purchasing an additional 890 shares during the period. Finally, Commonwealth Equity Services LLC raised its stake in shares of John Hancock Financial Opportunities Fund by 5.7% during the second quarter. Commonwealth Equity Services LLC now owns 19,817 shares of the financial services providers stock valued at $555,000 after purchasing an additional 1,068 shares during the period. 19.55% of the stock is owned by institutional investors and hedge funds. (Get Free Report) John Hancock Financial Opportunities Fund is a closed-ended equity mutual fund launched and managed by John Hancock Investment Management LLC. It is co-managed by John Hancock Asset Management. The fund invests in the public equity markets across the globe. It seeks to invest in the stocks of companies operating across the financial services sector. Read More Receive News & Ratings for John Hancock Financial Opportunities Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for John Hancock Financial Opportunities Fund and related companies with MarketBeat.com's FREE daily email newsletter. Krane Funds Advisors LLC purchased a new position in BlackRock, Inc. (NYSE:BLK Free Report) during the second quarter, according to its most recent disclosure with the Securities and Exchange Commission (SEC). The fund purchased 452 shares of the asset managers stock, valued at approximately $356,000. Other institutional investors have also recently made changes to their positions in the company. Vanguard Group Inc. boosted its stake in BlackRock by 2.3% during the 1st quarter. Vanguard Group Inc. now owns 13,182,262 shares of the asset managers stock worth $10,990,052,000 after purchasing an additional 292,017 shares during the last quarter. Capital Research Global Investors boosted its position in BlackRock by 11.1% during the first quarter. Capital Research Global Investors now owns 4,354,236 shares of the asset managers stock worth $3,630,127,000 after acquiring an additional 435,358 shares during the last quarter. Capital World Investors grew its stake in BlackRock by 57.3% during the 4th quarter. Capital World Investors now owns 3,514,999 shares of the asset managers stock valued at $2,853,517,000 after acquiring an additional 1,279,952 shares in the last quarter. Capital International Investors lifted its stake in BlackRock by 2.4% in the 4th quarter. Capital International Investors now owns 2,471,621 shares of the asset managers stock worth $2,006,490,000 after purchasing an additional 58,681 shares in the last quarter. Finally, Norges Bank purchased a new position in BlackRock in the 4th quarter worth approximately $1,812,920,000. 80.69% of the stock is owned by institutional investors and hedge funds. Get BlackRock alerts: BlackRock Trading Up 0.1 % NYSE BLK opened at $885.73 on Friday. BlackRock, Inc. has a one year low of $596.18 and a one year high of $903.46. The firm has a 50 day simple moving average of $857.98 and a 200-day simple moving average of $814.37. The firm has a market cap of $131.20 billion, a price-to-earnings ratio of 22.51, a PEG ratio of 1.92 and a beta of 1.30. The company has a debt-to-equity ratio of 0.37, a quick ratio of 5.06 and a current ratio of 5.06. BlackRock Announces Dividend BlackRock ( NYSE:BLK Get Free Report ) last issued its quarterly earnings results on Monday, July 15th. The asset manager reported $10.36 EPS for the quarter, beating the consensus estimate of $9.96 by $0.40. BlackRock had a net margin of 32.36% and a return on equity of 15.44%. The company had revenue of $4.81 billion during the quarter, compared to analysts expectations of $4.85 billion. During the same quarter last year, the company posted $9.28 EPS. The firms revenue was up 7.7% compared to the same quarter last year. Equities research analysts anticipate that BlackRock, Inc. will post 41.34 EPS for the current fiscal year. The business also recently declared a quarterly dividend, which will be paid on Monday, September 23rd. Shareholders of record on Monday, September 9th will be issued a dividend of $5.10 per share. The ex-dividend date is Monday, September 9th. This represents a $20.40 annualized dividend and a yield of 2.30%. BlackRocks dividend payout ratio (DPR) is 51.84%. Insider Buying and Selling at BlackRock In related news, Director Pamela Daley sold 1,531 shares of the companys stock in a transaction that occurred on Friday, August 2nd. The shares were sold at an average price of $842.08, for a total transaction of $1,289,224.48. Following the sale, the director now directly owns 5,732 shares in the company, valued at $4,826,802.56. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this link. In related news, Director Pamela Daley sold 1,531 shares of the businesss stock in a transaction on Friday, August 2nd. The shares were sold at an average price of $842.08, for a total transaction of $1,289,224.48. Following the completion of the transaction, the director now owns 5,732 shares in the company, valued at $4,826,802.56. The sale was disclosed in a legal filing with the SEC, which is accessible through the SEC website. Also, Director Mark Wiedman sold 12,000 shares of the firms stock in a transaction on Friday, August 30th. The shares were sold at an average price of $900.00, for a total value of $10,800,000.00. Following the completion of the sale, the director now owns 6,480 shares in the company, valued at $5,832,000. The disclosure for this sale can be found here. Insiders have sold 93,042 shares of company stock valued at $79,387,117 in the last quarter. Corporate insiders own 0.90% of the companys stock. Analysts Set New Price Targets BLK has been the subject of several recent research reports. Morgan Stanley cut their price target on BlackRock from $1,025.00 to $1,013.00 and set an overweight rating for the company in a report on Thursday, July 11th. Argus lifted their target price on shares of BlackRock from $880.00 to $910.00 and gave the stock a buy rating in a research note on Tuesday, July 16th. TD Cowen dropped their price target on shares of BlackRock from $974.00 to $969.00 and set a buy rating for the company in a research note on Monday, July 8th. Wells Fargo & Company assumed coverage on shares of BlackRock in a research report on Thursday. They set an overweight rating and a $1,000.00 price target on the stock. Finally, Evercore ISI increased their price objective on BlackRock from $920.00 to $945.00 and gave the stock an outperform rating in a research report on Thursday, September 5th. Three research analysts have rated the stock with a hold rating and eleven have given a buy rating to the companys stock. According to data from MarketBeat, the stock has an average rating of Moderate Buy and a consensus target price of $902.13. Get Our Latest Research Report on BLK About BlackRock (Free Report) BlackRock, Inc is a publicly owned investment manager. The firm primarily provides its services to institutional, intermediary, and individual investors including corporate, public, union, and industry pension plans, insurance companies, third-party mutual funds, endowments, public institutions, governments, foundations, charities, sovereign wealth funds, corporations, official institutions, and banks. Featured Stories Want to see what other hedge funds are holding BLK? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for BlackRock, Inc. (NYSE:BLK Free Report). Receive News & Ratings for BlackRock Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BlackRock and related companies with MarketBeat.com's FREE daily email newsletter. Kuhn & Co Investment Counsel acquired a new stake in shares of Philip Morris International Inc. (NYSE:PM Free Report) during the 2nd quarter, Holdings Channel reports. The fund acquired 2,131 shares of the companys stock, valued at approximately $216,000. A number of other hedge funds have also recently bought and sold shares of the company. Iron Horse Wealth Management LLC increased its stake in shares of Philip Morris International by 684.4% during the 2nd quarter. Iron Horse Wealth Management LLC now owns 251 shares of the companys stock valued at $25,000 after acquiring an additional 219 shares during the last quarter. Strategic Financial Concepts LLC purchased a new stake in Philip Morris International in the second quarter valued at approximately $25,000. Sachetta LLC increased its position in Philip Morris International by 64.8% during the second quarter. Sachetta LLC now owns 267 shares of the companys stock worth $27,000 after purchasing an additional 105 shares during the last quarter. Boyd Watterson Asset Management LLC OH raised its stake in Philip Morris International by 250.0% during the first quarter. Boyd Watterson Asset Management LLC OH now owns 322 shares of the companys stock worth $30,000 after purchasing an additional 230 shares during the period. Finally, Fortitude Family Office LLC boosted its holdings in shares of Philip Morris International by 57.4% in the 2nd quarter. Fortitude Family Office LLC now owns 318 shares of the companys stock valued at $32,000 after purchasing an additional 116 shares during the last quarter. Institutional investors and hedge funds own 78.63% of the companys stock. Get Philip Morris International alerts: Philip Morris International Stock Up 0.8 % Shares of NYSE:PM opened at $125.63 on Friday. The company has a 50 day moving average of $116.31 and a two-hundred day moving average of $103.45. Philip Morris International Inc. has a 52-week low of $87.23 and a 52-week high of $128.22. The company has a market capitalization of $195.30 billion, a price-to-earnings ratio of 24.54, a price-to-earnings-growth ratio of 2.45 and a beta of 0.55. Philip Morris International Increases Dividend Philip Morris International ( NYSE:PM Get Free Report ) last released its quarterly earnings results on Tuesday, July 23rd. The company reported $1.59 earnings per share for the quarter, beating analysts consensus estimates of $1.57 by $0.02. Philip Morris International had a net margin of 9.41% and a negative return on equity of 113.32%. The business had revenue of $9.47 billion during the quarter, compared to analyst estimates of $9.19 billion. During the same period last year, the business earned $1.60 EPS. The businesss revenue was up 5.6% on a year-over-year basis. On average, equities analysts anticipate that Philip Morris International Inc. will post 6.45 earnings per share for the current year. The firm also recently declared a quarterly dividend, which will be paid on Thursday, October 10th. Investors of record on Thursday, September 26th will be paid a $1.35 dividend. This is a boost from Philip Morris Internationals previous quarterly dividend of $1.30. The ex-dividend date is Thursday, September 26th. This represents a $5.40 annualized dividend and a dividend yield of 4.30%. Philip Morris Internationals payout ratio is 101.56%. Insider Activity at Philip Morris International In other Philip Morris International news, insider Wilde Frederic De sold 20,000 shares of Philip Morris International stock in a transaction that occurred on Thursday, July 25th. The stock was sold at an average price of $113.01, for a total transaction of $2,260,200.00. Following the sale, the insider now directly owns 158,447 shares in the company, valued at approximately $17,906,095.47. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available through the SEC website. In other Philip Morris International news, insider Wilde Frederic De sold 20,000 shares of the stock in a transaction on Thursday, July 25th. The shares were sold at an average price of $113.01, for a total transaction of $2,260,200.00. Following the transaction, the insider now directly owns 158,447 shares in the company, valued at approximately $17,906,095.47. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this hyperlink. Also, insider Massimo Andolina sold 9,000 shares of the businesss stock in a transaction dated Thursday, August 8th. The stock was sold at an average price of $115.35, for a total transaction of $1,038,150.00. Following the completion of the sale, the insider now directly owns 85,629 shares of the companys stock, valued at approximately $9,877,305.15. The disclosure for this sale can be found here. 0.13% of the stock is currently owned by company insiders. Wall Street Analysts Forecast Growth A number of analysts have recently commented on the company. JPMorgan Chase & Co. upped their price objective on Philip Morris International from $110.00 to $125.00 and gave the stock an overweight rating in a report on Thursday, July 25th. Stifel Nicolaus raised their price objective on Philip Morris International from $120.00 to $138.00 and gave the stock a buy rating in a report on Wednesday, September 4th. StockNews.com raised Philip Morris International from a hold rating to a buy rating in a research note on Monday, July 22nd. Deutsche Bank Aktiengesellschaft increased their target price on shares of Philip Morris International from $116.00 to $118.00 and gave the stock a buy rating in a research report on Thursday, July 25th. Finally, UBS Group lifted their price target on shares of Philip Morris International from $95.00 to $105.00 and gave the company a sell rating in a research report on Monday, September 9th. One analyst has rated the stock with a sell rating, three have assigned a hold rating and eight have assigned a buy rating to the companys stock. Based on data from MarketBeat, the company has an average rating of Moderate Buy and a consensus price target of $117.05. Read Our Latest Analysis on Philip Morris International About Philip Morris International (Free Report) Philip Morris International Inc operates as a tobacco company working to delivers a smoke-free future and evolving portfolio for the long-term to include products outside of the tobacco and nicotine sector. The company's product portfolio primarily consists of cigarettes and smoke-free products, including heat-not-burn, vapor, and oral nicotine products primarily under the IQOS and ZYN brands; and consumer accessories, such as lighters and matches. Recommended Stories Want to see what other hedge funds are holding PM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Philip Morris International Inc. (NYSE:PM Free Report). Receive News & Ratings for Philip Morris International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Philip Morris International and related companies with MarketBeat.com's FREE daily email newsletter. Marriott Vacations Worldwide (NYSE:VAC Free Report) had its price target reduced by Stifel Nicolaus from $108.00 to $96.50 in a research note issued to investors on Friday, Benzinga reports. Stifel Nicolaus currently has a buy rating on the stock. Other research analysts have also issued research reports about the company. JMP Securities dropped their price target on shares of Marriott Vacations Worldwide from $115.00 to $90.00 and set a market outperform rating on the stock in a research note on Friday, August 2nd. Mizuho dropped their price objective on shares of Marriott Vacations Worldwide from $128.00 to $126.00 and set a buy rating for the company in a research note on Friday, June 14th. StockNews.com downgraded Marriott Vacations Worldwide from a hold rating to a sell rating in a research report on Friday, July 19th. Truist Financial decreased their target price on shares of Marriott Vacations Worldwide from $161.00 to $159.00 and set a buy rating on the stock in a report on Friday, July 19th. Finally, Deutsche Bank Aktiengesellschaft decreased their price target on Marriott Vacations Worldwide from $121.00 to $95.00 and set a buy rating on the stock in a research note on Tuesday, August 6th. Two equities research analysts have rated the stock with a sell rating, three have issued a hold rating and five have assigned a buy rating to the companys stock. According to data from MarketBeat.com, the stock has a consensus rating of Hold and a consensus price target of $101.39. Get Marriott Vacations Worldwide alerts: Check Out Our Latest Research Report on VAC Marriott Vacations Worldwide Price Performance Shares of VAC opened at $73.29 on Friday. The company has a debt-to-equity ratio of 2.21, a current ratio of 3.28 and a quick ratio of 2.72. The companys fifty day moving average price is $77.32 and its 200-day moving average price is $88.77. Marriott Vacations Worldwide has a 1-year low of $67.28 and a 1-year high of $108.57. The firm has a market capitalization of $2.57 billion, a PE ratio of 13.55, a price-to-earnings-growth ratio of 0.64 and a beta of 1.81. Marriott Vacations Worldwide (NYSE:VAC Get Free Report) last issued its quarterly earnings data on Wednesday, July 31st. The company reported $1.10 earnings per share (EPS) for the quarter, missing the consensus estimate of $1.99 by ($0.89). The company had revenue of $1.14 billion during the quarter, compared to analyst estimates of $1.21 billion. Marriott Vacations Worldwide had a net margin of 3.41% and a return on equity of 9.89%. The businesss quarterly revenue was down 3.2% compared to the same quarter last year. During the same period last year, the firm earned $2.19 EPS. On average, equities research analysts predict that Marriott Vacations Worldwide will post 6.12 EPS for the current year. Marriott Vacations Worldwide Dividend Announcement The company also recently declared a quarterly dividend, which will be paid on Thursday, October 3rd. Shareholders of record on Thursday, September 19th will be issued a dividend of $0.76 per share. This represents a $3.04 annualized dividend and a yield of 4.15%. The ex-dividend date is Thursday, September 19th. Marriott Vacations Worldwides dividend payout ratio (DPR) is presently 56.19%. Insider Buying and Selling In other Marriott Vacations Worldwide news, insider Jason P. Marino acquired 700 shares of the companys stock in a transaction dated Wednesday, September 11th. The shares were acquired at an average price of $69.00 per share, for a total transaction of $48,300.00. Following the acquisition, the insider now owns 15,851 shares in the company, valued at approximately $1,093,719. The purchase was disclosed in a document filed with the SEC, which is available at the SEC website. Insiders own 1.70% of the companys stock. Institutional Investors Weigh In On Marriott Vacations Worldwide Several hedge funds and other institutional investors have recently bought and sold shares of VAC. Vanguard Group Inc. lifted its stake in Marriott Vacations Worldwide by 4.6% in the first quarter. Vanguard Group Inc. now owns 3,266,238 shares of the companys stock valued at $351,872,000 after buying an additional 143,074 shares during the last quarter. Dimensional Fund Advisors LP increased its stake in Marriott Vacations Worldwide by 14.9% in the second quarter. Dimensional Fund Advisors LP now owns 1,599,789 shares of the companys stock valued at $139,687,000 after purchasing an additional 207,938 shares during the period. Impactive Capital LP purchased a new stake in shares of Marriott Vacations Worldwide in the first quarter valued at about $123,613,000. Burgundy Asset Management Ltd. boosted its stake in shares of Marriott Vacations Worldwide by 3.0% during the first quarter. Burgundy Asset Management Ltd. now owns 1,096,286 shares of the companys stock worth $118,103,000 after buying an additional 32,218 shares during the period. Finally, Victory Capital Management Inc. grew its holdings in shares of Marriott Vacations Worldwide by 20.3% during the second quarter. Victory Capital Management Inc. now owns 763,835 shares of the companys stock worth $66,698,000 after buying an additional 129,131 shares during the last quarter. 89.52% of the stock is currently owned by institutional investors and hedge funds. About Marriott Vacations Worldwide (Get Free Report) Marriott Vacations Worldwide Corporation, a vacation company, develops, markets, sells, and manages vacation ownership and related businesses, products, and services in the United States and internationally. It operates through two segments, Vacation Ownership and Exchange & Third-Party Management. Featured Articles Receive News & Ratings for Marriott Vacations Worldwide Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Marriott Vacations Worldwide and related companies with MarketBeat.com's FREE daily email newsletter. McMillan Shakespeare Limited (ASX:MMS Get Free Report) insider Robert (Rob) De Luca acquired 8,668 shares of the stock in a transaction dated Wednesday, September 11th. The stock was bought at an average cost of A$15.88 ($10.59) per share, with a total value of A$137,647.84 ($91,765.23). McMillan Shakespeare Price Performance The company has a debt-to-equity ratio of 456.47, a current ratio of 1.18 and a quick ratio of 1.47. Get McMillan Shakespeare alerts: McMillan Shakespeare Increases Dividend The company also recently disclosed a Final dividend, which will be paid on Thursday, September 26th. Shareholders of record on Thursday, September 26th will be given a dividend of $0.78 per share. This is a boost from McMillan Shakespeares previous Final dividend of $0.74. The ex-dividend date is Wednesday, September 11th. This represents a yield of 4.91%. McMillan Shakespeares payout ratio is 121.88%. About McMillan Shakespeare McMillan Shakespeare Limited provides salary packaging, novated leasing, disability plan management and support co-ordination, asset management, and related financial products and services in Australia, the United Kingdom, and New Zealand. It operates through Group Remuneration Services, Asset Management Services, and Plan and Support Services segments. See Also Receive News & Ratings for McMillan Shakespeare Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for McMillan Shakespeare and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Advisory Services Inc. grew its position in Norfolk Southern Co. (NYSE:NSC Free Report) by 31.0% in the 2nd quarter, according to its most recent disclosure with the SEC. The fund owned 5,119 shares of the railroad operators stock after buying an additional 1,212 shares during the period. Meeder Advisory Services Inc.s holdings in Norfolk Southern were worth $1,099,000 as of its most recent SEC filing. Several other hedge funds and other institutional investors have also recently made changes to their positions in the company. Raleigh Capital Management Inc. raised its holdings in Norfolk Southern by 4.4% in the 4th quarter. Raleigh Capital Management Inc. now owns 956 shares of the railroad operators stock worth $226,000 after acquiring an additional 40 shares during the last quarter. Hall Capital Management Co. Inc. raised its stake in shares of Norfolk Southern by 2.8% in the second quarter. Hall Capital Management Co. Inc. now owns 1,516 shares of the railroad operators stock worth $325,000 after purchasing an additional 42 shares during the last quarter. Blue Chip Partners LLC lifted its holdings in shares of Norfolk Southern by 0.5% during the second quarter. Blue Chip Partners LLC now owns 7,716 shares of the railroad operators stock valued at $1,656,000 after purchasing an additional 42 shares in the last quarter. Stephens Consulting LLC lifted its holdings in shares of Norfolk Southern by 12.4% during the second quarter. Stephens Consulting LLC now owns 381 shares of the railroad operators stock valued at $82,000 after purchasing an additional 42 shares in the last quarter. Finally, Indiana Trust & Investment Management CO boosted its position in shares of Norfolk Southern by 3.0% during the first quarter. Indiana Trust & Investment Management CO now owns 1,477 shares of the railroad operators stock valued at $376,000 after buying an additional 43 shares during the last quarter. Institutional investors own 75.10% of the companys stock. Get Norfolk Southern alerts: Analyst Upgrades and Downgrades NSC has been the topic of several research analyst reports. Royal Bank of Canada lowered their target price on shares of Norfolk Southern from $270.00 to $267.00 and set an outperform rating on the stock in a research note on Friday, July 26th. Benchmark increased their price objective on shares of Norfolk Southern from $266.00 to $270.00 and gave the company a buy rating in a research report on Monday, July 29th. Morgan Stanley restated an underweight rating and issued a $175.00 target price on shares of Norfolk Southern in a report on Monday, July 8th. Stifel Nicolaus boosted their target price on shares of Norfolk Southern from $239.00 to $241.00 and gave the company a hold rating in a research note on Friday, July 26th. Finally, TD Cowen raised their price target on Norfolk Southern from $251.00 to $253.00 and gave the stock a hold rating in a research note on Friday, July 26th. One analyst has rated the stock with a sell rating, five have assigned a hold rating and twelve have assigned a buy rating to the stock. According to data from MarketBeat, Norfolk Southern has a consensus rating of Moderate Buy and an average price target of $264.24. Norfolk Southern Stock Performance Shares of NYSE NSC opened at $245.80 on Friday. The stock has a market cap of $55.58 billion, a PE ratio of 39.58, a price-to-earnings-growth ratio of 2.48 and a beta of 1.31. Norfolk Southern Co. has a 1 year low of $183.09 and a 1 year high of $263.66. The firm has a fifty day moving average price of $240.36 and a 200-day moving average price of $238.22. The company has a debt-to-equity ratio of 1.30, a current ratio of 0.63 and a quick ratio of 0.54. Norfolk Southern (NYSE:NSC Get Free Report) last issued its quarterly earnings results on Thursday, July 25th. The railroad operator reported $3.06 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $2.86 by $0.20. Norfolk Southern had a return on equity of 19.64% and a net margin of 14.85%. The business had revenue of $3.04 billion during the quarter, compared to analyst estimates of $3.04 billion. During the same quarter in the prior year, the business posted $2.95 EPS. Norfolk Southerns revenue for the quarter was up 2.1% compared to the same quarter last year. Equities analysts anticipate that Norfolk Southern Co. will post 11.8 earnings per share for the current fiscal year. Norfolk Southern Dividend Announcement The firm also recently declared a quarterly dividend, which was paid on Tuesday, August 20th. Investors of record on Friday, August 2nd were issued a $1.35 dividend. The ex-dividend date of this dividend was Friday, August 2nd. This represents a $5.40 dividend on an annualized basis and a yield of 2.20%. Norfolk Southerns dividend payout ratio is presently 86.96%. Insider Buying and Selling at Norfolk Southern In other news, EVP Nabanita C. Nag sold 355 shares of the businesss stock in a transaction on Monday, July 29th. The shares were sold at an average price of $249.38, for a total value of $88,529.90. Following the transaction, the executive vice president now owns 1,488 shares of the companys stock, valued at $371,077.44. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available through this link. In other news, Director Sameh Fahmy acquired 700 shares of Norfolk Southern stock in a transaction on Thursday, August 1st. The shares were acquired at an average price of $245.15 per share, with a total value of $171,605.00. Following the purchase, the director now directly owns 7,700 shares in the company, valued at approximately $1,887,655. The transaction was disclosed in a legal filing with the SEC, which is available through the SEC website. Also, EVP Nabanita C. Nag sold 355 shares of the stock in a transaction that occurred on Monday, July 29th. The shares were sold at an average price of $249.38, for a total value of $88,529.90. Following the transaction, the executive vice president now owns 1,488 shares of the companys stock, valued at $371,077.44. The disclosure for this sale can be found here. Insiders own 0.19% of the companys stock. About Norfolk Southern (Free Report) Norfolk Southern Corporation, together with its subsidiaries, engages in the rail transportation of raw materials, intermediate products, and finished goods in the United States. The company transports agriculture, forest, and consumer products comprising soybeans, wheat, corn, fertilizers, livestock and poultry feed, food products, food oils, flour, sweeteners, ethanol, lumber and wood products, pulp board and paper products, wood fibers, wood pulp, beverages, and canned goods; chemicals consist of sulfur and related chemicals, petroleum products comprising crude oil, chlorine and bleaching compounds, plastics, rubber, industrial chemicals, chemical wastes, sand, and natural gas liquids; metals and construction materials, such as steel, aluminum products, machinery, scrap metals, cement, aggregates, minerals, clay, transportation equipment, and military-related products; and automotive, including finished motor vehicles and automotive parts, as well as coal. See Also Want to see what other hedge funds are holding NSC? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Norfolk Southern Co. (NYSE:NSC Free Report). Receive News & Ratings for Norfolk Southern Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Norfolk Southern and related companies with MarketBeat.com's FREE daily email newsletter. Meritage Homes Co. (NYSE:MTH Get Free Report) announced a quarterly dividend on Thursday, August 29th, Wall Street Journal reports. Shareholders of record on Monday, September 16th will be given a dividend of 0.75 per share by the construction company on Monday, September 30th. This represents a $3.00 dividend on an annualized basis and a yield of 1.47%. The ex-dividend date of this dividend is Monday, September 16th. Meritage Homes has a payout ratio of 13.7% meaning its dividend is sufficiently covered by earnings. Equities research analysts expect Meritage Homes to earn $21.98 per share next year, which means the company should continue to be able to cover its $3.00 annual dividend with an expected future payout ratio of 13.6%. Get Meritage Homes alerts: Meritage Homes Stock Up 4.1 % NYSE MTH opened at $203.55 on Friday. The stock has a 50 day moving average price of $188.34 and a 200-day moving average price of $173.64. Meritage Homes has a one year low of $109.23 and a one year high of $210.58. The company has a debt-to-equity ratio of 0.27, a quick ratio of 1.93 and a current ratio of 1.93. The company has a market capitalization of $7.39 billion, a P/E ratio of 9.49 and a beta of 1.80. Insider Activity Meritage Homes ( NYSE:MTH Get Free Report ) last released its quarterly earnings data on Wednesday, July 24th. The construction company reported $6.31 EPS for the quarter, beating the consensus estimate of $5.17 by $1.14. Meritage Homes had a net margin of 12.98% and a return on equity of 17.99%. The business had revenue of $1.69 billion during the quarter, compared to analyst estimates of $1.57 billion. During the same quarter last year, the business earned $5.02 earnings per share. Meritage Homess quarterly revenue was up 9.8% compared to the same quarter last year. As a group, equities analysts predict that Meritage Homes will post 21.09 EPS for the current fiscal year. In related news, EVP Javier Feliciano sold 3,000 shares of the firms stock in a transaction dated Friday, July 26th. The shares were sold at an average price of $198.36, for a total value of $595,080.00. Following the transaction, the executive vice president now owns 14,307 shares of the companys stock, valued at approximately $2,837,936.52. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this link. In other Meritage Homes news, EVP Javier Feliciano sold 3,000 shares of the firms stock in a transaction on Friday, July 26th. The stock was sold at an average price of $198.36, for a total transaction of $595,080.00. Following the transaction, the executive vice president now directly owns 14,307 shares in the company, valued at approximately $2,837,936.52. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. Also, CEO Phillippe Lord sold 10,000 shares of the companys stock in a transaction on Friday, July 26th. The stock was sold at an average price of $200.35, for a total value of $2,003,500.00. Following the completion of the sale, the chief executive officer now owns 90,266 shares of the companys stock, valued at $18,084,793.10. The disclosure for this sale can be found here. Over the last ninety days, insiders sold 15,500 shares of company stock valued at $3,111,080. 2.00% of the stock is currently owned by company insiders. Wall Street Analyst Weigh In Several brokerages have weighed in on MTH. Evercore ISI boosted their price objective on Meritage Homes from $219.00 to $221.00 and gave the stock an outperform rating in a research note on Monday, July 15th. Wedbush increased their price target on Meritage Homes from $148.00 to $160.00 and gave the company an underperform rating in a report on Friday, July 26th. Raymond James lifted their price objective on shares of Meritage Homes from $220.00 to $230.00 and gave the stock an outperform rating in a research note on Wednesday, July 31st. Wolfe Research raised shares of Meritage Homes from a peer perform rating to an outperform rating and set a $230.00 target price on the stock in a research note on Wednesday, August 14th. Finally, Keefe, Bruyette & Woods raised their target price on shares of Meritage Homes from $195.00 to $210.00 and gave the stock a market perform rating in a report on Tuesday, July 30th. Four analysts have rated the stock with a hold rating, four have given a buy rating and one has given a strong buy rating to the company. According to data from MarketBeat.com, Meritage Homes currently has an average rating of Moderate Buy and an average price target of $208.00. Read Our Latest Analysis on MTH About Meritage Homes (Get Free Report) Meritage Homes Corporation, together with its subsidiaries, designs and builds single-family attached and detached homes in the United States. The company operates through two segments, Homebuilding and Financial Services. It acquires and develops land; and constructs, markets, and sells homes for entry-level and first move-up buyers in Arizona, California, Colorado, Utah, Texas, Florida, Georgia, North Carolina, South Carolina, and Tennessee. Recommended Stories Receive News & Ratings for Meritage Homes Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Meritage Homes and related companies with MarketBeat.com's FREE daily email newsletter. Oppenheimer & Co. Inc. decreased its position in shares of iShares MSCI Kokusai ETF (NYSEARCA:TOK Free Report) by 1.9% in the second quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The fund owned 65,229 shares of the companys stock after selling 1,262 shares during the period. Oppenheimer & Co. Inc.s holdings in iShares MSCI Kokusai ETF were worth $7,165,000 at the end of the most recent reporting period. Separately, Mitsubishi UFJ Asset Management UK Ltd. raised its stake in shares of iShares MSCI Kokusai ETF by 6.8% during the 1st quarter. Mitsubishi UFJ Asset Management UK Ltd. now owns 154,500 shares of the companys stock worth $16,604,000 after purchasing an additional 9,800 shares in the last quarter. Get iShares MSCI Kokusai ETF alerts: iShares MSCI Kokusai ETF Price Performance Shares of iShares MSCI Kokusai ETF stock opened at $113.84 on Friday. The firm has a market cap of $221.99 million, a price-to-earnings ratio of 21.58 and a beta of 0.94. The stock has a 50-day simple moving average of $111.40 and a 200-day simple moving average of $108.56. iShares MSCI Kokusai ETF has a 52-week low of $85.43 and a 52-week high of $114.45. iShares MSCI Kokusai ETF Company Profile The iShares MSCI Kokusai ETF (TOK) is an exchange-traded fund that is based on the MSCI Kokusai (World ex Japan) index. The fund tracks a market cap-weighted index of large- and mid-cap developed market stocks outside of Japan. TOK was launched on Dec 10, 2007 and is managed by BlackRock. See Also Want to see what other hedge funds are holding TOK? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares MSCI Kokusai ETF (NYSEARCA:TOK Free Report). Receive News & Ratings for iShares MSCI Kokusai ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI Kokusai ETF and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Pacer CSOP FTSE China A50 ETF (NYSEARCA:AFTY Get Free Report) dropped 0.1% on Friday . The company traded as low as $13.47 and last traded at $13.47. Approximately 402 shares traded hands during trading, a decline of 49% from the average daily volume of 782 shares. The stock had previously closed at $13.49. Pacer CSOP FTSE China A50 ETF Price Performance The stock has a market cap of $4.12 million, a price-to-earnings ratio of 11.67 and a beta of 0.45. The companys fifty day moving average price is $14.01 and its 200-day moving average price is $14.14. About Pacer CSOP FTSE China A50 ETF (Get Free Report) The Pacer CSOP FTSE China A50 ETF (AFTY) is an exchange-traded fund that is based on the FTSE China A 50 index. The fund tracks an index of the 50 largest China A-shares listed on the Shanghai and Shenzhen stock exchanges. AFTY was launched on Mar 12, 2015 and is managed by Pacer. See Also Receive News & Ratings for Pacer CSOP FTSE China A50 ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pacer CSOP FTSE China A50 ETF and related companies with MarketBeat.com's FREE daily email newsletter. Pandora A/S (OTCMKTS:PANDY Get Free Report) was the recipient of a large decline in short interest in the month of August. As of August 31st, there was short interest totalling 5,900 shares, a decline of 58.2% from the August 15th total of 14,100 shares. Based on an average trading volume of 12,000 shares, the short-interest ratio is currently 0.5 days. Pandora A/S Stock Performance PANDY stock traded up $0.49 during trading on Friday, hitting $44.61. The company had a trading volume of 5,654 shares, compared to its average volume of 5,739. Pandora A/S has a 1-year low of $24.87 and a 1-year high of $44.92. The firm has a 50-day moving average price of $40.08 and a 200-day moving average price of $40.14. Get Pandora A/S alerts: Pandora A/S (OTCMKTS:PANDY Get Free Report) last issued its quarterly earnings results on Monday, August 12th. The company reported $0.35 earnings per share (EPS) for the quarter, meeting the consensus estimate of $0.35. The company had revenue of $977.04 million during the quarter. Pandora A/S Company Profile Pandora A/S engages in the design, manufacture, and marketing of hand-finished and contemporary jewelry. The company operates in two segments, Core and Fuel With More. It offers charms, bracelets, rings, earrings, necklaces, and pendants. The company sells its products through physical stores, online stores, and wholesale and third-party distribution in Denmark, the United States, China, the United Kingdom, Italy, Australia, France, Germany, Spain, Mexico, and internationally. Featured Articles Receive News & Ratings for Pandora A/S Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pandora A/S and related companies with MarketBeat.com's FREE daily email newsletter. PFG Advisors grew its holdings in iShares MSCI India ETF (BATS:INDA Free Report) by 80.1% during the 2nd quarter, HoldingsChannel.com reports. The firm owned 13,901 shares of the companys stock after buying an additional 6,181 shares during the quarter. PFG Advisors holdings in iShares MSCI India ETF were worth $775,000 as of its most recent filing with the Securities & Exchange Commission. Several other hedge funds and other institutional investors also recently modified their holdings of the business. Angeles Wealth Management LLC bought a new stake in iShares MSCI India ETF during the 2nd quarter worth approximately $25,000. Founders Capital Management bought a new stake in iShares MSCI India ETF during the 2nd quarter worth approximately $28,000. Principal Securities Inc. bought a new stake in iShares MSCI India ETF during the 4th quarter worth approximately $29,000. Bogart Wealth LLC bought a new stake in iShares MSCI India ETF during the 1st quarter worth approximately $33,000. Finally, Park Place Capital Corp bought a new stake in iShares MSCI India ETF during the 2nd quarter worth approximately $36,000. Get iShares MSCI India ETF alerts: iShares MSCI India ETF Price Performance Shares of BATS:INDA opened at $57.85 on Friday. The companys fifty day moving average price is $56.65 and its 200-day moving average price is $54.10. The firm has a market capitalization of $10.69 billion, a price-to-earnings ratio of 28.95 and a beta of 0.51. iShares MSCI India ETF has a one year low of $30.57 and a one year high of $38.21. About iShares MSCI India ETF The iShares MSCI India ETF (INDA) is an exchange-traded fund that is based on the MSCI India index, a market-cap-weighted index of the top 85% of firms in the Indian securities market. INDA was launched on Feb 2, 2012 and is managed by BlackRock. Recommended Stories Want to see what other hedge funds are holding INDA? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares MSCI India ETF (BATS:INDA Free Report). Receive News & Ratings for iShares MSCI India ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI India ETF and related companies with MarketBeat.com's FREE daily email newsletter. Pioneer Energy Services Corp. (OTCMKTS:PESXQ Get Free Report) shares passed above its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of $0.03 and traded as high as $0.03. Pioneer Energy Services shares last traded at $0.03, with a volume of 464,700 shares trading hands. Pioneer Energy Services Stock Up 5.6 % The firms 50 day simple moving average is $0.03 and its 200-day simple moving average is $0.03. Pioneer Energy Services Company Profile (Get Free Report) Pioneer Energy Services Corp. provides land-based drilling and production services to oil and gas exploration and production companies. It provides contract land drilling services in the Marcellus/Utica, Permian Basin and Eagle Ford, and Bakken regions, as well as in Colombia. The company operates 16 AC rigs in the United States and 8 SCR rigs in Colombia. Featured Stories Receive News & Ratings for Pioneer Energy Services Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pioneer Energy Services and related companies with MarketBeat.com's FREE daily email newsletter. Presbia (OTCMKTS:LENSF Get Free Report) and Penumbra (NYSE:PEN Get Free Report) are both medical companies, but which is the better investment? We will contrast the two companies based on the strength of their institutional ownership, risk, profitability, earnings, dividends, analyst recommendations and valuation. Risk & Volatility Presbia has a beta of 5.91, suggesting that its stock price is 491% more volatile than the S&P 500. Comparatively, Penumbra has a beta of 0.54, suggesting that its stock price is 46% less volatile than the S&P 500. Get Presbia alerts: Profitability This table compares Presbia and Penumbras net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Presbia N/A N/A N/A Penumbra 1.26% 8.39% 6.36% Institutional & Insider Ownership Analyst Ratings 88.9% of Penumbra shares are held by institutional investors. 74.2% of Presbia shares are held by insiders. Comparatively, 5.0% of Penumbra shares are held by insiders. Strong institutional ownership is an indication that endowments, large money managers and hedge funds believe a company is poised for long-term growth. This is a breakdown of current ratings for Presbia and Penumbra, as reported by MarketBeat. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Presbia 0 0 0 0 N/A Penumbra 0 5 7 1 2.69 Penumbra has a consensus price target of $205.27, suggesting a potential upside of 9.81%. Given Penumbras higher possible upside, analysts clearly believe Penumbra is more favorable than Presbia. Earnings & Valuation This table compares Presbia and Penumbras gross revenue, earnings per share and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Presbia N/A N/A N/A N/A N/A Penumbra $1.06 billion 6.86 $90.95 million $2.37 78.88 Penumbra has higher revenue and earnings than Presbia. Summary Penumbra beats Presbia on 8 of the 10 factors compared between the two stocks. About Presbia (Get Free Report) Presbia PLC, an ophthalmic device company, develops and markets optical lens implants for treating presbyopia. The company provides the refractive lens for patient surgeries, as well as accessories for procedures. It primarily operates in the United States, South Korea, Australia, Italy, the Netherlands, Ireland, Canada, and Germany. The company was founded in 2014 and is headquartered in Dublin, Ireland. About Penumbra (Get Free Report) Penumbra, Inc., together with its subsidiaries, designs, develops, manufactures, and markets medical devices in the United States and internationally. The company offers peripheral products, including the Indigo System for power aspiration of thrombus in the body; Lightning Flash, a mechanical thrombectomy system; Lightning Bolt 7, an arterial thrombectomy system; and CAT RX. It also provides access products, including guide catheters and the Penumbra distal delivery catheters under the Neuron, Neuron MAX Select, BENCHMARK, BMX96, BMX81, DDC, SENDit, and PX SLIM brands; Penumbra System, an integrated mechanical thrombectomy system comprising reperfusion catheters and separators, the 3D Revascularization Device, aspiration tubing, and aspiration pump under the Penumbra RED, JET, ACE, Max, 3D Revascularization Device, and Penumbra ENGINE brands; and neuro embolization coiling systems that includes the Penumbra Coil 400, a detachable coil that provides an alternative for the treatment of aneurysms and other complex lesions, as well as Penumbra SMART COIL, a detachable coil to treat patients with a wide range of neurovascular lesions; and POD400 and PAC400 brands. In addition, the company provides peripheral embolization products, such as Ruby Coil System consisting of detachable coils for peripheral applications; Penumbra LANTERN Delivery Microcatheter, a low-profile microcatheter with a high-flow lumen; POD (Penumbra Occlusion Device) System, a single device solution; and Packing Coil, a complementary device for use in other peripheral embolization products. Further, it offers an immersive 3D computer-based technology platform under the real immersive system brand; and neurosurgical tools, such as Artemis Neuro Evacuation Device for surgical removal of fluid and tissue from the ventricles and cerebrum. The company sells its products through direct sales organizations and distributors. Penumbra, Inc. was incorporated in 2004 and is headquartered in Alameda, California. Receive News & Ratings for Presbia Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Presbia and related companies with MarketBeat.com's FREE daily email newsletter. ASM International NV (OTCMKTS:ASMIY Get Free Report) saw a significant decline in short interest in the month of August. As of August 31st, there was short interest totalling 200 shares, a decline of 60.0% from the August 15th total of 500 shares. Based on an average daily trading volume, of 5,100 shares, the days-to-cover ratio is currently 0.0 days. Analysts Set New Price Targets Separately, Morgan Stanley upgraded shares of ASM International from an equal weight rating to an overweight rating in a report on Thursday, June 20th. Get ASM International alerts: Check Out Our Latest Stock Report on ASM International ASM International Trading Up 1.4 % ASMIY traded up $8.66 during trading on Friday, reaching $629.20. The stock had a trading volume of 13,081 shares, compared to its average volume of 5,235. The company has a market capitalization of $31.04 billion, a price-to-earnings ratio of 52.70 and a beta of 1.64. The stocks 50 day moving average is $673.16 and its two-hundred day moving average is $670.70. ASM International has a one year low of $375.35 and a one year high of $813.23. ASM International (OTCMKTS:ASMIY Get Free Report) last issued its quarterly earnings results on Tuesday, July 23rd. The company reported $3.58 earnings per share (EPS) for the quarter, missing the consensus estimate of $4.17 by ($0.59). ASM International had a return on equity of 17.74% and a net margin of 21.26%. The business had revenue of $760.07 million during the quarter. On average, equities research analysts predict that ASM International will post 16.41 earnings per share for the current year. ASM International Company Profile (Get Free Report) ASM International NV, together with its subsidiaries, engages in the research, development, manufacture, marketing, and servicing of equipment and materials used to produce semiconductor devices in Europe, the United States, and Asia. The company's products include wafer processing deposition systems for atomic layer deposition (ALD), epitaxy, silicon carbide, plasma enhanced chemical vapor deposition (PECVD), and vertical furnace systems, including low pressure chemical vapor deposition (LPCVD), diffusion, and oxidation products, as well as provides spare parts and support services. Featured Articles Receive News & Ratings for ASM International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ASM International and related companies with MarketBeat.com's FREE daily email newsletter. Sanlam Limited (OTCMKTS:SLLDY Get Free Report) was the recipient of a large decline in short interest during the month of August. As of August 31st, there was short interest totalling 5,100 shares, a decline of 42.7% from the August 15th total of 8,900 shares. Based on an average trading volume of 24,800 shares, the days-to-cover ratio is presently 0.2 days. Sanlam Stock Down 0.9 % SLLDY traded down C$0.09 during midday trading on Friday, hitting C$9.81. 5,792 shares of the company were exchanged, compared to its average volume of 14,983. The firms 50 day moving average price is C$9.23 and its 200-day moving average price is C$8.28. Sanlam has a 1-year low of C$6.60 and a 1-year high of C$10.17. Get Sanlam alerts: Sanlam Company Profile (Get Free Report) See Also Sanlam Limited provides various financial solutions to individual, business, and institutional clients in South Africa, rest of Africa, and internationally. The company operates through Sanlam Life and Savings, Sanlam Emerging Markets, Sanlam Investment Group, and Santam segments. It offers life, disability, severe illness, income protection, cancer, funeral, credit life, medical aid, gap cover, vehicle, house contents, buildings, all risk, buy and sell, business debt, key person, and group risk benefits insurance, as well as commercial insurance products consisting of office contents, theft, glass, personal accident, goods in transit, machinery breakdown, fire, business interruption, money, deterioration of stock, accidental damage, public liability, and electronic equipment. Receive News & Ratings for Sanlam Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sanlam and related companies with MarketBeat.com's FREE daily email newsletter. Swire Pacific Limited (OTCMKTS:SWRAY Get Free Report) saw a significant decline in short interest in the month of August. As of August 31st, there was short interest totalling 17,100 shares, a decline of 80.0% from the August 15th total of 85,300 shares. Based on an average trading volume of 42,100 shares, the short-interest ratio is presently 0.4 days. Swire Pacific Trading Up 2.6 % OTCMKTS:SWRAY traded up $0.20 during midday trading on Friday, reaching $7.92. 85,217 shares of the company traded hands, compared to its average volume of 25,511. Swire Pacific has a twelve month low of $6.20 and a twelve month high of $9.46. The company has a fifty day moving average price of $8.61 and a 200 day moving average price of $8.52. Get Swire Pacific alerts: Swire Pacific Cuts Dividend The business also recently disclosed a dividend, which will be paid on Monday, October 21st. Stockholders of record on Thursday, September 12th will be paid a dividend of $0.1412 per share. The ex-dividend date is Thursday, September 12th. Swire Pacific Company Profile Swire Pacific Limited engages in property, aviation, beverages, marine, and trading and industrial businesses in Hong Kong, Mainland China, rest of Asia, the United States, and internationally. The company's Property division develops, owns, and operates mixed-use properties. This division's property investment portfolio comprises office and retail premises, serviced apartments, and other luxury residential accommodations; and trading portfolio consists of residential properties. Read More Receive News & Ratings for Swire Pacific Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Swire Pacific and related companies with MarketBeat.com's FREE daily email newsletter. Phillips 66 (NYSE:PSX Free Report) had its price objective cut by TD Cowen from $162.00 to $150.00 in a research report report published on Wednesday, MarketBeat reports. They currently have a buy rating on the oil and gas companys stock. Other equities analysts have also issued research reports about the stock. Raymond James upped their price objective on shares of Phillips 66 from $150.00 to $155.00 and gave the company an outperform rating in a report on Wednesday, July 31st. Wolfe Research initiated coverage on shares of Phillips 66 in a report on Thursday, July 18th. They set a peer perform rating on the stock. Wells Fargo & Company boosted their target price on shares of Phillips 66 from $176.00 to $182.00 and gave the stock an overweight rating in a research report on Tuesday, September 3rd. Scotiabank decreased their target price on shares of Phillips 66 from $156.00 to $145.00 and set a sector outperform rating for the company in a research report on Friday, July 12th. Finally, Argus reissued a buy rating and set a $167.00 target price on shares of Phillips 66 in a research report on Thursday, May 30th. Five equities research analysts have rated the stock with a hold rating and ten have given a buy rating to the stock. According to MarketBeat, Phillips 66 currently has a consensus rating of Moderate Buy and an average target price of $156.92. Get Phillips 66 alerts: View Our Latest Stock Report on Phillips 66 Phillips 66 Price Performance PSX stock opened at $126.70 on Wednesday. The companys fifty day simple moving average is $135.79 and its 200 day simple moving average is $144.17. Phillips 66 has a 12-month low of $107.85 and a 12-month high of $174.08. The firm has a market cap of $53.03 billion, a price-to-earnings ratio of 9.75, a PEG ratio of 4.50 and a beta of 1.33. The company has a quick ratio of 0.79, a current ratio of 1.14 and a debt-to-equity ratio of 0.56. Phillips 66 (NYSE:PSX Get Free Report) last announced its quarterly earnings data on Tuesday, July 30th. The oil and gas company reported $2.31 earnings per share for the quarter, beating the consensus estimate of $1.98 by $0.33. Phillips 66 had a net margin of 3.32% and a return on equity of 16.77%. The firm had revenue of $38.91 billion for the quarter, compared to analyst estimates of $37.79 billion. During the same quarter in the prior year, the business earned $3.87 earnings per share. The businesss quarterly revenue was up 8.9% compared to the same quarter last year. On average, analysts expect that Phillips 66 will post 9.25 earnings per share for the current year. Phillips 66 Announces Dividend The company also recently disclosed a quarterly dividend, which was paid on Tuesday, September 3rd. Shareholders of record on Tuesday, August 20th were given a $1.15 dividend. This represents a $4.60 dividend on an annualized basis and a yield of 3.63%. The ex-dividend date of this dividend was Tuesday, August 20th. Phillips 66s payout ratio is 35.38%. Insiders Place Their Bets In other Phillips 66 news, CFO Kevin J. Mitchell sold 30,000 shares of the companys stock in a transaction dated Thursday, August 15th. The shares were sold at an average price of $139.01, for a total value of $4,170,300.00. Following the completion of the sale, the chief financial officer now directly owns 81,937 shares of the companys stock, valued at approximately $11,390,062.37. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at the SEC website. 0.22% of the stock is currently owned by insiders. Institutional Inflows and Outflows Institutional investors and hedge funds have recently modified their holdings of the stock. Crewe Advisors LLC bought a new position in shares of Phillips 66 during the 1st quarter valued at approximately $25,000. Keener Financial Planning LLC bought a new position in shares of Phillips 66 during the 1st quarter valued at approximately $26,000. Strategic Financial Concepts LLC bought a new position in shares of Phillips 66 during the 2nd quarter valued at approximately $26,000. Ables Iannone Moore & Associates Inc. purchased a new stake in shares of Phillips 66 during the 4th quarter valued at approximately $27,000. Finally, Redmont Wealth Advisors LLC purchased a new stake in shares of Phillips 66 during the 1st quarter valued at approximately $28,000. 76.93% of the stock is owned by institutional investors. About Phillips 66 (Get Free Report) Phillips 66 operates as an energy manufacturing and logistics company in the United States, the United Kingdom, Germany, and internationally. It operates through four segments: Midstream, Chemicals, Refining, and Marketing and Specialties (M&S). The Midstream segment transports crude oil and other feedstocks; delivers refined petroleum products to market; provides terminaling and storage services for crude oil and refined petroleum products; transports, stores, fractionates, exports, and markets natural gas liquids; provides other fee-based processing services; and gathers, processes, transports, and markets natural gas. See Also Receive News & Ratings for Phillips 66 Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Phillips 66 and related companies with MarketBeat.com's FREE daily email newsletter. Thoroughbred Financial Services LLC increased its position in shares of Valero Energy Co. (NYSE:VLO Free Report) by 27.0% during the second quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The fund owned 37,131 shares of the oil and gas companys stock after buying an additional 7,895 shares during the period. Thoroughbred Financial Services LLCs holdings in Valero Energy were worth $5,820,000 as of its most recent filing with the Securities and Exchange Commission. Several other institutional investors and hedge funds have also recently added to or reduced their stakes in the company. Norges Bank bought a new stake in shares of Valero Energy in the 4th quarter valued at about $570,428,000. Putnam Investments LLC boosted its stake in shares of Valero Energy by 3.7% during the 4th quarter. Putnam Investments LLC now owns 3,445,821 shares of the oil and gas companys stock worth $447,957,000 after acquiring an additional 122,207 shares during the period. Price T Rowe Associates Inc. MD increased its holdings in shares of Valero Energy by 3.3% during the 1st quarter. Price T Rowe Associates Inc. MD now owns 2,063,923 shares of the oil and gas companys stock worth $352,292,000 after acquiring an additional 65,632 shares during the last quarter. Acadian Asset Management LLC raised its stake in Valero Energy by 37.6% in the 1st quarter. Acadian Asset Management LLC now owns 1,558,750 shares of the oil and gas companys stock valued at $266,040,000 after acquiring an additional 426,093 shares during the period. Finally, Jacobs Levy Equity Management Inc. lifted its holdings in Valero Energy by 3.6% during the 1st quarter. Jacobs Levy Equity Management Inc. now owns 1,548,476 shares of the oil and gas companys stock valued at $264,309,000 after purchasing an additional 53,789 shares during the last quarter. 78.69% of the stock is owned by institutional investors. Get Valero Energy alerts: Analyst Ratings Changes Several equities analysts have recently weighed in on the stock. StockNews.com lowered shares of Valero Energy from a buy rating to a hold rating in a research report on Wednesday, July 17th. Piper Sandler reduced their price objective on Valero Energy from $187.00 to $169.00 and set an overweight rating for the company in a report on Friday, June 14th. Barclays lowered their target price on Valero Energy from $171.00 to $165.00 and set an overweight rating on the stock in a research note on Tuesday, July 9th. Scotiabank boosted their price target on Valero Energy from $165.00 to $173.00 and gave the company a sector outperform rating in a research note on Friday, July 12th. Finally, Mizuho raised Valero Energy from a neutral rating to an outperform rating and lifted their target price for the stock from $165.00 to $175.00 in a report on Wednesday, July 17th. One analyst has rated the stock with a sell rating, four have issued a hold rating, ten have issued a buy rating and one has given a strong buy rating to the stock. Based on data from MarketBeat, the company presently has an average rating of Moderate Buy and an average price target of $174.50. Valero Energy Trading Up 0.1 % VLO opened at $134.26 on Friday. The stock has a market capitalization of $43.01 billion, a price-to-earnings ratio of 6.65, a P/E/G ratio of 2.73 and a beta of 1.40. The company has a quick ratio of 1.04, a current ratio of 1.48 and a debt-to-equity ratio of 0.34. Valero Energy Co. has a twelve month low of $119.88 and a twelve month high of $184.79. The company has a 50-day simple moving average of $146.50 and a 200 day simple moving average of $155.28. Valero Energy (NYSE:VLO Get Free Report) last posted its earnings results on Thursday, July 25th. The oil and gas company reported $2.71 EPS for the quarter, topping analysts consensus estimates of $2.60 by $0.11. The firm had revenue of $34.49 billion during the quarter, compared to analysts expectations of $32.97 billion. Valero Energy had a net margin of 4.25% and a return on equity of 21.01%. The businesss revenue for the quarter was down .1% compared to the same quarter last year. During the same quarter in the prior year, the business earned $5.40 earnings per share. Analysts predict that Valero Energy Co. will post 12.3 EPS for the current fiscal year. Valero Energy Dividend Announcement The business also recently announced a quarterly dividend, which was paid on Tuesday, September 3rd. Stockholders of record on Thursday, August 1st were issued a $1.07 dividend. The ex-dividend date was Thursday, August 1st. This represents a $4.28 dividend on an annualized basis and a dividend yield of 3.19%. Valero Energys dividend payout ratio (DPR) is currently 21.20%. About Valero Energy (Free Report) Valero Energy Corporation manufactures, markets, and sells petroleum-based and low-carbon liquid transportation fuels and petrochemical products in the United States, Canada, the United Kingdom, Ireland, Latin America, Mexico, Peru, and internationally. It operates through three segments: Refining, Renewable Diesel, and Ethanol. See Also Want to see what other hedge funds are holding VLO? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Valero Energy Co. (NYSE:VLO Free Report). Receive News & Ratings for Valero Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Valero Energy and related companies with MarketBeat.com's FREE daily email newsletter. Valley Wealth Managers Inc. bought a new position in Trane Technologies plc (NYSE:TT Free Report) during the second quarter, according to its most recent filing with the SEC. The firm bought 155 shares of the companys stock, valued at approximately $51,000. Other hedge funds have also bought and sold shares of the company. Pinkerton Retirement Specialists LLC increased its holdings in Trane Technologies by 5.0% during the 2nd quarter. Pinkerton Retirement Specialists LLC now owns 1,137 shares of the companys stock worth $374,000 after purchasing an additional 54 shares in the last quarter. TradeLink Capital LLC bought a new stake in Trane Technologies during the second quarter worth $625,000. Meeder Advisory Services Inc. grew its holdings in Trane Technologies by 28.0% during the second quarter. Meeder Advisory Services Inc. now owns 7,845 shares of the companys stock worth $2,580,000 after buying an additional 1,714 shares in the last quarter. Oppenheimer & Co. Inc. raised its position in Trane Technologies by 3.2% in the 2nd quarter. Oppenheimer & Co. Inc. now owns 9,079 shares of the companys stock valued at $2,986,000 after buying an additional 282 shares during the last quarter. Finally, Bell Investment Advisors Inc lifted its stake in Trane Technologies by 26.5% during the 2nd quarter. Bell Investment Advisors Inc now owns 167 shares of the companys stock valued at $55,000 after acquiring an additional 35 shares in the last quarter. Institutional investors and hedge funds own 82.97% of the companys stock. Get Trane Technologies alerts: Analysts Set New Price Targets Several analysts have recently commented on the stock. Robert W. Baird increased their target price on shares of Trane Technologies from $360.00 to $365.00 and gave the company a neutral rating in a report on Thursday, August 1st. UBS Group increased their price objective on shares of Trane Technologies from $330.00 to $375.00 and gave the company a buy rating in a research note on Monday, June 3rd. Morgan Stanley began coverage on Trane Technologies in a research note on Friday, September 6th. They issued an overweight rating and a $425.00 target price on the stock. Wells Fargo & Company upped their target price on Trane Technologies from $315.00 to $320.00 and gave the company an underweight rating in a report on Friday, September 6th. Finally, Barclays lifted their price target on Trane Technologies from $385.00 to $394.00 and gave the stock an overweight rating in a report on Thursday, August 1st. One research analyst has rated the stock with a sell rating, seven have issued a hold rating and six have issued a buy rating to the stock. Based on data from MarketBeat.com, the company presently has an average rating of Hold and an average price target of $337.29. Insiders Place Their Bets In related news, CEO David S. Regnery sold 29,450 shares of the businesss stock in a transaction that occurred on Tuesday, August 6th. The shares were sold at an average price of $321.25, for a total transaction of $9,460,812.50. Following the completion of the transaction, the chief executive officer now owns 132,946 shares of the companys stock, valued at $42,708,902.50. The sale was disclosed in a filing with the SEC, which is available through this hyperlink. In other news, EVP Raymond D. Pittard sold 1,805 shares of Trane Technologies stock in a transaction dated Wednesday, August 7th. The stock was sold at an average price of $328.65, for a total transaction of $593,213.25. Following the completion of the sale, the executive vice president now owns 83,517 shares in the company, valued at approximately $27,447,862.05. The sale was disclosed in a filing with the SEC, which is accessible through this hyperlink. Also, CEO David S. Regnery sold 29,450 shares of the firms stock in a transaction that occurred on Tuesday, August 6th. The shares were sold at an average price of $321.25, for a total transaction of $9,460,812.50. Following the completion of the sale, the chief executive officer now directly owns 132,946 shares in the company, valued at $42,708,902.50. The disclosure for this sale can be found here. 0.38% of the stock is owned by corporate insiders. Trane Technologies Price Performance NYSE TT opened at $364.22 on Friday. The company has a market cap of $82.44 billion, a PE ratio of 38.91, a price-to-earnings-growth ratio of 2.45 and a beta of 1.01. The company has a quick ratio of 0.84, a current ratio of 1.17 and a debt-to-equity ratio of 0.62. The firms 50-day simple moving average is $341.06 and its two-hundred day simple moving average is $322.27. Trane Technologies plc has a 52 week low of $184.02 and a 52 week high of $366.21. Trane Technologies (NYSE:TT Get Free Report) last released its quarterly earnings data on Wednesday, July 31st. The company reported $3.30 earnings per share for the quarter, beating analysts consensus estimates of $3.08 by $0.22. The business had revenue of $5.31 billion during the quarter, compared to analyst estimates of $5.13 billion. Trane Technologies had a net margin of 12.33% and a return on equity of 33.97%. Trane Technologiess revenue was up 12.8% compared to the same quarter last year. During the same period in the previous year, the business earned $2.68 EPS. Research analysts predict that Trane Technologies plc will post 10.87 earnings per share for the current year. Trane Technologies Profile (Free Report) Trane Technologies plc, together with its subsidiaries, designs, manufactures, sells, and services of solutions for heating, ventilation, air conditioning, custom, and custom and transport refrigeration in Ireland and internationally. It offers air conditioners, exchangers, and handlers; airside and terminal devices; air sourced heat pumps, auxiliary power units; chillers; coils and condensers; gensets; dehumidifiers; ductless; furnaces; home automation products; humidifiers; indoor air quality assessments and related products; large and light commercial unitary products; refrigerant reclamation products; thermostats/controls; transport heater products; variable refrigerant flow products; and water source heat pumps. Recommended Stories Receive News & Ratings for Trane Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Trane Technologies and related companies with MarketBeat.com's FREE daily email newsletter. Veolia Environnement SA (EPA:VIE Get Free Report) shares passed above its two hundred day moving average during trading on Wednesday . The stock has a two hundred day moving average of 29.23 ($32.12) and traded as high as 30.01 ($32.98). Veolia Environnement shares last traded at 29.51 ($32.43), with a volume of 1,377,850 shares. Veolia Environnement Trading Up 0.8 % The company has a fifty day moving average price of 28.85 and a two-hundred day moving average price of 29.23. Veolia Environnement Company Profile (Get Free Report) Veolia Environnement SA designs and provides water, waste, and energy management solutions worldwide. It operates through France and Special Waste Europe; Europe excluding France; Rest of the World; Water Technologies; and Other segments. The company is involved in resource management; customer relationship management; drinking water treatment and distribution; wastewater treatment; design and construction of treatment and network infrastructure; and sale of water treatment equipment, technologies, and facilities. Featured Articles Receive News & Ratings for Veolia Environnement Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Veolia Environnement and related companies with MarketBeat.com's FREE daily email newsletter. Virgin Money UK PLC (OTCMKTS:CBBYF Get Free Report) was the recipient of a large increase in short interest in the month of August. As of August 31st, there was short interest totalling 716,200 shares, an increase of 80.0% from the August 15th total of 397,900 shares. Based on an average daily volume of 100 shares, the short-interest ratio is presently 7,162.0 days. Virgin Money UK Stock Performance CBBYF remained flat at $2.20 on Friday. Virgin Money UK has a 12-month low of $1.96 and a 12-month high of $2.20. The companys 50 day moving average is $2.20 and its 200 day moving average is $2.15. Get Virgin Money UK alerts: About Virgin Money UK (Get Free Report) Read More Virgin Money UK PLC provides banking products and services for consumers, and small and medium sized businesses under the Clydesdale Bank, Yorkshire Bank, and Virgin Money brands in the United Kingdom. The company offers savings and current accounts, mortgages, credit cards, and home loans; business loans, overdraft facilities, treasury solutions, and corporate and structured finance; risk management; asset and invoice finance services; international trade services; and home, car, and life and critical illness insurance products. Receive News & Ratings for Virgin Money UK Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Virgin Money UK and related companies with MarketBeat.com's FREE daily email newsletter. Chinese vice president attends reception for 50th anniversary of China-Brazil diplomatic ties Xinhua) 13:05, September 14, 2024 BEIJING, Sept. 14 (Xinhua) -- Chinese Vice President Han Zheng attended a reception celebrating the 50th anniversary of the establishment of diplomatic ties between China and Brazil in Beijing on Friday. During the reception, he met with Brazilian Ambassador to China Marcos Galvao and other Brazilian representatives. Noting this year is an important year of linking the past and the future in the history of China-Brazil relations, Han said that since the establishment of diplomatic ties half a century ago, China-Brazil relations have grown steadily with fruitful practical cooperation in various fields. Han said that both China and Brazil are major developing countries and important emerging markets. China is ready to work with Brazil in accordance with the important consensus reached by the two heads of state to enhance the alignment of development strategies, deepen mutually beneficial cooperation and jointly build the next "golden 50 years" of bilateral relations. Galvao and others said Brazil attaches great importance to developing relations with China and is willing to further deepen practical cooperation with China in various fields. Brazil believes the comprehensive strategic partnership between Brazil and China will usher in broader prospects. The reception was co-hosted by the Chinese People's Association for Friendship with Foreign Countries and the Brazilian Embassy in China. About 120 representatives from all walks of life in both China and Brazil attended the reception. (Web editor: Zhang Kaiwei, Xian Jiangnan) Drew Agahiu is a 17-year-old who just started his senior year at Frederick Law Olmsted School 156 in Buffalo. He stays active, likes browsing YouTube for entertaining videos and has a job at Valu Home Centers. At the retailers Kenmore Avenue location, Drew enjoys helping customers, organizing merchandise and cutting keys. But sometimes, Drew gets hit with debilitating pain that necessitates a trip to Oishei Childrens Hospital. He has sickle cell disease, the group of inherited disorders that affect hemoglobin, which is a protein in the red blood cells that delivers oxygen to tissues in the body. For those with sickle cell disease, red blood cells are distorted often in a crescent or sickle shape because of a gene mutation and cannot move easily through the blood vessels, which can slow or block blood flow and cause sudden pain. I try not to let it hold me back but, to be honest, sometimes it is holding me back, Drew said. Sometimes I push myself too much. I try to live a normal life. At just 11, in fact, he was an honorary team captain for the Buffalo Bills in August 2018 when the team, working with the Stones Buddies program at Childrens Hospital, signed Drew, a cornerback, to a one-day contract that put him on the field with the players. Today, he and his family are dedicated to raising awareness about sickle cell disease, which affects more than 100,000 people in the United States. Nine of 10 people with sickle cell disease are of African ancestry or identify as Black, according to the National Heart, Lung and Blood Institute. *** Two weeks after Drew was born, his parents got a call from the hospital: Drew had sickle cell disease. While New York was the first state to implement newborn screening for sickle cell in 1975, only since 2006 have all states required newborn screening for the disease. After Drew was diagnosed, his parents found out their own diagnoses: Drews mother, Melaney Agahiu, has thalassemia disease, while his father has sickle cell trait. So Drew has sickle beta thalassemia. Drew is a longtime patient of the Roswell Park Oishei Childrens Cancer and Blood Disorders Program. He and his mother can both recall several instances over the years that required a trip to the hospital. One of the most significant, Melaney said, was when Drew was about 4 years old and had a chest crisis with sickle red blood cells in his lungs, which can be deadly. Drew was in the hospitals intensive care unit and, during that occasion, he was overmedicated and hospital staff had to administer treatment to reverse it, she added. Drew recalls another instance at a neighbors pool party when he was around 7 years old. He remembers having fun in the water but then, perhaps because the pool was too cold, intense back pain started. In addition to exposure to cold or extreme temperature changes, dehydration, stress or a cold can lead to a crisis for those with sickle cell disease. That means that while Drew lives a normal life, he has to be more careful than others in some situations. Weve had quite a few stints in the hospital, probably too many to count, Melaney said. Some are just an emergency room visit, where he just needs a tune-up, and others can be a 30-day stay. *** Drew has had a good few months without a hospital visit. Hes started some new treatments at Roswell Park Comprehensive Care Center, where he goes once a month to get apheresis transfusions. They take out the bad blood and exchange it for good donated blood, Melaney explained. Drew is supported by his parents, his two sisters and cousins, including one cousin in her 40s with the exact same sickle cell makeup as Drew. The family is part of Sickle Cell Warriors of Buffalo, and they also participated in the sixth annual Sickle Cell Walk at Delaware Park on Sept. 7. (September is National Sickle Cell Awareness Month.) For Drew, hes looking forward to his senior year and what comes next. Hes hoping to get into nursing, inspired by his own experiences within the hospital. I know how to comfort patients since Ive gone through what theyre going through, Drew said. If I can do something that I like and it pays good, Ill do that. Xero Limited (OTCMKTS:XROLF Get Free Report) traded up 0.6% during mid-day trading on Wednesday . The stock traded as high as $92.27 and last traded at $92.27. 207 shares traded hands during mid-day trading, a decline of 54% from the average session volume of 451 shares. The stock had previously closed at $91.75. Xero Price Performance The companys 50 day simple moving average is $92.98 and its 200-day simple moving average is $87.21. Xero Company Profile (Get Free Report) Xero Limited, together with its subsidiaries, operates as a software as a service company in New Zealand, Australia, the United Kingdom, and internationally. The company offers Xero, an open platform that connects small businesses to a range of solutions, which helps to manage their finances. It also provides Xero mobile app; and accountant/bookkeeper tools, including Xero HQ, Xero Practice Manager, Xero Workpapers, and Xero Cashbook or Xero Ledger. Read More Receive News & Ratings for Xero Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Xero and related companies with MarketBeat.com's FREE daily email newsletter. JPMorgan Chase & Co. restated their underweight rating on shares of ZIM Integrated Shipping Services (NYSE:ZIM Free Report) in a report published on Tuesday morning, Marketbeat reports. The firm currently has a $10.50 target price on the stock. Several other brokerages have also commented on ZIM. Barclays raised their price target on ZIM Integrated Shipping Services from $12.50 to $15.00 and gave the company an underweight rating in a research note on Friday, August 23rd. Citigroup downgraded shares of ZIM Integrated Shipping Services from a neutral rating to a sell rating and raised their target price for the company from $11.60 to $13.00 in a research report on Thursday, June 6th. Jefferies Financial Group reaffirmed a buy rating and issued a $22.00 price target on shares of ZIM Integrated Shipping Services in a research report on Monday, August 19th. Finally, Bank of America lifted their price objective on shares of ZIM Integrated Shipping Services from $12.20 to $13.70 and gave the company an underperform rating in a report on Wednesday, August 28th. Four analysts have rated the stock with a sell rating and one has given a buy rating to the company. According to MarketBeat.com, ZIM Integrated Shipping Services currently has a consensus rating of Reduce and an average target price of $14.84. Get ZIM Integrated Shipping Services alerts: Get Our Latest Report on ZIM ZIM Integrated Shipping Services Trading Down 1.8 % Shares of ZIM opened at $18.30 on Tuesday. The firm has a market capitalization of $2.20 billion, a price-to-earnings ratio of -0.86, a PEG ratio of 0.03 and a beta of 1.83. The company has a debt-to-equity ratio of 1.41, a current ratio of 1.04 and a quick ratio of 0.97. ZIM Integrated Shipping Services has a 1-year low of $6.39 and a 1-year high of $23.82. The company has a 50-day moving average of $18.63 and a 200 day moving average of $16.37. ZIM Integrated Shipping Services (NYSE:ZIM Get Free Report) last posted its quarterly earnings results on Monday, August 19th. The company reported $3.08 earnings per share for the quarter, beating the consensus estimate of $2.07 by $1.01. The firm had revenue of $1.93 billion during the quarter, compared to the consensus estimate of $1.71 billion. ZIM Integrated Shipping Services had a negative net margin of 32.81% and a positive return on equity of 2.89%. During the same quarter in the previous year, the firm posted ($1.37) earnings per share. On average, research analysts expect that ZIM Integrated Shipping Services will post 11.79 EPS for the current year. ZIM Integrated Shipping Services Increases Dividend The company also recently announced a quarterly dividend, which was paid on Thursday, September 5th. Investors of record on Thursday, August 29th were given a dividend of $0.93 per share. This is a boost from ZIM Integrated Shipping Servicess previous quarterly dividend of $0.23. The ex-dividend date was Thursday, August 29th. This represents a $3.72 dividend on an annualized basis and a yield of 20.33%. ZIM Integrated Shipping Servicess dividend payout ratio is presently -4.35%. Institutional Trading of ZIM Integrated Shipping Services A number of institutional investors have recently made changes to their positions in the business. Northwestern Mutual Wealth Management Co. raised its stake in ZIM Integrated Shipping Services by 2,341.8% in the second quarter. Northwestern Mutual Wealth Management Co. now owns 1,929 shares of the companys stock valued at $43,000 after buying an additional 1,850 shares during the last quarter. Mather Group LLC. purchased a new position in shares of ZIM Integrated Shipping Services in the 2nd quarter valued at approximately $96,000. Scarborough Advisors LLC acquired a new stake in ZIM Integrated Shipping Services in the 4th quarter worth approximately $58,000. Russell Investments Group Ltd. grew its position in ZIM Integrated Shipping Services by 1,431.1% during the 1st quarter. Russell Investments Group Ltd. now owns 6,063 shares of the companys stock worth $61,000 after purchasing an additional 5,667 shares during the last quarter. Finally, nVerses Capital LLC acquired a new position in ZIM Integrated Shipping Services during the 2nd quarter valued at approximately $142,000. Institutional investors and hedge funds own 21.42% of the companys stock. About ZIM Integrated Shipping Services (Get Free Report) ZIM Integrated Shipping Services Ltd., together with its subsidiaries, provides container shipping and related services in Israel and internationally. It provides door-to-door and port-to-port transportation services for various types of customers, including end-users, consolidators, and freight forwarders. See Also Receive News & Ratings for ZIM Integrated Shipping Services Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ZIM Integrated Shipping Services and related companies with MarketBeat.com's FREE daily email newsletter. Samir Jain is a Jaipur-based astrologer who has over 28 years of experience in the field of Astrology, Numerology, Palmistry and Vastu Shastra. He is also an expert in Jain Temple Vastu and Jain Jyotish. For more details, visit planetsastro.com, call/WhatsApp +91 8696930617 or email jainksamir@gmail.com. Follow us on: Who was Mokshagundam Visvesvaraya? Why is Engineers Day celebrated on September 15? Follow us on: Alan Walker teams up with Pritam for upcoming track Children Of The Sun Follow us on: Netflix denies copying filmmaker Soham Shah's Luck for Squid Game: 'This claim has no merit' Follow us on: Shabana Azmi to be honoured at IFFSA Toronto 2024 as she completes 50 years in cinema: OTHERS OTHERS Follow us on: My Account or or Hello, Login All Sohum Shah announces Tumbbad 2 after re-release of original in theatres: "Pralay Aayega" OTHERS OTHERS Follow us on: My Account or or Hello, Login All Annapoorna issues clarification after private meeting with FM goes viral post-GST discussion OTHERS OTHERS Follow us on: My Account or or Hello, Login All Adani Green Energy names Saurabh Shah new CFO as Phuntsok Wangyal steps down At least four City Hall insiders are contemplating running for Buffalo mayor in 2025, now that it looks like Byron W. Browns 19 years on the job will soon end. Common Council Members Zeneta B. Everhart, Leah Halton-Pope and Rasheed Wyatt are all considering running for mayor next year. Brown is expected to resign to become CEO of the Western Regional Off-Track Betting, which would make Council President Christopher Scanlon acting mayor. But none of them, including Scanlon, has declared themselves 2025 mayoral candidates yet. Thats the question of the day, said Everhart, of the Masten District. Im not going to say yes, and Im not going to say no. She has been talking with community members, block clubs and others about it. Ive been having these conversations for a while now, but you know, and its not a yes or no question. Its about planning and making sure that Im the person for right now, she said. Its about planning for the future of the City of Buffalo. Everhart and Majority Leader Halton-Pope, who represents the Ellicott District, shook up the Council roster last year as the first women to win election to the body in 10 years. Both were first-time political candidates. At the moment, Im very proud to be representing the Ellicott District and serving as majority leader of the Common Council, but it is something that I am seriously looking at and just have not made a decision one way or the other, Halton-Pope said. A lot of people have asked her to run, but it has to be the right decision for the Ellicott District, she said. University District Member Wyatt has been on the Council since 2014 and says hes exploring and definitely interested in the mayors seat. Hes assembled an advisory group and and is seeking feedback from residents from various districts. The people who are my advisory, theyre saying run, as well as the people from the community, Wyatt said. I get people all the time saying, You should run for mayor. You are a good candidate. And I appreciate that. But this is more than a notion. Im not going to just jump into this blindly, he said. I have an opportunity, and thats what Im looking at. Buffalo Mayor Byron Brown selected as next head of Western Regional Off-Track Betting The WROTB board, which went to elaborate lengths to keep secret Browns candidacy for president and chief executive officer, selected the Democratic mayor over two other finalists following a three-hour closed-to-the-public executive session at Batavia Downs Gaming & Hotel. Western Regional Off-Track Betting selected Brown last week as the agencys new chief executive officer. If Brown accepts the job and resigns as mayor before his fifth term concludes at the end of 2025, Scanlon, the South District member and Council president, will serve as acting mayor for the balance of Browns term. Brown, the citys longest-serving mayor with five terms and its first African American mayor, said Thursday the WROTB terms and contract still had to be drawn up, and he did not say if he would accept the job. Scanlon was able to avoid having to run in a special election to become acting mayor, as the deadline for holding a special election passed Aug. 5. Some groups have criticized the OTB for not making their decision before the deadline, as Scanlon would now benefit from being the incumbent throughout the campaign for the next four-year term. It just seems as though the mayor is basically giving Council president a leg up in assisting him, and thats kind of unfair, but thats politics, Wyatt said. Wyatt, Everhart, Halton-Pope and former Fire Commissioner Garnell Whitfield Jr. who said hes considering running could challenge Scanlon in a Democratic primary for mayor in June 2025. Bigger than a political party Everhart said shes looking to block club leaders and the community to help her make her decision. Its bigger than a political party. Its bigger than any of that, she said. For me, running for the Masten District did not come from a political party. It didnt come from the Erie County Democratic Committee. It didnt even come from my former boss, Tim Kennedy. It literally came from the block club leaders, she said. Before she won the Masten seat, Everhart was director of diversity and inclusion for then-State Sen. Kennedy, who won a special election last April to replace longtime Congressman Brian Higgins. Shes been one of the most visible faces to emerge from the racist May 14, 2022, mass shooting at Tops Markets on Jefferson Avenue, in which 10 Black people were gunned down. Everharts son, Zaire Goodman, a part-time employee at Tops, was shot in the neck in the parking lot as he tried to help an older customer with her groceries. She said people nationally have been asking her to run for mayor. Im only nine months into the Council, but Ive watched what has happened over the last two decades between the mayors office and the Council, and for me, theres not enough cohesiveness, and that is the problem, Everhart said. And I think over the years, personal feelings have come in too heavy in these conversations, and it has kept this city where it is. Were in a financial crisis Leading the entire city is a big deal, and you want the person who puts their name out there to have taken some time and been very deliberate about it, and so thats what I intend to do, Halton-Pope said Friday. Halton-Pope has powerful political connections. She was a senior policy adviser to Assembly Majority Leader Crystal Peoples-Stokes. She had the support of Brown, former Council President Darius Pridgen and Kennedy during her campaign for Council. Were in a financial crisis right now. I would say thats one of the biggest issues we have. And everything comes back to the resources. Without resources, services get cut. And so thats the biggest issue that we have in the city of Buffalo, she said. The Council has publicly warned the city will be facing a fiscal crisis in 2025 with a budget gap projected to be as high as $55 million. A lot of the complaints that I get as a Council member have to do with not getting clear and consistent communication. So we need to work on that, she said. But theres no bigger issue. It all comes back down to the finances of the city. A frequent critic of Brown Wyatt calls himself an independent voice on the Council. He endorsed India Walton over Brown in the 2021 Democratic primary for Buffalo mayor. He also has a chilly rapport with Scanlon. He didnt vote for Scanlon for Council president last January. He said Pridgen told him, When its time for you to run, and people want you, they will make it evident, Wyatt said. And I can tell you I go to the grocery store, people say, I hope you run for mayor. At my church, I hope you run. I love that because its not just me thinking in my head, Oh, I can do this. People are coming and saying it to me, and that that means a lot, he said. Wyatt also cited the citys finances as the biggest issue facing Buffalo right now. We have to overcome that hurdle, a $50 to $60 million deficit. We have to deal with that, and you need someone with expertise to do so, Wyatt said. And so I think that from just from my experience in private sector, I definitely have the financial experience. He said he would bring more citizens to the table when it comes to the budgeting process. Former Buffalo fire commissioner considers running for mayor This has been coming up for a long time, even when I was still working. People have always suggested that I enter politics, so this is not new, former Buffalo Fire Commissioner Garnell Whitfield said. It should be based on what the people (want). If theyre going to get taxes increased, then theyre going to be at the table as far as my administration, making determination, what (are) our priorities going to be, Wyatt said. Im good with that because people in my district will tell you, if they say they want something, and I may not agree with it. Guess what? They pretty much win because its fair. Whitfield: Lost mom on May 14 Retired Fire Commissioner Whitfield said he is giving it consideration whether to run for mayor. Whitfield was thrust into the spotlight after his mother, Ruth, was among the 10 Black people killed in the May 14, 2022, mass shooting. At 86, Mrs. Whitfield was the oldest victim. Whitfield said he does not have a date for when he will decide about running for mayor, but it will happen when things line up. OTHERS OTHERS Follow us on: My Account or or Hello, Login All Madhabi Puri Buch invested in listed securities and foreign funds, violated SEBI code, alleges Congress and allies You are already a Moneycontrol Pro user. OK OTHERS OTHERS Follow us on: My Account or or Hello, Login All 2 years of Project Cheetah: India awaits Kenya's approval for new batch OTHERS OTHERS Follow us on: My Account or or Hello, Login All Bangladesh unrest may escalate Meitei-Kuki tensions in Manipur; turn Northeast into war zone: Sources Follow us on: Came here as your didi, not CM: Mamata Banerjee meets doctors at protest site Follow us on: Give us a bigger mandate, we will double Ladki Bahin aid amount to Rs 3,000: CM Shinde OTHERS OTHERS Follow us on: My Account or or Hello, Login All Hezbollah fires 55 rockets at northern Israeli city of Safed; no injuries reported Follow us on: 'Life is not khata khat': Jaishankar takes veiled dig at Rahul Gandhi in Geneva OTHERS OTHERS Follow us on: My Account or or Hello, Login All Maharashtra government shifts Eid-e-Milad holiday to September 18 in Mumbai, heres why OTHERS OTHERS Follow us on: My Account or or Hello, Login All No disruption in diesel export to Bangladesh: Oil India Chairman Follow us on: Karnataka BJP MLA Munirathna taken into police custody over harassment, bribery and casteist abuse USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept Best cities to find a job in the US Tech company CEO refuses to step down after announcement of new CEO. Then, a clash over email Follow us on: Stranded in space, Sunita Williams and Butch Wilmore to vote in US presidential election OTHERS OTHERS Follow us on: My Account or or Hello, Login All Nine European countries protest against IMF resuming missions to Russia Invite your friends and family to sign up for MC Tech 3, our daily newsletter that breaks down the biggest tech and startup stories of the day There seems to be some confusion about the recently released federal and state statistics concerning the number of overdoses in New York and whether the numbers are decreasing. What is clear is that the state continues to lose more than 6,000 to overdose deaths every year. Several advocates have been asking Gov. Kathy Hochul to declare a public health emergency to allow urgent and focused action that could dramatically reduce the number of New Yorkers lost to overdose. Specific actions could be taken if such an emergency was declared. First, New York could implement an expedited process to spend the opioid settlement funds, just as was done during Covid and at previous times during the overdose epidemic. The state has already received more than $400 million in opioid settlement funds, and state leaders continue to announce that more than $300 million has been made available, which means that they have not yet reached those who are on the streets and in the treatment clinics trying to save lives. Second, the state could waive insurance co-pays for those looking to access addiction treatment services, making it easier for them to receive life saving help. This action is also similar to one taken during the pandemic. Third, New York could issue a new open, competitive procurement to make all forms of naloxone, the overdose reversal medication, available. This action would allow the state to pay a more competitive price and allow for more of this live-saving medicine to be purchased. Fourth, the state could relax the staffing rules for addiction treatment providers, allowing them to safely serve more of those in need. Most treatment providers are unable to operate at their capacity as they cannot find staff willing to work in their programs, meaning fewer New Yorkers are able to access help for their addiction. Finally, the state could allow all treatment providers to take part in procurements which spend opioid settlement funds. The state is refusing to allow providers who are not currently eligible to receive state funding from bidding for such funds. That choice excludes providers responsible for serving more that 20% of those who receive addiction treatment services in New York. These are some, but not all, of the possible actions that could be taken if a public health emergency was issued. The time for urgent, focused action is now. Rob Kent, JD is president, Kent Strategic Advisors, LLC. News / Local by Staff reporter The government has acknowledged that the working conditions for the uniformed forces, particularly the Zimbabwe National Army (ZNA), have become dire. Deputy Defence Minister Levi Mayihlome made this admission while responding to questions from senators in the Senate."Yes, the conditions of service for the uniformed forces, especially the army, are not up to standard, including the provision of vehicles and personal issue items," Mayihlome said. He also highlighted the shortage of essential equipment in the barracks.Recalling better times for the military, Mayihlome mentioned the provision of kits, known as "housewives," in the 1980s. These kits contained basic necessities such as shaving items and sewing kits, which allowed soldiers to maintain their uniforms and live more comfortably in the barracks. However, he noted that these provisions have disappeared due to a lack of funding."All those things are now a thing of the past because of inadequate funding over the years," he explained.Mayihlome further revealed that the military is struggling to procure vehicles for personnel. Some senior officers, such as colonels, have not been given the vehicles they are entitled to, even after promotions."Military vehicles also break down due to wear and tear, and because of funding challenges, some colonels have not received their vehicles since their promotions," Mayihlome added. "We are working on a programme to procure the outstanding vehicles, including for those entitled upon retirement."He also pointed out that even some brigadiers and air commodores who have retired have not received the vehicles promised to them.Addressing these grievances, Mayihlome stressed the importance of maintaining morale within the military to safeguard national security."It's crucial to address these issues to ensure national security," he said. "Grievances should be raised through the proper channels to avoid sensitive information falling into the wrong hands."He warned that if soldiers become disgruntled over their working conditions, it could pose a risk to national security, especially since the military cannot go on strike and must obey commands without question."The defence forces cannot strike. They commit to the ultimate sacrifice, and if they have grievances, everyone needs to listen. A disgruntled force could jeopardize national security," Mayihlome stated.There are concerns that the declining living standards and poor working conditions in the army could create political challenges for President Emmerson Mnangagwa, who rose to power through a military coup in 2017. Despite the struggles faced by rank-and-file soldiers, Mnangagwa has provided top military officials with luxury vehicles, houses, loans, and farms, further highlighting the disparity within the ranks. A worrying outbreak of elephantiasis, a debilitating parasitic disease, has been reported in Mashonaland West province, prompting urgent action from the government and health authorities. The disease, also known as lymphatic filariasis, is spread through the bites of infected mosquitoes. The outbreak was discovered after several pupils in rural Kariba showed signs of illness. Tests conducted on 21 pupils revealed that they were positive for the disease. Cases were reported at several primary schools in the area, with two cases each at Kalundu, Mola and Kauzhumba schools, and three cases each at Kasvisva, Msambakaruma, Kanyati, Negande and Kadziro. What worries us is that the disease affects several generations. The infection may have some lasting effects. It has affected many people along the Zambezi Valley, where we have some tributaries that provide good breeding sanctuaries for mosquitos, said Kariba legislator Shine Gwangwava. The discovery of the outbreak has prompted the Ministry of Health and Child Care to launch a 10-day mass drug administration campaign in the affected areas. The campaign, which will commence on Monday, will involve administering three drugs to prevent the spread of the disease. This exercise comes because of a research conducted in Kariba that found a high prevalence of lymphatic filariasis, said George Kambondo, Mashonaland West provincial health promotion officer, urging affected communities to participate in the free mass drug administration exercise. Chief Negande, born Kausu Mpofu, the traditional leader of the Kariba area, has also called on communities to cooperate with the vaccination programme. If the research was conducted and medical experts saw it fit to administer medication, the traditional leadership approves the move. We urge communities to accept the medication without resistance as it is good for our wellbeing, he said. Community Working Group on Health executive director Itai Rusike stressed the need for a comprehensive approach to address the outbreak. There is need to conduct health education and community awareness campaigns on NTDs such as lymphatic filariasis which is a neglected tropical disease, he said. There is need to make sure that community health workers are well equipped and well trained about NTDs as they are essential to deal with disease outbreaks and community response. Rusike also called for strengthened distribution of treated mosquito nets and indoor residual spraying programmes, measures that can help to control the spread of mosquitoes and prevent the disease. Communities should be cultured and disciplined from family level to practice individual and community health hygiene. There is a need for strengthening of community awareness, participation and self-reliance in fostering the culture of prevention and control of NTDs, he added. The World Health Organisation (WHO) has highlighted the global threat posed by elephantiasis, stating that over 882 million people in 44 countries worldwide remain at risk. Lymphatic filariasis can be eliminated by stopping the spread of infection through preventive chemotherapy with safe medicine combinations repeated annually. More than nine billion cumulative treatments have been delivered to stop the spread of infection since 2000, reads a statement by WHO on its website. Breaking News via Email Related Zimbabwe Latest News The Zimbabwean military is mourning the loss of another distinguished officer, Air Commodore (Retired) Peter Gedion Sheik Zimondi, who passed away yesterday at the age of 65 following a road traffic accident near Chitungwizas Zororo cemetery. The accident saw his so badly injured that he reportedly got admitted to a hospitals intensive care unit (ICU) where he has been in a comma since then. The news of his passing comes as a heavy blow to the Air Force of Zimbabwe, following a string of recent losses within the military ranks. We are deeply saddened by the loss of Air Commodore Zimondi, who was involved in a road traffic accident and sustained severe injuries. He was receiving treatment at a local hospital until his untimely death, said Air Marshal Jacob John Nzvede, Commander of the Air Force of Zimbabwe, in a statement. Air Marshal Nzvede extended his condolences to the Zimondi family and the Air Force, saying, May the Lord Almighty provide comfort during this difficult time. Air Commodore Zimondi who was the Director-General of Administration in the Air Force of Zimbabwe (AFZ), was a dedicated and distinguished member of the Air Force, who served with honour since joining in 1981 after his training in Nigeria. His passing is a significant loss for the military, leaving a void that will be difficult to fill. He was Group Captain in the Air Force of Zimbabwe before being promoted to Air Commodore and Director General Administration in February 2018 following the military coup that ousted former president Rober Mugabe. Zimondi was a cousin to the late national hero Air Marshal (Rtd) Perrence Shiri who was one of the senior government officials killed by the deadly Covid 19 virus. Mourners are currently gathering at Number 7 Croborough Road in Mt Pleasant, Harare, as funeral parade preparations get underway. Details of the date of the funeral will be announced in due course. This recent tragedy follows the passing of another prominent military figure, Colonel Andrew Kabaira, just a month ago. Colonel Kabaira, a man of immense stature and unwavering dedication, passed away on 21 July morning at the age of 64, after a short illness. He was receiving treatment at the ZNA 2 Referral Hospital, located within the Josiah Magama Tongogara Barracks in Harare. A month before his death, Colonel Kaibaira had been reshuffled from his position as Commander Harare District, a role he held with distinction. Two weeks ago, the Zimbabwe National Army was once again plunged into mourning with the passing of Brigadier General (Retired) Shadreck Ndabambi. Brigadier General (Rtd) Ndabambi, a prominent figure in the countrys military landscape, collapsed at his Glendale farm a fortnight ago and was pronounced dead upon arrival at Bindura General Hospital. The Zimbabwe National Army has also recently been mourning the loss of Brigadier-General (Retired) Michael Chaminuka, who passed away last month after a short illness. Chaminuka, a man who played a significant role during the events that led to the removal of former president Robert Mugabe while serving as General Constantine Chiwengas personal assistant, was declared a national hero by President Emmerson Mnangagwa and was laid to rest last month at the National Heroes Acre. The recent string of deaths within the Zimbabwean military has cast a pall over the nation, with many wondering why an angel of death is hovering over these decorated military strongmen. Here are some of the security cluster senior officials who have died recently: 2 Feb Colonel Kenny Ridzai Mabuya (Retired born 12 Jan 1952 Intelligence and most senior ZANU-PF war veteran) 29 April Shadreck Vezha ( Accident Born 10 August 1961 Intelliegence) 16 April Nash Nyasha Dzimiri ( Intelligence Short illness June 24 1958) 18 April Tsitsi Grace Jadagu ( 11 March 1943 Short illness) 4 May Ezekiel Tobais Chaunoita ( Intelligence Long illness) 28 June Romeo Donald Mutsvunguma (4 March 1957 19 July Brigadier General Michael Chaminuka 5 August makheti Ndebele 20 August Elasto Madzingira 4 September Brigadier General Shadreck Dingaan Ndabambi ( Security Collapsed ) 13 September Peter Zimondi ( Road Accident Breaking News via Email Related Zimbabwe Latest News News / Local by Staff reporter The government has been urged to take swift action following an outbreak of elephantiasis in Mashonaland West province. Elephantiasis, a parasitic disease affecting the lymph nodes and vessels, is spread by infectious mosquitoes.Cases have been reported in Kariba and Mhondoro Ngezi, with the outbreak initially discovered after several pupils in rural Kariba displayed symptoms. According to Kariba legislator Shine Gwangwava, tests revealed that 21 pupils were positive for the disease. The affected schools include Kalundu, Mola, and Kauzhumba primary schools, each reporting two cases, while Kasvisva, Msambakaruma, Kanyati, Negande, and Kadziro reported three cases each.Gwangwava expressed concern over the long-term effects of the disease, noting its potential to impact multiple generations. "It has affected many people along the Zambezi Valley, where tributaries provide ideal breeding grounds for mosquitoes," he said.In response, the Ministry of Health and Child Care has launched a 10-day mass drug administration campaign in the affected areas. Traditional leader Chief Negande, born Kausu Mpofu, urged the local communities to cooperate with the vaccination efforts. "If medical experts recommend this, we as traditional leaders support it. We encourage everyone to accept the medication," he stated.George Kambondo, Mashonaland West's provincial health promotion officer, confirmed that the mass vaccination campaign would begin on Monday, based on research indicating a high prevalence of lymphatic filariasis in Kariba. He encouraged all affected communities to participate in the free drug administration exercise, noting that three drugs will be provided to prevent the spread of this neglected tropical disease (NTD).Itai Rusike, executive director of the Community Working Group on Health, emphasized the need for government efforts to eliminate NTDs like lymphatic filariasis by promoting knowledge-sharing and community engagement. He called for health education campaigns and better training for community health workers to address disease outbreaks effectively."There must be a focus on community awareness, participation, and hygiene practices to prevent and control NTDs," Rusike said. He also highlighted the importance of distributing treated mosquito nets and strengthening indoor residual spraying programs to control the spread of the disease.The World Health Organization (WHO) estimates that over 882 million people in 44 countries are at risk of elephantiasis, also known as lymphatic filariasis. WHO advocates for preventive chemotherapy to stop the spread, stating that since 2000, more than nine billion treatments have been administered globally. More horrifying details emerge about the 20,000 Haitian migrants INVADING Springfield, Ohio: Residents are fleeing due to overwhelming VIOLENCE Field reporter Tayler Hansen (@TaylorUSA on X) says he is receiving hundreds of emails from people who live in Springfield, Ohio, about the terror being unleashed by Haitian migrants. Hansen says nearly 400 emails flooded his inbox to tell stories about how lifelong residents of the Midwestern town are having to flee due to all the violence, dwindling resources and dangerous new drivers swerving all over the roadways causing accidents. The corporate media claims that everything is fine in Springfield, just like they claimed about the apartment complex in Aurora, Colo., that was invaded by illegal migrant gangs from Venezuela. In Springfield's case, the migrants, which now number 20,000 or more, are from the impoverished Caribbean nation of Haiti. "My name is ***** and i have lived in Springfield my entire life," one of the letters Hansen received reads. "The beginning of this year we made the decision to move away from my hometown due to the rising violence with them. They have effected [sic] my home life and now my work." "Recently we have had a very few violent Haitians in my place of work. Ranging from calling us (whites) racist slurs to threatening employees with hammers and even threatening to come back and kill everyone on site." The letter goes on to claim that Haitian men are fighting women in the streets and blocking traffic. The person who wrote the letter says busloads full of migrants are wreaking havoc in neighborhoods all around Springfield, putting locals at risk. (Related: Did you know that every single "new job" created by the Biden regime last year went to an illegal alien?) Violent crime in Springfield jumped 242% between 2019 and 2022 It turns out that these anecdotes are backed by data from the FBI's crime data explorer showing that between the years of 2019 and 2022, violent crime in Springfield is up 242 percent. The situation is so problematic that some are advising locals to start capturing episodes of migrant crime in photos and videos as evidence for the world to see. Then the media will have a much tougher time denying what locals claim is happening to their town. "What a crying shame for these people that have lived there their whole lives," wrote someone on X. "Their homes are going to be worth nothing. Something you worked for your whole life. It's so sad really." Another says her Democrat mother denied that anything is happening in Springfield because the media is telling people that this is all made up as an election ruse to scare more people into voting Republican. Just like the Republicans say Democrats are doing with their scare tactics, the right is being accused of manufacturing the Haitian migrant crisis in Springfield for political points. "The media is running cover on all of these stories saying they're untrue," one said. "They cannot let this get out while Kamala is running because the truth would hurt her already small numbers." Another wrote that a similar migrant invasion is occurring in Alabama and that locals there are "working on" dealing with it before it gets too out of control. "It's unreal," this person said. Others asked where Gov. Mike DeWine is in all this as he has not yet said anything about the problems plaguing Springfield. Will Gov. DeWine step up and say something before the election or will he keep quiet out of fear? "@SenSherrodBrown supports Kamala's open border policies," tweeted "TallTeeth" (@WildBillPecos) about how Sen. Brown of Ohio is partially to blame for the migrant crisis. "Call his office 202-224-2315 and tell him you have had enough." Illegal immigration is destroying America. Learn more at InvasionUSA.news. Sources for this article include: X.com NaturalNews.com Congressional report: Biden-Harris admin DECEIVED Americans on Afghanistan withdrawal The Biden-Harris government has deceived the American people regarding the U.S.'s withdrawal from Afghanistan in 2021, according to a Congressional report. The report released by the House Foreign Affairs Committee (HFAC) on Sept. 9 alleges that the decision to withdraw from Kabul led to disorganized conditions and disregarded military warnings, eventually causing the loss of 13 U.S. military personnel in a Kabul suicide bombing. It also implies that political plans were prioritized over national security concerns. "Our investigation reveals the Biden-Harris administration had the information and opportunity to take necessary steps to plan for the inevitable collapse of the Afghan government, so we could safely evacuate U.S. personnel, American citizens, green card holders, and our brave Afghan allies. At each step of the way, however, the administration picked optics over security," wrote HFAC Chairman Rep. Michael McCaul (R-TX) in the report. "As a result of the Biden-Harris administration's failure to plan for all contingencies, the U.S. government conducted an emergency evacuation without the necessary personnel, supplies, and equipment. The administration's dereliction of duty placed U.S. servicemembers and U.S. State Department personnel in mortal danger, where the Taliban our sworn enemy became the first line of defense. As a direct result of the failure to plan for all contingencies, 13 U.S. servicemembers and 170 Afghans were murdered in a terrorist attack at Abbey Gate on Aug. 26, 2021, and 45 U.S. servicemembers and countless Afghans were injured. This was preventable." (Related: Biden blasted by members of his own party for disorganized, disastrous, ill-planned pull-out of Afghanistan, leaving thousands of Americans in the lurch.) McCaul added the withdrawal has damaged U.S. credibility, emboldened America's adversaries, and made the country more at risk of an attack emanating from Afghanistan. "And the moral injury to our veterans and servicemembers is generational. The administration's unconditional surrender and the abandonment of our Afghan allies, who fought alongside the U.S. military against the Taliban their brothers in arms is a stain on this administration." Biden administration officials only testified following subpoenas According to the report, officials in the current administration including former U.S. Army Gen. Austin Miller and former White House Press Secretary Jen Psaki were interviewed by the HFAC as part of the investigation. But testimonies were only obtained following either a subpoena or a threat of contempt of Congress. McCaul added that even now, Secretary of State Antony Blinken and National Security Advisor Jake Sullivan continue to ignore the committee's summons to testify. Sharon Yang, White House spokeswoman for oversight and investigations, disregarded the GOP report calling it biased and based upon selective facts. She stressed that the decision to withdraw was tough but necessary to divert resources to other threats and conclude the extended conflict. In response to accusations that the report's release was politically motivated, McCaul said delaying tactics from the White House and military leaders pushed back his probe by almost two years. The Texas congressman said he would have preferred the report be released earlier than Sept. 9. "In fact, I would have preferred it be released in late 2021 or 2022 directly after the withdrawal and emergency evacuation. Instead, congressional Democrats provided political cover for the Biden-Harris administration." The report recommended reestablishing a Crisis Bureau within the State Department to deal with emergency evacuation situations and prevent comparable military disasters in the future. "While this was a thorough and comprehensive investigation, there are still unanswered questions, and more information must be gathered if we are to ensure such a catastrophe never happens again," McCaul concluded. Follow Deception.news for more stories about the deceptions being committed by the Biden-Harris administration. The Biden-Harris administration had the intel to safely evacuate Afghanistan, but failed to do so. Watch this video. This video is from the NewsClips channel on Brighteon.com. More related stories: SIGAR: Biden admin has given over $11 billion to Afghanistan since Taliban took over and U.S. withdrew its troops in 2021. White House now claims it did not leave American weapons in Afghanistan, calls accusation a "farce." Biden regime blamed for delaying private groups trying to evacuate U.S. citizens from Afghanistan: "This is zero place to be negotiating with American lives." Sources include: TheNationalPulse.com Foreign Affairs.House.gov Big Government and Big Tech both want your biometric data Both Amazon and the Transportation Security Administration (TSA) are demanding the biometric data of all Americans Amazon has introduced a groundbreaking biometric payment system, Amazon One, which allows users to pay for purchases, access loyalty rewards and enter certain restricted areas on Amazon properties all with the wave of a hand. Users who sign up agree to give Amazon data regarding their palm's unique vein patterns to help verify their identities. Launched last March 28, this technology is already being used at over 200 Whole Foods locations across 20 states, and Amazon plans to expand it to every Whole Foods in the United States by the end of the year. (Related: More businesses now resorting to BIOMETRICS so that government nannies can literally track everything you do, see and buy.) The technology is also being adopted by other retailers, such as Panera Bread appearing in diverse locations like airports, convenience stores, gyms and stadiums. In a similar manner, TSA has introduced facial recognition technology at airports with a promise that the technology enhances safety and streamlines the travel experience. Facial recognition is used by the TSA to verify a travelers identity by scanning their face. This system captures a live image of the traveler's face and compares it to the photo on their ID or passport. If the two images match, the traveler is cleared to proceed often without needing to show physical identification. For travelers who choose not to use this technology, the TSA continues to offer traditional ID checks. Participation in the facial recognition program is entirely voluntary and those who opt out will not face any delays or negative consequences. Facial recognition is not just limited to airport security. It is being adopted across various industries, including banking, retail and healthcare promising benefits like faster service, improved accessibility and a more personalized user experience. However, as the technology becomes more widespread, so do the risks. These risks include inaccuracies and biases against certain age groups and ethnicities, the vulnerability of stored facial data and the possibility of criminal entities impersonating other individuals. Biometric technologies spark security concerns Hafiz Malik, a cybersecurity professor at the University of Michigan, cautioned that these systems are not infallible. Malik pointed out that advancements in artificial intelligence (AI) could potentially enable the creation of fake versions of a person's voice, handprint or even face. These AI-generated forgeries could be used to trick biometric payment systems, highlighting the need for robust countermeasures like "liveness detection" a technology used by Amazon to distinguish between real and fake palms. Another significant concern is the storage and protection of biometric data. Unlike a stolen credit card, which can be replaced, biometric data can't be changed if it is compromised. This permanence makes biometric data a highly attractive target for hackers. Evan Greer, director of the digital rights advocacy group Fight for the Future, warned that trusting a corporation with biometric data also entails trusting that same corporation to keep that data safe. He said corporations have a really terrible track record of keeping people's personal information safe. Cynthia Rudin, a Duke University professor, further stressed the potential dangers if such sensitive data falls into the wrong hands. "They can control you in ways you don't like," said Rudin. "Those data sets can be used to control us anywhere in the world, including arresting us, or preventing us from entering stores that don't want customers in our salary bracket, or who have political views that disagree with the owners of the venues." Watch this demonstration of an Amazon One palm scanner. This video is from the Daily Videos channel on Brighteon.com. More related stories: No cash or card allowed: Major supermarkets set to accept only BIOMETRICS payment. Mastercard rolls out payment system that uses FACIAL RECOGNITION technology. Facial recognition will soon identify shoplifters and ban them from stores, experts say its ripe for abuse. Sources include: WSJ.com BobsGuide.com TSA.gov CNBC.com Brighteon.com Canada wants to include DEI measures in WHO pandemic treaty Instead of outright rejecting the World Health Organization's (WHO) already controversial global pandemic treaty, the Public Health Agency of Canada (PHAC) wants to amend it to include diversity, equity and inclusion (DEI) policies promoting "marginalized" groups. "Comprehensive prevention strategies, including surveillance practices and addressing challenges for marginalized communities are essential for effective pandemic prevention," the July PHAC summary report indicated. "Data ownership, privacy, inclusivity, race-based data and cultural sensitivity are important issues which could be given greater consideration." According to the report, data collection can be a challenge, especially when compounded by strained relationships between Indigenous people and the health system, marked by trust deficits and ingrained power differentials. PHAC discussed Canada's participation in the WHO global pandemic treaty, also known as the Pandemic Accord. This agreement would grant WHO a "superpower" over Canada and other countries when another "pandemic" or other so-called emergencies occur again. Moreover, Canada's public health authority pushed for measures to counteract "misinformation." "Countering misinformation and disinformation is critical to pandemic response efforts, as seen by its impact on vaccination and immunization rates around the world," the report said. "Efforts should be made to hold social media platforms accountable for the content they host. However, it is imperative to address misinformation in a manner that respects freedom of speech and expression," it continued. However, critics of Canadian Prime Minister Justin Trudeau pointed out that it would be unlikely for this to work to protect stances that go against globalist rhetoric, citing how Trudeau reacted to the 2022 Freedom Convoy. Hundreds of vehicles formed convoys from several points and traversed Canadian provinces before converging in Ottawa on Jan. 29 of that year to protest against the Wuhan coronavirus (COVID-19) vaccine mandates and restrictions. Participants were violently dealt with by local law enforcement. It did not stop there as bank accounts of Canadians who donated to the protest were frozen afterward. Conservative members of parliament (MPs) also cast their opinions on the possible implications of these suggested measures to be added to the accord. MP Colin Carrie has warned that the treaty could institutionalize freedom-throttling COVID-19 "pandemic mistakes. Meanwhile, MP Leslyn Lewis has repeatedly been warning that the new International Health Regulations in the treaty will compromise Canada's sovereignty as it gives WHO great power over Canadians. In line with this, Lewis endorsed a petition demanding Trudeau's regime to immediately withdraw from the United Nations and its WHO subgroup as these globalist organizations undermine the national "sovereignty" and "personal autonomy" of citizens. Pandemic treaty stumbling blocks revealed The finalization of the agreement did not meet its deadline back in May after two years of negotiation among 194 WHO member states. According to the accord, the aim was to set guidelines for how the member countries might stop future pandemics and better share resources. But experts warned there were virtually no consequences for countries that don't comply. The co-chairs of the drafting process didn't specify what caused the reason why they had not come up with the final paper. However, diplomats said that differences remained in the sharing of information about pathogens that emerge as well as the technologies to fight them. As per the latest draft, WHO would get 20 percent of the production of pandemic-related products like tests, treatments and vaccines. It also urges countries to disclose their deals with private companies. This is the main reason why President Joe Biden did not sign off the treaty. He received pressure from Republican senators who argued that the treaty focused on issues like "shredding intellectual property rights" and "supercharging the WHO." (Related: Every GOP senator just signed a letter to Biden telling him to WITHDRAW from the WHO pandemic treaty.) Meanwhile, the United Kingdom's Department of Health and Social Care stated that it would only agree to an accord if it adhered to British national interest and sovereignty. Visit Pandemic.news for more updates on the developments on the WHO's pandemic treaty. Watch the video below that exposes WHO's pandemic treaty shenanigans. This video is from Stopping The W.H.O. channel on Brighteon.com. More related stories: WHO Pandemic Treaty DIES, IHR amendments all but neutralized. Op-ed: World Health Organization remains DANGEROUS despite collapse of Pandemic Treaty. Report: Talks to establish WHO Pandemic Treaty seem to have collapsed. The WHO's pandemic treaty and a bird flu crisis are both arriving at the same time. Sources include: LifeSiteNews.com Canada.ca GlobalNews.ca Brighteon.com MOCKERY of JUSTICE: DOJ official reveals Trump indictments were politically driven A secret recording has caught Department of Justice (DOJ) Chief of Public Affairs Nicholas Biase revealing that the indictments against former President Donald Trump in New York are actually politically motivated and deliberately fast-tracked to harm the Republican presidential nominee's political standing. Biase was seen during an off-the-record conversation in the video recorded via a hidden camera blasting Democrats' inner workings behind the high-profile legal cases. He was filmed saying to an unidentified woman on July 31 at what appears to be a bar that the efforts to turn Trump into a convicted felon have backfired on the liberals and that it even boosted Trump's popularity. The said footage was shared by conservative political commentator Steven Crowder on X. The New York Post published a related article on Sept. 5, reporting that in the video Biase also slammed Manhattan District Attorney Alvin Bragg for his recent prosecution of the former president. "He [Bragg] was just stacking charges and rearranging things just to make it fit a case. Honestly, I think the case is nonsense," said Biase, who also claimed to have known Bragg for 15 years and previously worked with him at the District Court for the Southern District of New York. Biase described the entire process as a politically motivated effort to damage Trump. He also said the unprecedented hush-money case is a "perversion of justice," further revealing that the prosecutor pursued the case to make a name for himself and run for higher office. "He wants to be, something a mayor? I'm not sure what he wants to be, but I know he's not happy just being the DA of New York County. Before he decided to prosecute Trump, did you know who he was? You do now," he said in the clip. Elsewhere in the video, he touched on Trump's separate civil fraud case brought by state Attorney General Letitia James, in which the ex-president was found guilty of inflating the value of his assets to get bank loans. "Every real estate person in New York does what he did. Nobody's ever been charged with this You know, it's a perversion of justice," he said. "At the federal level, where I work, there is a 90-day rule where you can't make any decisions on cases that are going to affect an election [within 90 days of an election]. That rule does not apply at the state level because the state level is like the fking Wild West They are like idiots. They don't care. They're all political." He also described another state-level prosecution of Trump by another elected Democrat, District Attorney Fani Willis of Fulton County, Georgia, as a "travesty of justice." "To put it mildly, it's a mockery of justice. She is a joke The whole thing is disgusting. They're just out to get Trump," Biase said of Willis. According to the DOJ official, the indictments have only bolstered Trump's popularity in the polls. "That's why he's surging in the polls," he noted. (Related: POLL: American voters trust Donald Trump more than Kamala Harris on firearms issues.) Biase apologizes for statements in the viral video A day after the Post published the article on the bombshell video, Biase apologized for his explosive comments. "I was recently made aware of a video where I regretfully made some statements in a private and social setting that don't reflect my views about two local and state prosecutions," the statement indicated. "I said these things in an effort to please and impress someone I just met, who was secretly filming me. I'm deeply sorry to the local and state law enforcement officials working on these matters, who deserve more respect than I showed them. I should have known better." Crowder's post about the viral video, which now has over 20 million views, garnered various reactions and comments. Users appreciated Biase's honesty and urged him to stand by his stance. Independent journalist Kyle Becker said: "Let me give a friendly word of advice to this DOJ official Nicholas Biase: He must run to the fire and not away from it to get through the s---storm he's about to be hit with. He needs to become a hero and own his comments. America needs this refreshing dose of honesty." "Saying out loud what we all know to be true," Jeff Carlson replied to the thread. Another user chimed in saying that the "DOJ has become the political enforcement arm of the deep state, that occasionally does law enforcement to keep up appearances." Another one said: "What the leftists have done to Trump is horrendous. They have tried everything to keep him from becoming our president again. The leftists don't give a flip about the Constitution or democracy. What they care about is power, money and control." Head over to BigGovernment.news for more stories on the weaponization of the justice system for political execution. Watch the video below that talks about Kamala Harris vowing to use the DOJ to kill free speech. This video is from the Prisoner channel on Brighteon.com. More related stories: Trump pleads NOT GUILTY to Special Counsel Jack Smith's revised indictment over ginned-up 2020 election interference charges. House GOP says Manhattan DA, Judge Merchan violated Trump's constitutional and legal rights. Donald Trump found GUILTY on all 34 charges in blatantly rigged New York trial, could be sentenced to 20 years in prison. Sources include: YourNews.com X.com NYPost.com Edition.CNN.com Brighteon.com Hungary pushes through with plan to bus illegal immigrants to Brussels Hungary is proceeding with its plan to bus illegal immigrants to the European Union's capital of Brussels, Belgium. Hungarian Interior Ministry State Secretary Bence Retvari declared at a recent press conference that if the EU attempts to force Hungary to accept illegal immigrants, then those migrants will be handed one-way bus tickets directly to Brussels. Backdropped by a line of passenger buses with illuminated signs reading "Roszke-Brussels" a route that would take immigrants from Hungary's southern border with Serbia to the EU headquarters in Belgium Retvari stated the transport would be carried out "after the implementation of the European procedure," but did not describe what status the asylum seekers would have upon being transported. "If Brussels wants illegal migrants, Brussels can have them," Retvari said. The proposal to bus immigrants to Brussels came in answer to a June ruling by the European Court of Justice (ECJ) that ordered Hungary to pay a fine of 200 million euros for constantly breaking the bloc's rules for granting residency to asylum seekers. Hungary will be fined an additional one million euros ($1.1 million) per day until it brings its policies in alignment with EU law. The bloc disagrees with Budapest for requiring people seeking international protection to travel to Hungarian embassies in Serbia or Ukraine to apply for a travel permit, violating EU rules that compel all member nations to have common procedures for allowing asylum. Hungary to file legal actions against EU over fines The conservative and anti-migrant government of Prime Minister Viktor Orban has stated it will file legal actions against the EU over the fines and has asked compensation for the billions of euros it spent on border protection along with the construction of fences protected by razor wire on its southern borders with Serbia and Croatia. Orban and his government currently hold the rotating presidency of the Council of the European Union. Despite this, the EU is increasing its adversarial approach against Orban's anti-mass migration government. (Related: Hungarys Orban slams current EU policies, calls for new leadership.) The EU has frozen billions in foreign aid for Hungary over Orban's supposed violations of the rule of law and the declining standards of democracy in the country. Some EU legislators have even petitioned for Hungary to be deprived of its voting rights in the bloc's main executive body, the European Commission. Hungary's government missed the first September deadline for paying the 200 million euro ($221.75 million) fine mandated by the ECJ, opening the way for another possible conflict with the EU. When asked about Hungary's plan to send migrants to Brussels, European Commission spokeswoman Anitta Hipper said that "it is unacceptable." "This action, if carried out, would be in clear breach of the EU law, but also it would be in clear breach of the principle of sincere and loyal cooperation, but also of mutual trust," Hipper said in an interview with media. "In addition, it will also undermine the security of the Schengen Area as a whole," Hipper added, referring to the 29-country zone where people and goods can cross borders without document checks. "We are also standing ready to use all our powers under the treaty to ensure that EU law is respected." NationalSecurity.news has more stories like this. Watch this video of Prime Minister Viktor Orban claiming he will not risk the safety of Hungary for migrants. This video is from the GalacticStorm channel on Brighteon.com. More related stories: Border walls and strong anti-illegal immigrant policies have seen tremendous SUCCESS in Hungary. Boatloads of illegal migrants making their way into Europe via Spain. REPORT: Nearly 1.7 million "economically inactive" migrants in the U.K. are costing taxpayers an additional $11.2 billion annually. Sources include: TheNationalPulse.com Independent.co.uk APNews.com Brighteon.com Kamala Harris calls for X to be SHUT DOWN in interview Vice President Kamala Harris, the Democratic presidential nominee, has called for the X platform to be shut down She reportedly made the call to take down X in an interview with journalist Jake Tapper that made the rounds on social media. According to the vice president, X should be taken down due to its unchecked influence and the lack of regulatory oversight. Harris told Tapper that X owner Elon Musk "has lost his privileges" and the social media platform he owns "should be taken down," adding that X is "directly speaking to millions and millions of people without oversight." She emphasized the need for stricter control over social media platforms, noting that platforms like X have the potential to shape public opinion without sufficient accountability. Musk acquired X, then called Twitter, in 2022. He positioned it as a bastion of free speech, rolling back many of the content restrictions put in place by the previous management particularly former CEOs Jack Dorsey and Parag Agrawal. While some have praised Musk for his commitment to free speech, others including Harris warn of its dangers without sufficient oversight. This statement immediately went viral online when famous personalities, including Robert F. Kennedy Jr. (RFK Jr.), shared it. The former independent presidential candidate wrote: "Can someone please explain to her that freedom of speech is a right, not a 'privilege'?" RFK Jr.'s post, which further suggested that Harris was threatening to shut down social media platforms that do not adhere to "government-approved narratives," received more than 200,000 likes and shares on the day it was published. Another user also shared the video with a caption: "Kamala will shut down X if she wins." Meanwhile, others claimed that the statement was in support of the suspension of X in Brazil for failing to name a local legal representative as required by Brazilian law, leading to the suspension until compliance is achieved. (Related: Kamala Harris LOSES SUPPORT in latest post-DNC poll.) Harris and her campaign team deny accusation The Harris campaign immediately responded to the video and claimed it was fake. They pointed out that the video was from 2019, with the conversation reportedly happening after a Democratic primary debate that year. The video allegedly pertained to former President Donald Trump and not the South African-born entrepreneur. Moreover, it showed Harris advocating for increased accountability for social media companies but did not call for the shutdown of the platform or even its owner. According to the Harris campaign, her remarks in the clip pertained to Trump's 2021 suspension from Twitter. She argued at the time that Trump had "lost his privileges" due to his actions, but her comments were specifically about the former president's use of social media. Moreover, Harris' remarks centered on whether social media platforms should enforce consistent rules. "The bottom line is that you can't say that you have one rule for Facebook and you have a different rule for Twitter," she stated. The same rule has to apply, which is that there has to be a responsibility that is placed on these social media sites to understand their power. They are directly speaking to millions and millions of people without any level of oversight or regulation, and that has to stop." The Harris campaign said the video was before Musk purchased Twitter and rebranded it, but those who have seen the clip in its entirety have confirmed that she called the platform X. Of course, the name change only happened after Musk acquired it. Follow TechGiants.news for similar stories. Watch Chris Kenny of "The Kenny Report" on Sky News commenting on the mainstream media's blind adoration of Kamala Harris below. This video is from the TrendingNews channel on Brighteon.com. More related stories: Kamala Harris dodges media questions by pretending to be on a phone call while wearing headphones. Kamala Harris used fake letter to deceive public into thinking Tucker Carlson praised her push for gun control. Kamala Harris calls for renewal of so-called assault weapons ban to hurriedly DISARM Americans before the election. Kamala Harris campaign colluded with Google to manipulate headlines, shadow ban Trump on search results. Kamala Harris to reduce illegal immigration by LEGALIZING it, not stopping it. Sources include: YourNews.com APNews.com Brighteon.com Pfizers mobile science brainwashing truck targets children with escape-room-like vaccine production simulation Pfizer has become more blatant than ever in pushing vaccines on the masses with an absurd new mobile science brainwashing truck that convinces young people that their toxic jabs will somehow save humanity. It targets children aged 9 to 14 and boasts a scientific adventure like no other. In a promotional video, students in a rural North Carolina town can be seen taking part in what Pfizer calls the School of Science Mobile Experience, where a Pfizer robot dog greets them and interacts with them. The brainwashing truck promises a fantastic, interactive, escape-room-like experience, and as much as wed all like to escape from any room where people are pushing untested vaccines on us, the idea here is that vaccines are the solution to a worrying pandemic outbreak that leaves people with lizard-like skin. During the exercise, children are taught various lessons in the scary trailers assorted rooms. For example, one teaches about vaccine manufacturing, while another explains the concepts of antigens. They also get the opportunity to meet Pfizer employees through the School of Science, even though we doubt this ranks on any childs list of idols theyd like to meet one day. The North Carolina students ultimately successfully produced a remedy that will be distributed around the world in the simulation, according to the promotional video, and we suspect it has more than a few similarities to the companys highly profitable COVID-19 vaccines. Pfizer accused of directly marketing vaccines to children One educator accused the pharmaceutical company of shilling its vaccines to young people via the truck, with New York city educator and Teachers for Choice founder Michael Kane stating: Its definitely crossing a line from education to directly marketing or promoting their products to kids. It just feels so wrong. A North Carolina parent, Beth Secosky, told The Defender that Pfizer should not be teaching science to children. She pointed out: Pfizer has paid billions in penalties for false claims and safety violations. Why would schools invite a corporation that is notorious for putting profits over people to teach their children science? Pfizer working hard to inculcate the next generation of customers The mobile brainwashing unit is part of the Pfizer School of Science, which has hosted middle school students at the pharmaceutical giants New York City headquarters, where they are subjected to 90-minute courses about subjects such as vaccine history, drug manufacturing, immunology and the use of artificial intelligence in healthcare, with Pfizer footing the bill. They also hear pitches from employees about future careers with the company. CEO Albert Bourla claims that more than 6,000 students from New York City with diverse backgrounds have gone to its headquarters for these programs, writing on LinkedIn that they go out of their way to brainwash as many young people as possible. In some cases, this meant modifying our coursework to accommodate diverse needs, such as customizing classes to suit different learning abilities and language capabilities, he wrote. Theyve been pushing hard to appeal to younger generations in recent years, celebrating their version of science not just with their School of Science but also in a Super Bowl ad that aired in January with famous scientists singing along to the Queen hit "Dont Stop Me Now." At the time, their chief marketing officer, Drew Panayiotou, boasted that the iconic Queen song cuts across generations with the words dont stop me now, which is a great line for Pfizer. Considering the record-breaking profits they earned thanks to the COVID-19 vaccines that were forced on so many people around the world, it wont be surprising if Pfizer goes even further moving forward in their bold efforts to brainwash future generations of vaccine and drug customers. Sources for this article include: ChildrensHealthDefense.org Pfizer.com Rumble forced to exit Brazil amid crackdown on independent media Popular free speech platform Rumble has been forced to cease operations in Brazil, joining other platforms targeted by the countrys government. (Article republished from YourNews.com) The free speech video platform Rumble has been forced out of Brazil as part of the countrys ongoing efforts to regulate independent media. Rumble joins a growing list of platforms, including X (formerly Twitter), that have faced restrictions in Brazil due to what critics call an attack on free expression. Rumble is no longer available to the citizens of Brazil, joining the ranks of France, Russia, and China, read a statement from the company. World powers dont want Rumble, they dont want X, they dont want Telegram, and they dont want Truth Social. They want to control information, but our companies wont let them. The decision follows a series of measures by Brazilian authorities aimed at limiting the reach of platforms accused of disseminating misinformation. Alexandre de Moraes, a justice of Brazils Supreme Federal Court, has been at the forefront of these efforts, leading to growing concerns about government overreach and the suppression of dissenting voices. Rumble CEO Chris Pavlovski issued a statement on the matter, which has circulated widely on social media. The move comes at a time when many free speech advocates fear that Brazils actions are part of a broader global trend of restricting independent platforms critical of government narratives. Brazil no longer has Rumble and from media reports, they will no longer have X. World powers dont want Rumble, they dont want X, they dont want Telegram. They want to control information and our companies do not allow them to. There are no other large companies fighting for Chris Pavlovski (@chrispavlovski) August 30, 2024 This is not the first instance of platforms being restricted in Brazil. Earlier, Elon Musks platform X was also pushed out of the country following a series of similar government actions. Brazils regulatory measures have led to growing tensions between the government and platforms that champion free speech, with critics arguing that these actions are stifling open discourse. As the situation develops, many in the tech industry and civil liberties organizations are closely monitoring the growing pressure on independent media platforms like Rumble and its competitors. Read more at: YourNews.com Springfield factory owner revels in replacing American workers with Haitian migrants McGregor Metal CEO Jamie McGregor of Springfield told PBS he "wishes" he could have even more Haitian migrants working at his factory because they "work everyday," "stay at their machines" and don't have drug problems like the struggling Americans in his local community. (Article by Chris Menahan republished from InformationLiberation.com) "I wish I had 30 more [Haitian migrants]," McGregor said. "Our Haitian associates come to work every day. They don't have a drug problem. They will stay at their machine. They will achieve their numbers. They are here to work. And so, in general, that's a stark difference from what were used to in our community." Springfield, Ohio factory owner celebrates replacing American workers with Haitian migrants. Our Haitian associates come to work every day. They don't have a drug problem. They will stay at their machines. They are here to work... and that's a stark difference from what we're pic.twitter.com/OGADwwvDTs AF Post (@AFpost) September 10, 2024 McGregor's comments drew huge backlash on social media with viral posts calling him a "traitor to his community" and others highlighting alleged former workers at the plant describing being treated like dirt. The Americans complained too much: pic.twitter.com/pjYfgTl8Cy RealJeffGfetus (@RealJeffGfetus) September 11, 2024 Reuters reported yesterday that some 8,000 Haitian migrants out of "as many as 15,000" who were transferred to Springfield over the past three years enrolled in Medicaid and are collecting welfare. Read more at: InformationLiberation.com News / National by Staff reporter Zimbabwe holds vast reserves of untapped methane gas in the Lubimbi area of Lupane, Matabeleland North province, but has struggled for years to secure an investor to begin exploiting this valuable resource.Opposition legislator Thokozani Khupe raised the issue in Parliament on Tuesday, highlighting the potential of the methane gas in Lupane to generate around 6,000 megawatts of electricity-more than enough to meet the country's needs, with excess capacity that could be exported to other Southern African Development Community (SADC) nations.Zimbabwe has faced persistent power shortages for over a decade, exacerbated by low water levels at Kariba Dam, which is responsible for much of the country's electricity generation. Khupe emphasized that the methane gas, if developed, could alleviate these shortages and provide a reliable energy source."The Lupane-Lubimbi methane gas project has been in discussion for a long time, having been granted national project status in 2007. Yet, 17 years later, we have seen no action beyond talk. It's time for the government to move from talk only' to real action," Khupe said.She noted that despite multiple ribbon-cutting ceremonies over the years, the project remains stagnant, even though the resource has the potential to create thousands of jobs and generate billions of dollars in revenue. Khupe pointed out that this aligns with Zimbabwe's National Development Strategy 1 (NDS1), which aims to create 760,000 jobs within five years.Research suggests that Zimbabwe's gas reserves, estimated at over 40 trillion cubic feet, are larger than those of neighboring countries. The methane gas alone could generate 6,000 megawatts of electricity, double the country's daily energy requirement of 3,000 megawatts.Khupe urged the government to prioritize finding a major investor through a Build, Operate, Transfer (BOT) arrangement. "An investor could inject $20 billion into Zimbabwe's coffers, extract the gas for 25 years, and then transfer the project to Zimbabwe. This would not only generate significant resources for the country's development but also ensure sufficient energy for industrial and other sectors," she added.During a parliamentary Question-and-Answer session, Khupe questioned the progress of the project, to which Energy Minister Edgar Moyo responded that the government had yet to secure an investor. "We are working on finding an investor to launch the project," Moyo said.As Zimbabwe continues to grapple with power shortages, Moyo added that the government is now focusing on renewable energy sources such as solar and wind. This comes after the African Development Bank (AfDB) recently urged SADC leadership to prioritize a single, region-wide project during the SADC Industrialisation Week, to benefit the entire region. West Virginia to vote on ballot initiative that would constitutionally prohibit assisted suicide in the state West Virginia to vote on a ballot initiative in November that, if it passes, would constitutionally prohibit assisted suicide in the state The constitutional amendment, known as House Joint Resolution 28, was added to the fall ballot during the final hours of the 2024 legislative session. It seeks to prevent any medical professional from performing euthanasia or assisting a patient in committing suicide. The proposed amendment reads: "No person, physician, or health care provider in the State of West Virginia shall participate in the practice of medically assisted suicide, euthanasia, or mercy killing of a person. Nothing in this section prohibits the administration or prescription of medication for the purpose of alleviating pain or discomfort while the patients condition follows its natural course; nor does anything in this section prohibit the withholding or withdrawing of life-sustaining treatment, as requested by the patient or the patient's decision-maker, in accordance with State law. Further, nothing in this section prevents the State from providing capital punishment." The ballot initiative was easily passed by the Republican supermajorities in the West Virginia Legislature, with lawmakers voting along party lines. Lawmakers were quick to note that, if the amendment passes, it will not prohibit patients from making personal end-of-life choices, such as refusing life-saving medications or signing "Do Not Resuscitate" orders. If approved, West Virginia would become the first in the United States to formally amend its constitution to ban the practice. McGeehan: HJR 28 would protect West Virginia from the "nihilistic euthanasia movement" in the West In a recent episode of "Washington Watch," Del. Pat McGeehan (R-Chester) explained the need for a constitutional amendment even though assisted suicide is already illegal in West Virginia to resist the "nihilistic euthanasia movement sweeping the Western world." McGeehan warned that future officials might not share the same pro-life stance. Meaning, the amendment would serve as a safeguard against potential changes. He also discussed the growing trend of "death hotels" and "death Airbnbs" in states where euthanasia is legal, describing how patients receive a "cocktail of poisons" to end their lives in these accommodations. To date, 10 U.S. states have legalized some form of euthanasia, with states like Oregon and Vermont allowing non-residents to participate, leading to "euthanasia tourism." McGeehan also talked about the Medical Assistance in Dying (MAID) program in Canada, which he said is just "a fancy way of saying they're killing their own citizens." Euthanasia is now the fifth leading cause of death in Canada. (Related: Canada's assisted suicide regime has become a conveyor belt of horror stories.) Furthermore, McGeehan said the debate around assisted suicide should concern everyone, regardless of their religious beliefs, due to its potential societal impact. He warned that the normalization of euthanasia could lead to insurance companies denying coverage for expensive treatments in favor of funding assisted suicide. He contended that such a shift would have devastating consequences, such as reducing complex moral decisions to consent and undermining vulnerable patients' autonomy. Visit Euthanasia.news for similar stories about euthanasia and assisted suicide. Watch this clip from "Timcast IRL" where host Tim Pool and his guests discuss the assisted suicide of Dutch woman Zoraya ter Beek. This video is from the SecureLife channel on Brighteon.com. More related stories: Disabled Canadian man reveals he's been offered EUTHANASIA multiple times by hospital staff. Physically healthy 28-year-old Dutch woman with autism and depression given approval to end her life through assisted suicide. Social media influencers pushing ASSISTED SUICIDE on children: "It's ok to pass away today." Euthanized woman in Belgium heard SCREAMING by family as doctors suffocated her with a pillow. MEDICAL MURDER whistleblower: NHS ordered EUTHANASIA to inflate COVID-19 deaths in hospitals. Sources include: LifeSiteNews.com WOWKTV.com News / National by Staff reporter President Emmerson Mnangagwa has showered 'unparalleled' praise on China and its President, Xi Jinping, following his recent State visit and participation in the China-Africa Summit in Beijing. In a 25-minute interview with Chinese broadcaster CGTN, Mnangagwa highlighted the benefits Zimbabwe has reaped from its close ties with China, marveling at Beijing's transformation and describing the two nations as 'friends at heart.'Reflecting on his tour of Beijing, Yang Su, and Guangdou, Mnangagwa, who received military training in China 60 years ago, expressed awe at the city's dramatic modernization since his time there. "If I think of what Peking was 60 years ago and what Beijing is today, the difference is night and day. I marvel at what it is today," he remarked, commending China's remarkable industrialization and development.Mnangagwa specifically referenced the newly rehabilitated Robert Gabriel Mugabe International Airport in Harare, crediting China for its transformation. He shared that during the recent SADC Heads of State Summit in Harare, several leaders inquired about the airport's development. "Each head of state found occasion to chat with me and commended one or two things about what they saw at our new airport. It is so beautiful, so majestic," he said, adding that it's the most impressive airport in the region.He went on to emphasize the profound cooperation between Zimbabwe and China, recounting his meeting with President Xi. "The degree and warmth of cooperation he exuded towards Zimbabwe was beyond my expectation," Mnangagwa said, adding that China's support for Zimbabwe's infrastructural development exceeded his delegation's expectations. "We truly have friends at heart, friends to rely on for our country's transformation."Mnangagwa acknowledged China's significant role in Zimbabwe's development, citing projects such as the new parliament building, the rehabilitation of Hwange Power Station, and the airport. China remains Zimbabwe's largest investor, according to the Zimbabwe Investment and Development Agency (ZIDA).However, he also noted some challenges, with Chinese nationals implicated in illicit activities, including illegal financial dealings, mineral smuggling, and abuse of locals. Despite this, Mnangagwa emphasized the importance of self-reliance, stating, "If we focus on locking our domestic resources to build our countries and take the responsibilities ourselves, we can be what we want to be."As Zimbabwe deepens its diplomatic and economic ties with China, Mnangagwa revealed that 17 agreements were signed during his visit, further cementing the 'comprehensive partnership' between the two nations. He concluded by acknowledging China's impact across Africa, saying, "I do not know of any African country where China has not made an impact." Indian Light Tank 'Zorawar' clears field firing trials in deserts I ndia's highly-versatile light tank 'Zorawar', which is also a symbol of the country's growing defence indigenous capability, on Friday successfully cleared its preliminary automotive and field firing trials, an official statement said. The Defence Research and Development Organisation (DRDO) held the field trials of Zorawar, capable of deployment in high-altitude areas in the desert terrain, and it demonstrated exceptional performance, efficiently meeting all the intended objectives, the Defence Ministry statement said. In the initial phase, the tank's firing performance was rigorously evaluated and it achieved the required accuracy on designated targets, it added. Zorawar has been successfully developed by the Combat Vehicles Research & Development Establishment (CVRDE), under the DRDO, in collaboration with Larsen & Toubro Ltd. Numerous Indian industries, including Micro, Small, and Medium Enterprises (MSMEs), contributed to the development of its various sub-systems. Defence Minister Rajnath Singh lauded the DRDO, the Indian Army, and all associated industry partners for the successful trials of the Indian Light Tank. He described the achievement as a significant milestone towards India's goal of self-reliance in critical defence systems and technologies. DRDO Chairman and Secretary, Department of Defence R&D Dr Samir V. Kamat also extended his congratulations to the entire team involved in the project. Indian Light Tank 'Zorawar' clears field firing trials in deserts Post your comments Found this article helpful? Spread the word and support us! Expand your existing businesses in Rajasthan: CM to Japanese investors O n the final day of his three-day Japan visit, Rajasthan Chief Minister Bhajan Lal Sharma led an investors' meeting at Osaka, urging the Japanese investors to expand their existing businesses and set new ventures in Rajasthan. The delegation also held a round of discussion with Japanese major Daikin and NIDEC which have existing facilities in the state and deliberated on their future expansion plans in Rajasthan. Thanking the Japanese investors for buying in the state's business potential at the Osaka investors' meet, Sharma said, "I urge the investors' community to continue reposing their faith in Rajasthan and further galvanise the existing healthy partnership between India and Japan. The successful operations of about 50 Japanese companies at Neemrana Japanese Investment Zone in Rajasthan is evidence of the state's crystal-clear commitment to ease of doing business and improving the business climate. The launch of new investor-friendly policies such as MSME Policy, 'One District One Product' Policy, Data Centre Policy etc in the coming days will help the state emerge as a prominent sweet spot for investors and businesses." The delegation also met senior officials of Osaka-based Daikin Industries, a prominent investor in Rajasthan's Neemrana Japanese Investment Zone and took stock of its existing operations. The delegation deliberated on the company's future expansion in the state. Sharma was also apprised of the sustainable technological innovations that the company is spearheading during the delegation's visit to the Daikin Technology Innovation Center in Osaka. Subsequently, the delegation met representatives of Tokyo-based NIDEC Corporation and briefed the company officials about the instrumental business-friendly changes being incorporated by the government under the leadership of the Rajasthan Chief Minister. NIDEC officials noted the impressive strides being taken by the state towards creating the optimum business climate and assured that Rajasthan features at the top in the company's long-term scheme of things. Continuing his outreach with the Non-Resident Rajasthani (NRR), the Chief Minister met quite a few NRRs in Osaka and addressed the community members. He expressed happiness about their role in ushering in technological innovations in Japan and urged them to play the role of a bridge between Japan and Rajasthan, share their learnings of the Japanese culture and facilitate new businesses in the state. Expand your existing businesses in Rajasthan: CM to Japanese investors Post your comments Found this article helpful? Spread the word and support us! South African President signs new education bill into law S outh African President Cyril Ramaphosa has signed the Basic Education Laws Amendment Bill into law in Pretoria, the country's administrative capital. The bill is aimed at transforming the country's education system and enabling the government to tackle some of the issues that the education system has been grappling with, Xinhua news agency reported. "The signing of this bill marks an important step toward resolving longstanding challenges in our education system," Ramaphosa said on Friday. Though access to quality education has improved since the fall of the apartheid regime, the President added that more work is required to tackle the remaining barriers. "In many respects, education outcomes fall short of what our society needs and what the young people of our country deserve," he said. The bill's focus on foundation phase education and stricter penalties for corporal punishment has shown the government's commitment to creating a safer and more supportive learning environment. "Grade R, the reception year before Grade 1, will now be compulsory. This will enhance our focus on early childhood development," Ramaphosa added. The bill would also oversee the language and admission policies of South African schools to ensure an equitable education system. "We have seen learners being denied admission to schools because of their language policies. We have had cases of children not being allowed back to school or to sit exams because their parents cannot pay school fees," he noted. The bill's signing faced backlash from the opposition party, the Democratic Alliance (DA), which is part of the Government of National Unity. John Steenhuisen, the DA leader, threatened to walk away from the government if the bill were signed into law. Minister of Basic Education Siviwe Gwarube from the DA did not attend Friday's ceremony, saying that she had written to the President requesting the bill be sent back to the Parliament for reconsideration. Ramaphosa addressed such concerns in his speech, saying that he would be engaging with the parties in the next three months. "In the spirit of cooperation and meaningful engagement, I have decided to delay the implementation date for clauses 4 and 5 of the bill by three months. This will give the parties time to deliberate on these issues and make proposals on how the different views may be accommodated," said the President. The signing of the bill into law was welcomed by the South African Parliament. In a statement issued after the signing ceremony, Joy Maimela, chairperson of the Basic Education Committee in the Parliament, said the legislation has taken a long time to pass through the lawmaking process and aligns with the ongoing transformation agenda in the education sector. "The committee commends the President for signing into law this progressive piece of legislation that will positively change the landscape of schooling in South Africa. This is exactly what the country needs at this time," Maimela said. She also noted that the committee is aware of the language policy clause that President Ramaphosa has delayed for three months. "We look forward to the engagement on this issue but continue to call for the full implementation of the bill," she added. South African President signs new education bill into law Post your comments Found this article helpful? Spread the word and support us! Pope Francis slams Trump & Harris over what he calls 'anti-life' policies P ope Francis has slammed both US presidential candidates -- Donald Trump and Kamala Harris -- for what he called "anti-life policies" on abortion and migration, and advised American Catholics to choose the "lesser evil" in the upcoming US elections. "Both are against life, be it the one who kicks out migrants or the one who (supports) killing babies," Francis said on Friday. "Both are against life," Francis told reporters aboard his plane returning to Rome after a 12-day tour of Asia. Francis stressed that he is not an American and would not be voting. Neither the Republican candidate Donald Trump nor the Democratic candidate Kamala Harris was mentioned by name. But Francis nevertheless expressed himself in stark terms when asked to weigh in on their positions on two hot-button issues in the US election -- abortion and migration -- that are also of major concern to the Catholic Church. Francis has made the plight of migrants a priority of his pontificate and speaks out emphatically and frequently about it. While strongly upholding church teaching forbidding abortion, Francis hasn't emphasised church doctrine as much as his predecessors. He said that migration is a right described in scripture, and that anyone who doesn't follow the Biblical call to welcome the stranger is committing a "grave sin". He was also blunt in speaking about abortion. "To have an abortion is to kill a human being. You may like the word or not, but it's killing," he said. "We have to see this clearly." Asked though what to do at the polls, Francis recalled the civic duty to vote. "One should vote, and choose the lesser evil," he said. "Who is the lesser evil, the woman or man? I don't know." "Everyone in their conscience should think and do it," he said. It's not the first time Francis has weighed in on a US election. In the run-up to the 2016 election, Francis was asked about Trump's plan to build a wall at the US-Mexican border. Francis declared then that anyone who builds a wall to keep out migrants "is not Christian". In responding on Friday, Francis recalled that he celebrated Mass at the US-Mexico border and "there were so many shoes of the migrants who ended up badly there". The US bishops conference, for its part, has called abortion the "preeminent priority" for American Catholics in its published voter advice. Harris has strongly defended abortion rights. US President Joe Biden, an observant Catholic, shares Harris' strong support for abortion rights, a stance that prompted some Catholic bishops and other conservatives to call for him to be denied access to Communion. After meeting Francis in person at the Vatican in October 2021, Biden came away saying the pope told him he was a "good Catholic" and should continue receiving Communion. Francis, asked on previous occasions about some US bishops who want to deny Communion to Biden over his support for abortion rights, has said bishops should be pastors, not politicians. Pope Francis slams Trump & Harris over what he calls 'anti-life' policies Post your comments Found this article helpful? Spread the word and support us! US announces fresh sanctions on Russian state media for raising money for Moscow's troops in Ukraine T he US State Department on Friday announced fresh sanctions on Russian state media, accusing a Kremlin news outlet of working for the Russian military and running fundraising campaigns to pay for sniper rifles, body armour and other equipment for soldiers fighting in Ukraine, an official statement said. While the outlet, RT, has previously been sanctioned for its work to spread Kremlin propaganda and disinformation, the new allegations suggest its role goes far beyond influence operations. Instead, US Secretary of State Antony Blinken said that RT is a key part of Russia's war machine and its efforts to undermine its democratic adversaries. "RT wants its new covert intelligence capabilities, like its longstanding propaganda disinformation efforts, to remain hidden," Blinken told reporters. "Our most powerful antidote to Russia's lies is the truth. It's shining a bright light on what the Kremlin is trying to do under the cover of darkness." RT has also created websites posing as legitimate news sites to spread disinformation and propaganda in Europe, Africa, South America and elsewhere, officials said. They say the outlet has also expanded its use of cyber operations with a new unit with ties to Russian intelligence created last year. The sanctions announced on Friday target RT's parent organization, TV-Novosti, as well as a related state media group called Rossiya Segodnya and its general director Dmitry Kiselyov. A third organisation and its leader, Nelli Parutenko, were also sanctioned for allegedly running a vote-buying scheme in Moldova designed to help Moscow's preferred candidates in an upcoming election. Russia's Foreign Ministry spokeswoman Maria Zakharova suggested the sanctions against RT were unnecessary because it has already been sanctioned. "I think a new profession should appear in the United States -- a specialist in sanctions already imposed against Russia," she wrote on her Telegram channel. Russia's global propaganda work is receiving extra scrutiny in the months leading up to the US election. The crowd-sourcing effort ran on Russian social media platforms and sought to raise funds for military supplies, some of which were procured in China, officials said. There were no obvious connections between RT and the fundraising campaign, or any indication that Chinese officials knew their products were being sold to Russia. The list of supplies also included night-vision equipment, drones, radios and generators. RT's actions show "it's not just a firehouse of disinformation, but a fully fledged member of the intelligence apparatus and operation of the Russian government," said Jamie Rubin, who heads the State Department's Global Engagement Centre. Last week, the Biden administration seized Kremlin-run websites and charged two RT employees with covertly paying a Tennessee company nearly $10 million for its content. The company then paid several popular far-right influencers, whose content often mirrored Russian talking points. Two of the influencers said they had no idea their work was being supported by Russia. This summer, intelligence officials warned that Russia was using unwitting Americans to spread its propaganda by disguising it in English on sites popular with Americans. Officials say Russia seeks to divide Americans ahead of the election as a way of reducing support for Ukraine. Russia's influence operations also appear designed to support former President Donald Trump, who has criticised Ukraine and the NATO alliance while praising Russian President Vladimir Putin. US announces fresh sanctions on Russian state media for raising money for Moscow's troops in Ukraine Post your comments Found this article helpful? Spread the word and support us! PM Modi on Hindi Diwas highlights strength of 'Hindi Bhasha' P rime Minister Narendra Modi on Saturday while extending his wishes on Hindi Diwas stated that language is the instrument of expression, it cannot be the root. "The way there is consciousness in life, it lies in language as well," said PM Modi. Reflecting on his connection with the Hindi language, the Prime Minister said, "I sometimes think that if I did not understand Hindi language, how would I have reached out to people or connected with them? I know the strength of the Hindi language personally." He also paid tributes to several prominent leaders who played a key role in promoting Hindi, despite not being native speakers themselves. "In our country, the Hindi language movement was led by figures like Subhas Chandra Bose, Mahatma Gandhi, Lokmanya Tilak, Kakasaheb Kalelkar, and Rajagopalachari, whose mother tongue was not Hindi, yet they worked tirelessly for the protection, conservation, and promotion of the language. This gives us motivation," said PM Modi. The Prime Minister further highlighted the value of regional languages, saying, "In the form of the mother tongue, every state has priceless treasures. How to connect all of it? In that process, Hindi can serve as a suitable medium. Working on that can strengthen the Hindi language, and we can continue our efforts in that direction." Defence Minister Rajnath Singh also shared his thoughts on Hindi Diwas, commemorating the day when, on September 14, 1949, the Constituent Assembly of India adopted Hindi as the official language. Reflecting on the 75th anniversary of this decision, Rajnath Singh emphasised the role of Hindi in uniting Indian society. "From our freedom fighters to the nation's strategists, Hindi has been seen as a tool for promoting social unity," he said in a video posted on X. He also lauded the language's inclusiveness, noting, "Hindi's speciality lies in its abundance. It has absorbed words from many languages in an inseparable way. This quality stems from Indian culture and tradition, where every tradition has been followed and progressed in unity." The Defence Minister further acknowledged the efforts made to make Hindi more people-oriented but stressed that more work remains. "With the help of the government and societal efforts, Hindi is now becoming more people-oriented, but there is still much to be done. We must focus on making Hindi not just the language of the government but a global language," he added. West Bengal Chief Minister Mamata Banerjee also shared a message extending her wishes on Hindi Diwas saying, "We respect all languages. Since 2011, we have made many efforts for the uplift of Hindi-speaking people in the state." "From the establishment of the Hindi Academy to the creation of Hindi University and several Hindi colleges - these are achievements we are proud of," she remarked on X. PM Modi on Hindi Diwas highlights strength of 'Hindi Bhasha' Post your comments Found this article helpful? Spread the word and support us! Stalin returns to Chennai with Rs 7,600 crore investment from US T amil Nadu Chief Minister M. K.Stalin, who was on a 17-day US visit, returned to Chennai on Saturday morning after garnering investments totalling Rs 7,600 crore for the state. Stalin was received by his cabinet colleagues, DMK leaders and officials at the airport. He left for the US on August 17, accompanied by his wife Durga Stalin and a horde of officials. During his visit, Stalin met the officials of the US automobile major, Ford in Chicago, and the company was all set to re-enter Tamil Nadu, three years after exiting from the state. The company has submitted a Letter of Intent (LOI) to the State government, outlining its intention to utilise the existing plant. Ford decided to shut down its engine and vehicle assembling plant in Chennai in 2022. While announcing the company's intent to restart its operations in Tamil Nadu, Ford Motors' President Jim Farley said the company would add 2,500 to 3,000 team members in the next few years. She said that with the company's engine manufacturing team in Sanand and customer and dealer support team, India would be Ford's second-largest employee base worldwide. The state government has signed a Memorandum of Understanding (MoU) with American construction and engineering equipment manufacturing company Caterpillar to expand the operations of the US company in the state. The company will invest an amount of Rs 500 crore to expand operations in the Krishnagiri and Tiruvallur districts of the state. The state government in a statement said that the MoU to this effect was signed in the presence of CM Stalin in Chicago. After assuming office in May 2021, Stalin travelled to Japan, Spain and the United Arab Emirates (UAE) on business garnering trips before the US visit. Stalin returns to Chennai with Rs 7,600 crore investment from US Post your comments Found this article helpful? Spread the word and support us! Chinas advanced technologies support protection of endangered Yangtze finless porpoise 13:21, September 14, 2024 By Zhang Yiyi ( Global Times Yangtze finless porpoise. (Photo: Courtesy of the Institute of Hydrobiology, Chinese Academy of Sciences) In a light drizzle, two playful Yangtze finless porpoises darted through the waters of Xin-Luo Baiji National Nature Reserve, occasionally surfacing as they hunted for fish. The Global Times observed their rewilding process in Wuhan, Central China's Hubei Province. The Yangtze finless porpoise, famous for its playful smile and intelligence comparable to that of a 3-4-year-old child, is a small mammal native to China's Yangtze River. As a top-level protected species, it serves as a unique symbol of the river and a key indicator of its health. Balancing the economic development of the Yangtze River with the survival of endangered species remains a critical priority. "Overfishing has led to many porpoises getting trapped in nets, while the noise from boats disrupts their echolocation, making it difficult for them to find food," Wang Ding, president of the Wuhan Baiji Conservation Foundation (WBCF) and research professor at the Institute of Hydrobiology (HIB) at the Chinese Academy of Sciences, told the Global Times on Friday. Human activities have caused a sharp decline in the Yangtze finless porpoise population, leaving only around 1,012 individuals by July 2018. To combat this decline, conservation institutes have relocated some porpoises to safer areas for ex-situ conservation. In these controlled environments, they are trained to improve foraging skills and monitored for reproduction, before being reintroduced into the more complex waters of the Yangtze River. Resulting from a 10-year fishing ban in the Yangtze waters since 2021 and scientific measures, the population had increased to 1,249 in 2022, a 23.4 percent rise over five years, with an average annual growth rate of 4.3 percent, marking initial success in conservation efforts, according to official data. High-tech protection Advanced technologies play a crucial role in tracking the population and living conditions of the Yangtze finless porpoise. "Our monitoring system has been in place for 20 years, but intelligent detection technology has only been introduced in recent years," Wang told the Global Times on Friday. Wang noted the current intelligent monitoring system allows 24/7 automated surveillance, complementing traditional methods by enabling long-term monitoring of animal activity in specific areas. By accurately identifying porpoise vocal signals, the system analyzes their frequency and direction, providing essential data for research and conservation. Additionally, it can automatically deploy drones to monitor the animals when they surface, enhancing real-time observation and protection efforts, according to Wang. "The intelligent system first put in place in 2022 allows for more accurate monitoring of the porpoise population. We aim to make it smarter and expand its coverage," Hu Hongxia, head of the finance department at Tian'ezhou Nature Reserve, told the Global Times on Friday. High-tech equipment has also been developed to help the rewilding process of porpoises. "We fitted the rewilded porpoises with small, lightweight, detachable vests. Using satellite tracking and radio signals, we monitored their adaptation and integration after release. Within days, they showed no signs of discomfort and quickly joined the group," said Mei Zhigang, deputy secretary-general of the WBCF and associate researcher at HIB. Mei noted that because the vests can easily detach, they have implanted tiny, rice-sized microchips in the rewilded porpoises for long-term tracking. So far, four porpoises have been successfully released, marking a global first in rewilding an endangered aquatic mammal and showcasing China's unique contribution to biodiversity conservation. Digital support In addition to high-tech monitoring, raising public awareness about porpoise conservation through digital outreach is equally important. The Wuhan government, in collaboration with other agencies and businesses, launched a digital Yangtze porpoise IP, created the "iPorpoise" WeChat mini-program, and produced porpoise-themed merchandise. The live stream of the Yangtze finless porpoise "Xiao Jiujiu" giving birth in 2022, co-hosted by the WBCF and Xinhua, garnered over 220 million views, while the global naming campaign for "Hanbao" attracted 100 million participants. These events highlight the growing public interest in Yangtze porpoise conservation, showcasing how digital platforms can effectively engage and inspire support for endangered species. China's tech giant, Lenovo, officially announced on Thursday that it has adopted a female Yangtze finless porpoise undergoing rewilding training, named No. 1575, making it the first company to adopt a porpoise. Lenovo unveiled a digital avatar and merchandise inspired by the Yangtze finless porpoise "No. 1575" and, in collaboration with relevant government agencies and businesses, developed a soon-to-be-released digital "Porpoise Tracking Map". Liu Wei, director of Smart Devices and Solutions at Lenovo Research, said the initiative aims to raise public awareness of endangered species, promoting conservation efforts and shifting the focus from ex-situ conservation to the broader goal of restoring wild populations. Lenovo will apply AI technology to monitor and study the Yangtze finless porpoise, offering data to support rewilding and habitat restoration efforts. Their smart devices are also helping teams work more efficiently, Liu said. Balancing economic development, technological progress, and environmental harmony has been a long-standing challenge for humanity. "We will continue to prioritize a people-centered approach, exploring advanced technologies that promote harmony with nature. As a tech company, we are committed to contributing to biodiversity conservation and improving the well-being of society," Liu said. In early 2024, the Ministry of Ecology and Environment released the China Biodiversity Conservation Strategy and Action Plan (2023-2030), making biodiversity protection a national priority. In August, four ministries issued the 2023 Report on Aquatic Resources and Habitats in the Yangtze River Basin. Ministry of Agriculture and Rural Affairs emphasized the urgency of protecting endangered species in the Yangtze River. The greater collaboration between the government, research institutions, and businesses is essential to safeguarding these vulnerable species like the Yangtze finless porpoises. (Web editor: Tian Yi, Xian Jiangnan) News / National by Staff reporter The moment Cyril Ramaphosa's Deputy Paul Mashatile collapsed during an event in Limpopo. pic.twitter.com/ykh667BA8H Bulawayo24 News (@Bulawayo24News) September 14, 2024 Deputy President Paul Mashatile was rushed to hospital on Saturday afternoon after fainting on stage, according to a report.State broadcaster, SABC News reported that Limpopo Premier, Dr Phophi Ramathuba, said Mashatile is fine after the collapse.Mashatile was addressing N'wamitwa Day in Tzaneen in Limpopo. He was addressing the day in his capacity as chairperson of the Presidential Task Team and was speaking about Traditional and Khoisan leaders.The public should not be alarmed by the situation, Ramathuba said.The SABC reported that she suspected it was heat exhaustion that could have led to the collapse.She did not reveal where Mashatile was taken for treatment after the collapse, according to the report..He was taken off the podium by security officers and emergency officials, the public broadcaster reported.IOL has reached out for official comment, and the story will be updated once received.This is a developing story. PM Modi to flag off 6 Vande Bharat trains during Jharkhand visit P rime Minister Narendra Modi is scheduled to visit Jamshedpur in Jharkhand, on Sunday, as part of his trip to the poll-bound state. During the visit, he will flag off six Vande Bharat trains and inaugurate or lay the foundation of various development projects worth Rs 21,000 crore. The Vande Bharat trains will operate between Berhampur-Tata, Rourkela-Howrah, Deoghar-Banaras, Howrah-Gaya and Howrah-Bhagalpur. The PM will then participate in a public meeting organised by the BJP at Gopal Maidan in Jamshedpur. BJP leaders and cadres are working to make it a historic event. The Prime Minister will also hold a road show there. Union Agriculture Minister Shivraj Singh Chouhan said, "Prime Minister Narendra Modi will arrive in Jharkhand on September 15. The Centre has approved homes for 1,13,400 people who have been living in temporary shelters under the PM Awas Yojana. "The Union government has already sent its share of funds to the state government. The Prime Minister will release the first instalment for the construction of their homes." PM Modi will stay in Jharkhand for about six hours. He will reach Birsa Munda Airport in Ranchi at 8:45 A.M. on Sunday. From there he will go to Jamshedpur by helicopter and then reach Tatanagar Railway Station from Sonari Airport. Tight security arrangements have been made for the Jharkhand visit of the PM. More than 3,000 police officers and jawans have been deployed for PM Modi's security. Senior IAS and IPS officers have been given responsibility by the Cabinet Secretariat and the Monitoring Department to ensure protocol and security for the Prime Minister's programme. Railway Minister Ashwini Vaishnaw is arriving a day earlier on Saturday to take stock of the preparations for PM Modi's visit. He will first hold a meeting with railway officials in Ranchi, after this, he will go to Jamshedpur by special train. It is widely anticipated that the PM's visit will energise the BJP's election campaign in Jharkhand. PM Modi to flag off 6 Vande Bharat trains during Jharkhand visit Post your comments Found this article helpful? Spread the word and support us! Then and now: PM Modi's fondness for cows remains the same A s Prime Minister Narendra Modi on Saturday shared the heart-warming visuals of a new member 'Deepjyoti' joining him at his official residence, the social media went buzzing as many netizens shared their utter joy while others posted their remarks on the adorable video. While pictures and videos of PM Modi embracing and hugging the newborn calf win over the internet, the Modi Archive has shared an old and undated photograph of Narendra Modi spending some relaxed moments with a cow. "Some things never change," the popular X handle said in the caption, spotlighting the affinity and fondness that the Prime Minister has towards the 'Gaumata'. In the undated image, PM Modi in his younger days is seen caressing the cow along with some of his colleagues. Meanwhile, the adorable video of PM Modi with the newly born calf, which he shared an hour ago, has gone viral on social media. In the video, PM Modi can be seen welcoming the calf into the Pradhan Mantri Awas family and offering prayers to her and Maa Bhagwati. He was seen cuddling the calf and taking her for a stroll on the lawn of 7 Lok Kalyan Marg (LKM). He was also seen planting gentle kisses on her forehead. The two pictures, one of today and the other of years ago, signify a common message i.e. PM Modi's love for the beloved mother cow. Notably, cows symbolise motherly love and are regarded as sacred animals in Hinduism. PM Modi has never shied away from flaunting his religious beliefs and is known to take pride in its rich practices including devotion to the Mother Cow. In January this year, on the occasion of Makar Sankranti, PM Modi was seen feeding cows at his official residence - 7 LKM. The pictures which then took the social media by storm, showed PM Modi surrounded by many cows. Notably, according to Hindu tradition, providing grass to cows during Makar Sankranti yields benediction. Then and now: PM Modi's fondness for cows remains the same Post your comments Found this article helpful? Spread the word and support us! Foreign diplomats highlight Hindi's rising global prominence and recognition S everal foreign diplomats posted in India extended their warm greetings on Hindi Diwas on Saturday, highlighting the richness and cultural value of Hindi and Indian languages. The Australian High Commission in India shared a video of its diplomat, Tom who spoke in Hindi and shared his experience of how learning the language has eased his stay in India. "Namaste! My name is Tom and I am a Hindi-language diplomat at the Australian High Commission in Delhi. Knowing regional language has helped me a lot in my work in India," the diplomat said in a video posted on X. The video showed the diplomat, requesting a meeting between the Australian High Commissioner and a Union Minister while having a conversation in Hindi. In the clip, he also hummed a Hindi song and interacted with the canteen staff and an auto driver in Hindi. The French Embassy in India also shared a video of their new spokesperson, Orlic who praised India's rich-unique cultural diversity and said that he is learning Hindi to connect better with the locals. "Namaste! I am the new spokesperson of the French Embassy in India and also the head of the Press and Communications department of the French Embassy in India. I wish all Hindi speaking people on the occasion of Hindi Diwas," Orlic said in the video. "I look forward to meeting and interacting with you virtually and in person. I have started to learn Hindi to better connect with you., but we will also communicate in other Indian languages in celebration of India's rich and unique cultural diversity. See you soon. Namaste," he said. The Israeli Embassy also shared a video of their diplomats trying their hand at some famous dialogues from Hindi movies and series. Some of the dialogues featured in the meeting were "Bol wo rahe hain, par shabd humare hain" from '3 Idiots', "rehne do tumse nah ho payega" from 'Gangs of Wasseypur' and "wo stree hai, kuch bhi kar sakti hai" from 'Stree'. The British High Commission in India also extended greetings on the occasion of 'Hindi Diwas'. "UK-India relations are important," the British High Commission in India posted on X. The language of all the latest news highlighting the UK-India bilateral relations and milestones achieved was in Hindi on the High Commission's website on Saturday. Embassies of Lithuania and Ukraine also extended greetings on Hindi Diwas with their diplomats reciting 'Agneepath' - a famous poem of Harivansh Rai Bachchan - in a video posted on X by the Embassy of Lithuania to India. Foreign diplomats highlight Hindi's rising global prominence and recognition Post your comments Found this article helpful? Spread the word and support us! Download Now The News-Gazette mobile app brings you the latest local breaking news, updates, and more. Read the News-Gazette on your mobile device just as it appears in print. News / National by Staff reporter The Economic Freedom Fighters (EFF) secretary-general Marshall Dlamini has called for the introduction of strict regulations of non-profit organisations (NPOs) in the country.This, after the Ukrainian Association of South Africa (UAZA) celebrated Ukrainian Flag Day a few days ago, and used former President Nelson Mandela's monument to rally for support.In an exclusive interview with The Star, Dlamini described UAZA's act as arrogant and disrespectful."The arrogance displayed by Ukrainian Association of South Africa (UAZA) recently must be condemned. The EFF is an anti-imperialist, anti-racist organisation. So we don't associate with anything that is NATO-aligned and NATO-sponsored, because we define those organisations as imperialist organisations."So anything that is UK, US-funded, including Ukraine, we don't associate with them because they exist to undermine the sovereignty of other countries. So the arrogance that was displayed by this UAZA; it's what imperialist countries do."They come in and undermine the sovereignty of other countries so disrespectfully come display their flags on the on the statue of an icon such as Nelson Mandela," the red beret's secretary-general added.He said Mandela was just not an ANC president but was someone who stood against apartheid, adding that some of the leaders around the world saw him as a symbol of defiance against apartheid."We know who are the apartheid beneficiaries in this country. It's the Democratic Alliance (DA). We are not friends of these associations and these Ukrainian NPOs."And we saw that even the president or the leader of the Democratic Alliance was the first one to go to Ukraine. And what is currently happening on the borders of Ukraine and Russia. Russia is doing what every country must do to protect its own territory."Because, naturally, NATO is using that Ukrainian border entrance to try and undermine the independence and the sovereignty of Russia."So, we disagree with it, but we know we're living in a country where the apartheid beneficiaries are the government now, under this Government of National Unity (GNU), through the ANC. You see them; remember, these are people that are Nazi supporters."We just came out of Parliament and voted for the removal of Israeli Ambassador. The people who defended the (ambassador) is the Democratic Alliance."Dlamini emphatically stated that the EFF condemned the existence of the GNU, saying that he believed that there must be some legislation that sought to control the MPs that were "running all over the world and coming to undermine the vulnerabilities of other countries".On the GNU and whether the ANC would implement its policies or amend it, Dlamini said he didn't believe that the ANC would implement its policies, saying that it existed as a "shell"."They are controlled by the same funders that control the DA. So DA is going to have their own influence, because you still have the ANC that was pro-Palestinian, pro-Russian."We are not going to allow this nonsense that is done by these NGOs from Ukraine. But because themselves; they are a shell of the former ANC. What exists now is that individual who has sold out everything that the ANC believed in during the times of apartheid."So we're not sure that they are in control. These NGOs are running the show now. That is why they can come and it's something that must worry all of us as South Africans; that NGOs like this that come and make sure that they continue to advocate for imperialist nations to come and undermine the sovereignty of countries."And undermine even the programme that we all should be fighting for, which is for Africans to unite the African continent. And we know that the DA is not for that."So the ANC must not allow foreign interests to define the national agenda."That's why, even when they were given an opportunity to go with progressive parties that are pro-black, they went straight to the DA. They called the DA to go into government and said, we want to hand over everything to you. And DA is in charge now," he added.Dlamini's utterance against NGOs follows the speech by MK party Northern Cape leader, Raseriti Papi Tau. He said South Africans needed to protect its sovereignty as a state. He rejected efforts to associate former President Nelson Mandela's name with Ukrainian flag."In an unfortunate incident that may be viewed as an opportunistic attempt to rewrite history, the UAZA, a non-profit organisation based in South Africa, celebrated Ukrainian Flag Day in a big way. So much so that Nelson Mandela's monument was wrapped in yellow and blue cloth."Nevertheless, such activities must be within the framework of respect and dignity. To many South Africans, it came as a shock to have noted the manner in which the UAZA celebrated the National Flag Day of Ukraine."With all respect accorded to them, I found it very disrespectful and of great distaste for them to have chosen to cover the statue of our icon, Father of the Nation, with the Ukrainian flag," Tau said at the time.He said the NGO's actions may be viewed as an attempt to rewrite history. It was widely known that the peoples and government of Russia, with whom Ukraine was involved in a bloody war, were among the few friends of the peoples of South Africa in the war to liberate itself against "white supremacy"."It must also be remembered that local supporters of Ukraine include the racist Democratic Alliance. This begs the question why the suspicious Ukraine organisation didn't choose to drape DA heroes with their flag? Such blatant opportunistic tendencies must be condemned with the contempt it deserves."I am sure that this sacrilege took place without the authorisation of the relevant personnel. I am not sure that Mandela himself would be happy to live next door to a girl whose T-shirt bears the name of the Ukrainian Armed Forces, who openly cooperate with the CIA and support terrorists in Africa like the recent activities in Mali," he said.He added that Ukrainians showed their approval of the terrorist act in Mali which resulted in the death of Malian forces and Russian instructors."Madiba would have never supported an imperialist Nato programme. It is now clear that with the help of so-called refugees from Ukraine, the seeds of colour revolutions are being brought to Africa," Tau commented. Biotechnological pesticides are a promising alternative to traditional chemical pesticides. But we have limited knowledge of how toxic they are to other organisms in the environment beyond regulatory assessments. A new research centre will now work to provide this knowledge especially to ensure the EU has a chance of joining the growing market for biotechnological pesticides. As for now, Europe has failed to keep up. If a thing kills something, we need to know how it kills, and who and what else it may kill." Professor Nina Cedergreen of the University of Copenhagen's Department of Plant and Environmental Sciences She is referring to biotechnological pesticides, or more specifically, pesticides that consist of all-natural RNA and peptide molecules designed to combat diseases and pests in agricultural crops which make use of cutting-edge biotechnologies. In some countries, they are classified as biopesticides and are believed to be less of a threat to the environment and public health than conventional chemical pesticides, which there is a political ambition to cut back on. No RNA or peptide products have yet been approved in the EU, however, they are gaining traction in the rest of the world. "Biotechnological pesticides show promise to make ever-increasing global food production less dependent on chemical pesticides. Manufacturers claim that biotechnological pesticides are environmentally safe because they are based on natural biology. The fact is that these are toxic substances that kill pests and diseases, none-the-less we are only starting evaluating their environmental impact. That's what we'll be trying to move forward," says the professor. Cedergreen heads ENSAFE, a large new research centre that the Novo Nordisk Foundation has funded with DKK 60 million (8 million). In addition to Cedergreen, the research consortium consists of Jan Gorodkin from the Faculty of Health Science at the University of Copenhagen, Jeppe Lund Nielsen from Aalborg University, and David Spurgeon and Helen Hesketh from UK Centre for Ecology & Hydrology. Together they will provide evidence-based knowledge about the risks of both RNA and peptide-based biopesticides. A threat to ladybugs and possible allergens? RNA-based pesticides work by switching off identifiable genes in specific insect pests, viral or fungal diseases, which causes them to die or become unviable. "Today, we don't know whether RNA pesticides only kill the pests we target, as there is little public data available on how they affect beneficial insects and other helpful critters. For example, how can we know if a toxin only affects Colorado beetles and not ladybugs? Our hypothesis is that there must be related animals that are sensitive to RNA agents as well. This is a hypothesis that we'll be setting out to test," says Nina Cedergreen. Peptide-based pesticides work by mitigating specific enzymes in pests or microorganisms that cause plant diseases. Peptides can for example be hormones or defense compounds. Insulin in humans and spider venom are examples of peptides. "While peptides are natural compounds, we know that most human allergies are actually triggered by peptides, including pollen and soy allergies. So what and how much does it take for peptides to trigger the immune system of other organisms than humans? These are among the questions that we'll need to answer," says Nina Cedergreen. The researchers aim to answer two overarching questions: To what extent can humans and organisms be exposed to biotechnological pesticides when they are used as directed for agriculture? And, to what degree are these amounts toxic? "By acquiring this knowledge, we'll be able to assess the overall risk of a pesticide. If a toxin disappears quickly from the environment, the risk to both humans and the environment tends to be relatively limited, as we won't be exposed to it. However, it is well known that peptides, for example, can take quite a long time to break down. So will any toxins from the field remain in our foodstuffs when they reach the supermarket? This is what we need to know," says the professor. Europe lags far behind Authorities in many countries have adapted their approach to biopesticides, where several products are already in use, including in the United States, South America and Asia. The situation is different in the European Union. Regardless of whether a plant protection product is natural or a synthetic chemical, pesticides must go through the same restrictive approval process in the EU; a process that typically takes 5-10 years and costs applicants roughly 45 million. However, the problem isn't just that the approval system in the EU is slow and expensive it is also not geared to the new biotechnological pesticides. Professor Cedergreen explains "The European approval system is tailored specifically for chemical pesticides, leading to some odd contradictions. For instance, the system may require you to provide a boiling point for a substance made up of living microbes, which clearly doesn't apply. This highlights how certain aspects of the current approval process simply don't make sense. She points to the time horizon as another critical obstacle: "Many companies are currently developing biotechnological pesticides. Start-ups, however, cannot afford to wait a long time before they know if they have a market and can begin making money. That's why they look beyond Europe, which leaves us behind," says Nina Cedergreen, continuing: "This is the dilemma Europe is facing. We want to be careful about what we spray onto our food and feed. But it is unwise if we are so restrictive that we miss out on the biotechnological development booming around the world. Such developments can potentially produce better and less environmentally harmful plant protection products which ultimately will replace chemical pesticides." The ambition of the new research center is therefore to develop the tools necessary for the EU to efficiently assess the environmental risks of various biopesticides. "We need to create the knowledge we lack to regulate new biotechnological plant protection products in a safe way, as well as in a way that is smarter and faster than the process that chemicals currently need to go through in the EU," concludes Professor Cedergreen. Without immediate action, humanity will potentially face further escalation in resistance in fungal disease, a renowned group of scientists from the across the world has warned. The commentary - published in The Lancet this week - was coordinated by scientists at The University of Manchester, the Westerdijk Institute and the University of Amsterdam. According to the scientists most fungal pathogens identified by the World Health Organisation - accounting for around 3.8 million deaths a year - are either already resistant or rapidly acquiring resistance to antifungal drugs. The authors argue that the currently narrow focus on bacteria will not fully combat antimicrobial resistance (AMR). September's United Nations meeting on antimicrobial resistance (AMR) must, they demand, include resistance developed in many fungal pathogens. Devastating health impacts Resistance is nowadays the rule rather than the exception for the four currently available antifungal classes, making it difficult - if not impossible to treat many invasive fungal infections. Fungicide resistant infections include Aspergillus, Candida, Nakaseomyces glabratus, and Trichophyton indotineae, all of which can have devastating health impacts on older or immunocompromised people. Dr. Norman van Rhijn from The University of Manchester coordinated the comment with Professor Ferry Hagen from the University of Amsterdam and the Westerdijk Institute in the Netherlands. Most people agree that resistant bacterial infections constitute a significant part of the AMR problem. However many drug resistance problems over the past decades have also been the result of invasive fungal diseases largely underrecognized by scientists, governments, clinicians and pharmaceutical companies. The threat of fungal pathogens and antifungal resistance, even though it is a growing global issue, is being left out of the debate." Dr. Norman van Rhijn, The University of Manchester Unlike bacteria, the close similarities between fungal and human cells which, say the experts, means it is hard to find treatments that selectively inhibit fungi with minimal toxicity to patients. Back to square one Professor Ferry Hagen added: "Despite the huge difficulties in developing them, several promising new agents including entirely new classes of molecules, have entered clinical trials in recent years. But even before they reach the market after years of development, fungicides with similar modes of action are developed by the agrochemical industry resulting in cross-resistance. That sets us back to square one again. It is true many essential crops are affected by fungi, so antifungal protection is required for food security. But the question is, at what price?" The scientists recommend: Worldwide agreement on restricting the use of certain classes of antifungal molecules for specific applications. Collaboration on solutions and regulations that ensure food security and universal health for animals, plants, and humans. Adding priority to AMR to fungal infections at the UN's meeting in September. On September 13, 2024, Huntsman Cancer Institute at the University of Utah (the U), the National Cancer Institute-designated cancer center for the Mountain West, celebrates 25 years of groundbreaking cancer research, education, and patient care. Since opening its doors in 1999, Huntsman Cancer Institute has made significant contributions to cancer prevention and treatment, saving lives and expanding its reach to patients from six continents. Huntsman Cancer Institute has grown exponentially, now encompassing over one million square feet of state-of-the-art facilities. With five major expansions, a mobile cancer screening program, and collaborations with hospitals across five neighboring states, Huntsman Cancer Institute's impact reaches far beyond Utah, touching communities in rural and underserved regions. "Over the past 25 years, Huntsman Cancer Institute has become a beacon of hope and scientific discovery in eradicating cancer," says Peter Huntsman, chairman and CEO of Huntsman Cancer Foundation. "As we look to the future, our vision is clear to continue expanding our impact in the global work of ending cancer." Huntsman Cancer Institute scientists have identified more genes for inherited cancers than any other cancer center, making it a leader in genetic cancer research. The cancer center is dedicated to addressing care disparities, particularly in rural or frontier counties where patients are 10% more likely to die from the disease. Innovative programs like mobile screening programs and expanded access to clinical trials aim to close the gap. A first-of-its-kind study by Huntsman Cancer Institute researchers recently highlighted unique cancer challenges faced by residents in rural and frontier areas of the Mountain West. This research will guide future outreach and prevention efforts. Huntsman Cancer Institute is committed to cultivating the future of cancer research and care through education and training. The program recruits high school and undergraduate students from Utah and the Mountain West, providing hands-on research experience. The PathMaker Bridge program supports science teachers, equipping them with the knowledge to inspire the next generation. We are truly very fortunate to have one of the top cancer programs in the nation. Not only are the findings by Huntsman Cancer Institute researchers and physicians advancing cancer prevention and care beyond what is available today, but also training students to ensure the impact of this work will be sustained for generations to come." Taylor Randall, president of the U Community partnerships play a vital role in advancing Huntsman Cancer Institute's mission. The Center for Health Outcomes and Population Equity at Huntsman Cancer Institute received a grant from the National Cancer Institute. This work, in partnership with Montana State University and the National Cancer Moonshot, targets poverty as a root cause of cancer-related health inequities. Huntsman Cancer Institute offers a pioneering patient navigation service to guide patients through the complexities of the health care system. This free service is tailored to support cancer patients from various backgrounds, including those in rural and frontier communities, ensuring they receive effective care, regardless of their location or circumstances. Huntsman Cancer Institute's largest investment to date is a second comprehensive cancer campus in Vineyard, Utah. The new facility will expand access to world-class cancer care for hundreds of thousands of Utahns and residents in neighboring states, meeting the needs of the region's rapidly growing population. "As we reflect on a quarter-century of groundbreaking work, we are also excited to embrace the future with continued dedication to life-saving discoveries to end cancer as we know it," says Mary Beckerle, PhD, CEO of Huntsman Cancer Institute and Jon M. Huntsman Presidential Endowed Chair at the U. "Our next step is to deepen our impact in the Mountain West through our Vineyard comprehensive cancer campus, which will address the record-setting population growth in the region, extend our reach to more communities, and advance exciting new domains of cancer discovery and healing thanks to collaboration with local clinicians, students, academic institutions, businesses, communities, and much more." As Huntsman Cancer Institute celebrates its first 25 years, we look forward to a future free from cancer, with unwavering dedication to scientific discovery, cutting-edge treatment, and compassionate care. A drug that delivers chemotherapy directly to tumors has shown impressive activity against some of the hardest-to-reach cancer cells: those that have spread to the brain in patients with advanced HER2-positive breast cancer. The findings, from an international clinical trial led by Dana-Farber Cancer Institute researchers, reinforce earlier findings of the benefits of the drug trastuzumab deruxtecan (T-DXd), an antibody-drug conjugate in these patients, trial leaders say. The results of the trial, dubbed the DESTINY-Breast12 study, were presented today at the European Society of Medical Oncology (ESMO) Congress 2024 in Barcelona, Spain, and published simultaneously in a paper in the journal Nature Medicine. The findings point to T-DXd as a valuable new treatment option for patients with a particularly challenging form of cancer, researchers say. "As many as half of patients with HER2-positive breast cancer develop brain metastases, which often has a poorer prognosis than breast cancer that hasn't spread to the brain," says Nancy Lin, MD, leader of the trial and senior author of the study in Nature Medicine. Lin is the associate chief of the Division of Breast Oncology, Dana-Farber, Susan F. Smith Center for Women's Cancers, and the director of the Metastatic Breast Cancer Program. Localized therapies such as surgery, radiosurgery, and radiation therapy to the brain, are used to treat brain metastases, but the disease usually progresses in the central nervous system the brain and spinal cord within six to 12 months of treatment. Trastuzumab deruxtecan consists of the drug deruxtecan a chemotherapy agent linked to an antibody that targets the HER2 protein on breast cancer cells. Trastuzumab itself is a mainstay treatment of HER2-positive breast cancer that has spread to other parts of the body, including the brain. But as with treatments directed specifically at the brain, patients receiving trastuzumab usually have their disease progress, often in the central nervous system. Additional systemic therapies for patients with brain metastases are urgently needed." Nancy Lin, MD, leader of the trial and senior author of the study The DESTINY-Breast12 trial involved 504 patients with HER-2 positive breast cancer treated at 78 cancer centers in Western Europe, Japan, Australia, and the U.S. Two hundred sixty-three participants had active or stable brain metastases and 241 had no brain metastases. All had received at least one therapy before enrolling in the trial. After a median follow-up of 15.4 months, progression-free survival of participants with brain metastases the length of time patients lived with the cancer before it worsened was a median of 17.3 months, investigators found. 12- month progression-free survival was 61.6%. Seventy-one percent of participants had an intracranial objective response a measurable decrease of their cancer in the central nervous system. As expected, there was also a high rate of response in tumors outside of the central nervous system in patients with or without brain metastases. Ninety percent of patients in both groups were alive a year after beginning T-DXd treatment. The side effects associated with T-DXd were consistent with those reported in previous studies and included nausea, constipation, neutropenia (low levels of a type of white blood cells), fatigue, and anemia. Interstitial lung disease (ILD), a known risk of T-DXd, was observed at similar rates to prior studies, and vigilance to this potentially fatal side effect remains critical. "Our data show that T-DXd has substantial and durable activity within the brain in patients with HER2-positive breast cancer that has metastasized there," Lin says. "These results support the use of the drug going forward in this patient population." Palliative care aims to improve the quality of life of patients and their families facing problems associated with life-threatening illnesses. The World Health Organization (WHO) reports that each year, an estimated 56.8 million people, including 25.7 million in the last year of life, need palliative care. The global need for palliative care will continue to grow due to the aging of populations and diseases. In addition, by 2060 an estimated 48 million people will die each year with serious health-related suffering such as cardiovascular diseases, cancer, chronic respiratory diseases, AIDS, and diabetes. Palliative care is explicitly recognised as a human right. Even with the fast-paced advancements in 20th-century medicine, palliative care didn't emerge until the late 1960s and still gets overlooked by many healthcare systems. Though it's now recognised, its growth is hampered by legislative and administrative obstacles. To tackle these issues the Council of Europe, WHO, and the United Nations have addressed these problems and established international standards and recommendations to improve palliative care worldwide. Education is key Health systems across the globe should prepare for the age-related increase in deaths from chronic diseases, by focusing on integration and boosting palliative care education. Many students do not feel prepared enough to provide the expected support to families, on top of ethical challenges that may occur. Teaching palliative care demands a culturally sensitive and inclusive curriculum, a specific mix of skills and expertise, and innovative teaching methods. European recommendations exist for undergraduate medical, nursing, psychology, and social work education and postgraduate training in medicine. Such regularly updated recommendations are essential to influence national policymakers and other key stakeholders on the importance of palliative care education. In practice, such curriculum recommendations must be culturally appropriate or adjusted according to national regulations, healthcare systems' ability to make palliative care accessible, and societal needs. Introducing CODE-YAA@PC-EDU In response to an age-related increase in deaths from chronic diseases, the CODE-YAA@PC-EDU COST Action was set for integration and improvement of education and training in palliative care. COllaboratively DEveloped culturallY Appropriate and inclusive Assessment tool for Palliative Care EDUcation aims to set quality indicators to establish a gold standard for high-quality palliative care education and training. The network from 31 countries will measure, explore, and promote access to palliative care education. Learning the skills to care for individuals with life-limiting health-related suffering is crucial for all health and social care professionals, regardless of their specialty. This is why the goal of this COST Action is to establish quality indicators for exceptional education and training in palliative care. "Enabling access to high-quality palliative care education guarantees societies worldwide that people can live better lives until their death", Prof Piret Paal, Chair of CODE-YAA@PC-EDU Gold standards Ultimately, CODE-YAA@PC-EDU aims to establish a universal gold standard for palliative care education and training, to prevent or minimize health-related suffering and ensure optimal access to palliative care as a primary service. Current advancements in palliative care education and training are systematically gathered. CODE-YAA@PC-EDU will serve as a valuable resource for national agencies, providing a benchmark for data collection and evaluation. The Action is expected to provide new tools to inform leadership priorities and evidence-based decision-making related to palliative care education and training. The CODE-YAA@PC-EDU network hopes to become a valuable resource for Member States to develop palliative care in line with the WHO Resolution. By gathering accurate data and ethically sound evidence, the network will enhance access to palliative care education and training for all health professionals, addressing one of the biggest barriers to universal palliative care access. Palliative care significantly reduces healthcare expenditure, with early consultations leading to greater cost savings than those in the final week of life. Increased palliative care support is particularly crucial for conditions such as multi-morbidity, chronic progressive diseases, and diseases with complex symptoms. Menopause is a natural life transition occurring when many women are at the "top of their game." Unsupported menopause symptoms drive up employer healthcare costs and cause roughly $1.8 billion in missed workdays. To help employers retain these valued workers and build cultures of well-being, The Menopause Society launched Making Menopause Work based on new science-based Consensus Recommendations. The Recommendations are published online in Menopause, the journal of The Menopause Society. "More employers-;from large corporations to small organizations-;are supporting workers during menopause," Dr. Stephanie Faubion, medical director of The Menopause Society and director of the Mayo Clinic Center for Women's Health, said today at The Menopause Society's Annual Meeting in Chicago. "But more menopause-supportive workplaces are urgently needed," Faubion continued. "Women ages 50 and older are the fastest-growing demographic group, making essential contributions to society, families, communities, and the paid and unpaid workforces. This is a moment of tremendous opportunity." The Menopause Society builds on its 35-year, science-based track record with the creation of Making Menopause Work. The program-;which includes a free Employer Guide, an assessment, planning tools, and other resources, with an employer designation program to come-;incorporates recommendations based on new scientific consensus recommendations from a multidisciplinary panel of leading medical, legal, and human resource experts. Employers need to take menopause symptoms seriously and also know that they're manageable and temporary. Making Menopause Work is a smart, strategic move for employers. It safeguards workers' opportunities for leadership and financial security. It retains workers and productivity. And it builds a multigenerational workplace where midlife employees hold institutional knowledge, bring calm under stress, and make wise decisions." Jill K. Bigler, labor attorney at Epstein Becker Green and member of the advisory panel for the Consensus Recommendations Understanding menopause and creating menopause-responsive workplaces Menopause, the end of menstrual periods, usually occurs between ages 45 and 55; although perimenopause can start as early as age 35. Symptoms are different for each person. For some, periods become irregular and then stop. Others may experience hot flashes, difficulty sleeping, memory problems, mood disturbances, vaginal dryness, or weight gain. The Society's Consensus Recommendations cite a survey by the Society for Women's Health Research showing that two out of five people had considered looking for or had found a new job because of menopause symptoms. Not only do employers risk losing talent and revenues when they ignore menopause, they also face greater costs for healthcare as well as the cost of replacing and training workers. Creating a supportive workplace culture is the first step in turning these numbers around. The Employer Guide supports employers, managers, and supervisors to do this, including opening conversations for those who want it, understanding how to hear and support people's needs, and recognizing menopause as a normal part of life for half the population. From there, the Employer Guide helps employers update policies, benefits, and environments, including offering the following: Health insurance plans that include adequate and affordable coverage for menopause-related care Access to adequate bathrooms and flexible breaks to use them-;vital for people with heavy or unpredictable bleeding Improved ventilation and updated uniforms with breathable, flexible fabrics-;a game changer for people experiencing hot flashes Quiet work environments and flexible deadlines, which improve focus for people experiencing insomnia, anxiety, or brain fog Peer support networks, employee resource groups and employee assistance programs, which can help people know they're not going through menopause alone Employers or employees interested in learning more about this important initiative should visit menopause.org/workplace. Concerns about the environmental impact of healthcare decisions rarely enter into conversations between patients and physicians. However, evidence from a new study led by researchers at Dana-Farber Cancer Institute, shows there's broad interest in changing that. In a series of focus groups conducted in different areas of the United States, doctors and patients expressed openness to considering environmental factors when discussing treatment options. The findings, presented in a paper published online today by Nature Climate Change, suggest that educating physicians about the environmental costs of treatment and how those costs may be reduced while continuing to deliver excellent care can be a first step toward that goal. "Studies have shown that the U.S. healthcare industry is responsible for 8.5% of national greenhouse gases emissions and about 25% of healthcare emissions worldwide," said Andrew Hantel, MD, a faculty member in the Divisions of Leukemia and Population Sciences at Dana-Farber Cancer Institute who led the study with Dana-Farber colleague Gregory Abel, MD, MPH. "The downstream health consequences of these emissions are responsible for the same level of loss of life as pancreatic cancer or colon cancer every year. "If healthcare emissions are contributing to climate change that is resulting in this level of harm, we wanted to assess if and how physicians view their responsibility to address this issue," he continues. "We also asked patients how willing they would be to make changes in their care that might reduce emissions and limit harm to others." Researchers conducted seven focus groups three made up of physicians, four of patients involving 46 people in all. Patients, on the whole, were interested in talking about these issues and learning about treatment alternatives that are equally effective but less damaging to the environment. Asthma or COPD [chronic obstructive pulmonary disease], for example, can be managed in part using powdered or aerosolized inhalers. For many patients they're equally effective medications, but powdered inhalers have significant environmental benefits." Andrew Hantel, MD, faculty member, Divisions of Leukemia and Population Sciences, Dana-Farber Cancer Institute Roughly two-thirds of the focus group participants identified as members of racial and ethnic minority groups, who often experience the harshest effects of climate change despite being less responsible for those effects than other groups. Many of them were interested in making environmentally conscious health decisions but wanted to ensure that the main contributors to climate change were also held accountable, researchers found. Some participants expressed a concern that physicians' paternalism might be a deterrent to having climate-informed discussions with patients. Many of the physicians in the focus groups incorrectly assumed that patients were not interested in discussing the environmental consequences of health choices, researchers found. Even if patients were interested, physicians said their medical school education hadn't prepared them to address the subject adequately. At the same time, physicians felt their ability to act in a climate-informed fashion was limited by a healthcare culture oriented toward consumption of natural resources. "There was a sense of systemic headwinds against the kind of changes that can be beneficial for patients as well as the environment," Hantel observes. Physicians and patients generally agreed that patients' immediate health should be prioritized over environmental concerns. In situations where there's co-benefit, however, both groups were open to actions that reduce environmental impact. "Our findings point to the need to better educate physicians and health professionals about changes they can make, as well as those they can advocate for within their institutions, which benefit patients but also are less toxic to the environment," Hantel comments. "The goal isn't to shift the burden of climate-informed healthcare decisions onto patients, but to engage with them on these issues and make sure they're a normal part of conversations with their doctors." The study was supported by the Greenwall Foundation. New research from the University of Georgia suggests the COVID-19 pandemic may have had an unexpected side effect for postpartum women: more benzodiazepine prescriptions. The new study found that the pandemic didn't lead to increases in postpartum depression or anxiety diagnoses. But it did prompt a 15% increase in the number of privately insured new moms filling prescriptions for antianxiety medications like Valium, Xanax, Ativan and Klonopin. The researchers didn't find an increase in SSRI prescriptions, though. SSRIs, or selective serotonin reuptake inhibitors, are the gold standard for treating both depression and anxiety disorders. But these drugs, which include medications like Zoloft, Prozac and Lexapro, take time to work. Benzodiazepines, also known as benzos, are sometimes used as a stopgap during the month or two it takes for an SSRI to start working. But they aren't a substitute for SSRIs. And they carry significant risks of dependence and abuse. What concerns me the most is not what we found but what we didn't find. You can't tell me there wasn't more depression and anxiety in this population during the pandemic. And historically, even pre-COVID, postpartum depression and anxiety has always been underdiagnosed. But we didn't find an increase in diagnoses." Grace Bagwell Adams, lead author of the study and an associate professor in UGA's College of Public Health Postpartum depression, anxiety largely underdiagnosed. The pandemic didn't help. One in 10 women experience postpartum depression or anxiety in the first six months after giving birth. The majority aren't properly diagnosed and don't receive treatment for the conditions. The researchers analyzed data from more than 518,000 privately insured postpartum women from January 2016 through December 2020. Despite reported increases in anxiety and depression across the board after the onset of the pandemic, the researchers found no evidence of an increase in diagnoses of postpartum depression or postpartum anxiety. This suggests that the underdiagnosis and undertreatment of these conditions was exacerbated by the pandemic-induced health care crisis, the researchers said. Many insurers only cover one postpartum visit, typically at about six weeks after giving birth. Health care providers are supposed to screen for depression and anxiety at these visits. But during COVID, it's likely that many women didn't have that appointment, Bagwell Adams said. Or if they did, it may have taken place via telehealth, which isn't always ideal for discussing difficult mental health challenges. "One of the top causes of maternal mortality is suicide," Bagwell Adams said. "When these women don't get diagnosed and they don't receive proper treatment, they die. And it's not that postpartum women didn't see their doctor in time. It's that they aren't being listened to." Benzos aren't a substitute for SSRIs when it comes to depression After the birth of her son during the pandemic, Bagwell Adams experienced that lack of communication firsthand at her postpartum checkup. "We had a chat, and I told him I was basically crippled by anxiety and depression," she said. "He was like, 'Let's get you something to help calm you down.' I thought he was going to send me home with an SSRI." Instead, her doctor prescribed a benzo. As someone who's dealt with anxiety for years, Bagwell Adams knew that wasn't going to cut it. After some back and forth with her doctor, she was prescribed Lexapro. But many patients wouldn't know to push back like she did. "The thing I get really worried about it is that this is what we found for the cream of the crop in terms of insurance coverage," Bagwell Adams said. "The women in this sample have private insurance. This is the best-case scenario for the United States, and that doesn't look good." Combining opioids with benzos likely increased. That combination can be deadly. Another concerning side effect of increased benzo prescriptions is interactions with other medications, particularly opioids. More than seven out of every 10 women who give birth via C-section and one in four who deliver vaginally are prescribed opioids for pain management. And previous research shows that opioid prescriptions for postpartum women increased during the COVID-19 pandemic. But combining opioids with benzos can be deadly. And the likelihood that some of the women who filled benzodiazepine prescriptions were simultaneously taking pain medications is high, the researchers said. "For me, this study highlights more questions than answers," Bagwell Adams said. "There's something bigger happening here that is really disconcerting when it comes to treating women in general and postpartum women in particular." Published in Archives of Women's Health, the study was funded in part by a grant from the National Institute on Drug Abuse. Co-authors include Emily Lawler and Amanda Abraham, from UGA's School of Public and International Affairs; Shelby Steuart, a doctoral graduate of UGA's School of Public and International Affairs who is now a postdoctoral researcher at the University of Chicago, and Hailemichael Shone, of Indiana University. A new study sheds light on a promising approach using machine learning to more effectively allocate medical treatments during a pandemic or any time there's a shortage of therapeutics. The findings, published today in JAMA Health Forum, found a significant reduction in expected hospitalizations when using machine learning to help distribute medication using the COVID-19 pandemic to test the model. The model proves to reduce hospitalizations relatively by about 27 percent compared to actual and observed care. "During the pandemic, the healthcare system was at a breaking point and many health care facilities relied on a first-come, first-serve or a patient's health history to implement who received treatments," said the paper's senior author Adit Ginde, MD, professor of emergency medicine at the University of Colorado Anschutz Medical Campus. However, these methods often don't address the complex interactions that can occur in patients when taking medications to determine expected clinical effectiveness and may overlook patients who would benefit the most from treatment. We show that machine learning in these scenarios is a way to use real-time, real-world evidence to inform public health decision making." Adit Ginde, MD, Professor, Emergency Medicine, University of Colorado Anschutz Medical Campus In the study, the researchers showed that using machine learning that looks at how individual patients benefit differently from treatment can provide doctors, health systems and public health officials with more accurate information in real-time than traditional allocation score models. Mengli Xiao, PhD, assistant professor in Biostatistics and Informatics, developed the mAb allocation system based on machine learning. "Existing allocation methods primarily target patients who have a high-risk profile for hospitalizations without treatments. They could overlook patients who benefit most from treatments. We developed a mAb allocation point system based on treatment effect heterogeneity estimates from machine learning. Our allocation prioritizes patient characteristics associated with large causal treatment effects, seeking to optimize overall treatment benefits when resources are limited" said Xiao, who is also faculty at the Center of Innovative Design and Analysis (CIDA). Specifically, the researchers looked at the effectiveness of adding a novel Policy Learning Trees (PLTs)-based method for optimizing the allocation of COVID-19 neutralizing monoclonal antibodies (mAbs) during periods of resource constraint. The PLT approach was designed to decide which treatments to assign to individuals in a way that maximizes the overall benefits for the population (ensuring those who are at the highest risk of hospitalization are sure to receive treatments, especially when treatment is scarce). This is done by taking into account how different factors affect the effectiveness of the treatment. The researchers compared the machine learning approach with real-world decisions and a standard point allocation system used during the pandemic. They found the PLTs-based model demonstrated a significant reduction in expected hospitalizations compared to the observed allocation. This improvement also surpassed the performance of the Monoclonal Antibody Screening Score, which observes antibodies for diagnosis. "Using an innovative approach like machine learning expands beyond crises like the COVID-19 pandemic and shows we can provide personalized public health decisions even when resources are limited in any scenario. To do so, though, it's important that robust, real-time data platforms, like what we developed for this project, are implemented to provide data-driven decisions," adds Ginde, a leader in the Colorado Clinical and Translational Sciences Institute at CU Anschutz. The paper in JAMA Health Forum will be the 15th publication to come out of a project called Monoclonal Antibody (mAB) Colorado, which was funded by a grant from the National Institutes of Health (NIH) and National Center for Advancing Translational Sciences (NCATS). The project focused on doing the most good for the most people, using real world evidence for data-driven decisions during the COVID-19 pandemic. The researchers hope this paper will encourage public health entities, policymakers and disaster management agencies to look into methods like machine learning to implement in case of a future public health crisis. News / National by Staff reporter Zimbabwe's advancements in the gold sector, including the adoption of a floating exchange rate framework, align closely with the Southern African Development Community's (SADC) goal of achieving regional monetary integration, according to Vice President Constantino Chiwenga.Speaking at a dinner ahead of the 59th meeting of the Committee of Central Bank Governors in SADC (CCBG) held in Victoria Falls, VP Chiwenga highlighted the role of Zimbabwe's newly introduced Gold (ZWG) currency in stabilizing the country's monetary system. He suggested that these developments could position Zimbabwe for potential inclusion in the future SADC monetary union.The CCBG, established in July 1995 by the SADC Committee of Ministers for Finance and Investment (COMFI), consists of central bank governors from each SADC member state. Its goal is to foster regional monetary cooperation in line with the Finance and Investment Protocol (FIP)."The Zimbabwe Gold currency is a home-grown solution, fully backed by a basket of reserve assets, including gold, precious minerals, and foreign currency. It has brought about simplicity, certainty, and predictability in our monetary and financial affairs," VP Chiwenga said.He also emphasized that Zimbabwe's floating exchange rate framework complements SADC's broader objective of regional monetary integration, which could pave the way for a SADC Monetary Union in the near future."Zimbabwe's adoption of the floating exchange rate system aligns with SADC's vision of fostering monetary integration, setting the stage for the formation of a SADC Monetary Union in the coming years," added VP Chiwenga.Introduced in April 2024, the ZWG currency has been well received by key economic stakeholders in Zimbabwe.VP Chiwenga, representing President Mnangagwa, also stressed the significance of diaspora remittances in boosting foreign currency inflows for economic growth. He urged central bank governors to prioritize the creation of efficient remittance channels."As part of this meeting, governors will discuss financial inclusion and remittance costs for Zimbabwe and other SADC countries. Measures to improve diaspora financial inflows are crucial, and governors must ensure seamless transmission channels," he said.Chiwenga also addressed the long-standing effects of Western sanctions on Zimbabwe, which have limited access to international financial institutions like the World Bank and IMF. Despite this, he praised the resilience of Zimbabwe and the support from SADC countries, noting the importance of home-grown solutions for industrialization.He highlighted the government's shift to a technology-driven education system, which he said would help bridge gaps in sectors such as banking and payment systems.SADC central bank governors were also commended for their role in creating a stable macroeconomic environment that fosters investment and development.SADC CCBG chairperson and South Africa Reserve Bank Governor Lesetja Kganyago also addressed the gathering, focusing on the issue of illicit funds crossing borders. He noted that high remittance costs between Johannesburg and Harare are driving people to informal money transfer methods, which in turn facilitates the movement of "dirty money."Kganyago called for the strengthening of an interconnected and cost-effective payment system to combat these issues. He highlighted the ongoing efforts to enhance retail transactions through the SADC real-time gross settlement system to promote financial inclusion.He also noted that the World Bank and IMF are reviewing the remittance corridor between Johannesburg and Harare, which is currently among the most expensive globally, pushing individuals towards informal money transfer methods.The CCBG's Strategic Focus Areas support the objectives of the SADC Protocol on Finance and Investment, within the mandates of central banks in SADC member states. University of Virginia professor Mathews Jacob has secured a $3.9 million grant to advance his innovative research on detecting Alzheimer's disease in its early stages. Jacob, who specializes in electrical and computer engineering, is teaming up with researchers from the University of Iowa and the University of California-San Francisco to push the boundaries of imaging technology that tracks the brain's metabolic changes -; offering a fresh perspective on studying neurodegenerative disorders. Their focus is on advancing magnetic resonance spectroscopic imaging (MRSI). Unlike standard MRI, which primarily highlights structural changes, MRSI digs deeper into the brain's chemistry to reveal subtle shifts that could signal early signs of Alzheimer's and dementia. However, current MRSI techniques face challenges like low resolution and sensitivity, which restrict their clinical use. The team's mission is to enhance this technology, aiming for clearer images and more precise data. By fine-tuning MRSI to better target specific brain areas, they hope to transform it into a crucial tool for diagnosing neurodegenerative diseases much earlier than we can today. This breakthrough could enable doctors to screen for Alzheimer's and other disorders before symptoms even surface, paving the way for earlier and more effective treatments. By detecting the subtle changes in brain metabolism early in the disease, we hope to give doctors a powerful tool to intervene earlier, which could make a profound difference in treatment outcomes and patients' lives." Mathews Jacob, Professor, University of Virginia Jacob's project, funded by the National Institutes of Health, will span five years. His co-principal investigators include Vincent Magnotta, professor of radiology at the University of Iowa, and Yan Li, associate professor of radiology at UCSF. Together, they aim to bring new clarity to how metabolic changes in the brain relate to Alzheimer's, with the hope of improving both diagnosis and patient care. Scientists have developed new potential therapies that selectively remove aggregated tau proteins, which are associated with Alzheimer's disease, and improve symptoms of neurodegeneration in mice. The team of scientists, from the Medical Research Council Laboratory of Molecular Biology (MRC LMB) in Cambridge, UK, and the UK Dementia Research Institute (UK DRI) at the University of Cambridge, say this promising approach could also be applied in future to other brain disorders driven by protein aggregation inside cells, such as motor neuron disease, Huntington's disease and Parkinson's disease. In two papers, published in Cell and Science, they demonstrated how utilising the unique capabilities of a protein called TRIM21 gives the potential therapies two key advantages. Firstly, they only destroyed the disease-linked tau aggregates, leaving healthy tau proteins intact. And secondly, the therapies removed already established tau aggregates in mice, not just preventing the formation of new aggregates. Tau tangles There are two main proteins that become misfolded and accumulate into aggregates in the brains of people affected by Alzheimer's disease: tau and amyloid. Amyloid aggregates form in the spaces between brain cells, where they are being targeted by new antibody therapies, such as lecanemab. In contrast, tau 'tangles' largely form inside nerve cells, although aggregates can spread from cell to cell, which is strongly associated with cognitive decline as the disease progresses. It is difficult for antibody therapies to access tau inside cells, therefore they do not remove existing tau aggregates inside cells at best they prevent aggregates from spreading. Other techniques for targeting tau inside cells, such as anti-sense oligonucleotides (ASOs), have been shown to reduce tau in promising early-stage clinical trials. However, they act on all tau in the brain and therefore also remove 'healthy' tau the long-term side-effects of this are not yet known. 'Healthy' tau protein normally helps to provide structural support inside nerve cells in the brain acting as a type of scaffolding. Trimming Alzheimer's-linked proteins This new technique for targeting tau tangles utilises a 2010 discovery from Dr Leo James' lab at the MRC Laboratory of Molecular Biology of the role of a unique protein called TRIM21, which is a key part of the immune response to viruses. Outside the cell, the body produces antibodies that bind to invading viruses. When the antibody-bound virus enters a cell, TRIM21 detects it and tags the virus as 'garbage', handing it over to the cell's 'garbage chute', the proteasome, for destruction. The same team, working across the UK DRI and MRC LMB, demonstrated in 2023 that TRIM21 could be re-purposed to destroy tau protein aggregates associated with Alzheimer's disease. By switching out antibodies that bind viruses for antibodies that bind to tau, TRIM21 was re-directed to send tau aggregates to be destroyed by the proteasome. TRIM21 is particularly suited to this because of a special feature a part of the protein called 'RING' that is activated only when two or more TRIM21 proteins cluster together. This means that it only activates and marks its target for destruction when TRIM21 proteins are bound to adjacent, aggregated tau proteins. New Trojan horse therapy for tau aggregates In the new studies, scientists have used TRIM21 to create two new therapies to target tau aggregates. The first therapy, 'RING-nanobody', combines a tau-binding nanobody a miniature version of an antibody with the TRIM21 RING. The second therapeutic, 'RING-Bait', has the TRIM21 RING joined to a copy of the tau protein itself. The RING-linked tau protein acts as bait the aggregates incorporate it and TRIM21 RING gets incorporated as well. Once multiple RING-Baits are added to the aggregate, they become activated and causes the entire aggregate to be destroyed. The researchers delivered the DNA encoding the TRIM21 therapies into cells containing aggregated tau and found it cleared the tau tangles. As hoped, 'healthy' tau was left undamaged. Dr Will McEwan, co-leader of the studies, from the UK Dementia Research Institute at the University of Cambridge said: "Tau aggregates are tucked away inside brain cells and very difficult to degrade. Critically, these new TRIM21-based therapies can be delivered directly inside cells, where the majority of tau aggregates reside. We've found a way that not only degrades the tau aggregates, but leaves the healthy tau intact to do its job. The new strategy goes beyond what can be achieved with current ASO therapies that are being trialled, as it could avoid any potential long-term side-effects of eliminating normal tau." Dr. Will McEwan, UK Dementia Research Institute, University of Cambridge Since different neurodegenerative diseases can have different types of misfolded tau, they tested the therapies on cells containing aggregated tau proteins from brain tissue donated by people who had Alzheimer's disease or progressive supranuclear palsy, which have different misfolded tau structures. The RING-Bait therapy was able to prevent tau aggregation induced by proteins from both Alzheimer's and progressive supranuclear palsy patient brains. Dr Leo James, co-leader of the studies, from the MRC Laboratory of Molecular Biology in Cambridge, said: "Neurodegenerative diseases can have tau proteins that misfold in many different ways, raising the possibility of needing a different treatment for every disease. A useful aspect of RING-Bait is because it is attached to a tau protein, it's a universal Trojan horse that should be incorporated into different types of tau aggregates exactly like the cell's own misfolding tau protein." Mice walk better after therapy For the treatment to work in an animal, it needs to not only get into the brain, but also get inside the cells within the brain. To do this, the researchers used a harmless virus that has previously been developed to deliver therapies like this, called an adeno-associated virus (AAV). It delivers DNA instructions to make the custom proteins inside brain cells. Elderly mice with tau protein aggregates were injected with a single dose of the gene therapy vector containing either the treatment, or a placebo. Within a few weeks, there was a significant reduction in the amount of aggregated tau in the brain cells of the treated animals. Importantly, in the mice given the RING-Bait treatment, the progression of their neurodegeneration symptoms slowed and they showed significantly better motor function, as assessed by an AI programme that scored how well they ran. Dr Lauren Miller, a study author, who worked across both the UK Dementia Research Institute and MRC Laboratory of Molecular Biology, said: "It was unknown whether specifically removing tau aggregates inside the cell would be enough to halt the progression of disease. It is encouraging that a RING-Bait approach reduces disease severity in our model systems, as this suggests that the selective removal of tau aggregates is a valid therapeutic approach. Further work will be needed to demonstrate this beneficial effect is found across multiple models of human disease." Dr Guido Papa, a study author, from MRC Laboratory of Molecular Biology, said: "The beauty of RING-Bait lies in its broad adaptability and the potential to tackle other conditions characterised by the accumulation of pathological protein clusters. Other neurodegenerative diseases are caused by aggregates formed by other proteins, such as TDP43 in motor neuron disease and alpha-synuclein in Parkinson's disease. It is hoped that RING-Bait will allow the development of future therapies that directly target the aggregation process in these diseases." The scientists caution that these therapies still require a lot of development before they can be tested in humans, particularly developing an AAV vector that can safely and effectively deliver RING-nanobody or RING-bait therapies to cells throughout the human brain. Dr Jonathan Benn, a study author, from the UK Dementia Research Institute at the University of Cambridge, said: "It's important to stress that although we have shown it works in a mouse model, this is a long way from a therapeutic that could be used in humans. It would need to be determined that it is safe to use TRIM21-based therapies in the human brain and that the treatments are effective in both removing aggregates and improving the course of disease. "Some AAV vectors are already approved for use in humans for instance in degenerative eye diseases and genetic diseases like spinal muscular atrophy. However, getting enough AAV into the adult brain remains a significant challenge - the human brain is about 1,000 times bigger than a mouse brain. But this is a rapidly moving field and there are cutting edge gene delivery methods that we hope will allow our therapies to be delivered at scale in the future." These studies were primarily funded by Wellcome, MRC, UK DRI, and The Lister Institute of Preventative Medicine. The government on Friday, September 13, announced that they have taken the decision to remove the minimum export price (MEP) on onions and basmati rice with immediate effect. The decision comes ahead of the assembly elections in key agricultural states like Maharashtra and Haryana. The government issued an order to enforce this. The MEP for onions was set at $550 (approximately Rs 46,134) per tonne after the ban on exporting onions was lifted. The MEP on basmati rice was $1,200 (approximately Rs 10,06,57) per tonne, which was reduced to $950 per tonne (approximately Rs 79,687) in October last year. Minister Piyush Goyal stated that this move would surely help in promoting the export of these items and would also boost the income of the farmers. The Agricultural and Processed Food Products Export Development Authority (APEDA) has been ordered to take all necessary steps in order to implement this decision, while also closely monitoring all the export contracts for basmati rice. On August 27, 2023, the government decided not to allow basmati rice exports below $1,200 (approximately Rs 100,657) per ton to curb illegal exports to prevent illegal exports of white non-basmati rice under the guise of premium basmati rice. Later, it was brought down. In the fiscal year 2023-24, India exported basmati rice worth USD 5.9 billion (approximately Rs 49,490 crore). In 2022-23 the country exported 45.6 lakh tonnes of the famous rice at USD 4.8 billion (approximately Rs 40,263 crore). India is one of the largest exporters of onions. In 2021-22, onions worth Rs 3,326.99 crore were exported. In the subsequent year, 2022-23 it grew to Rs 4,525.91 crore. In 2023-24 the export value was Rs 3,513.22. The government has been selling onions at a discounted price after the prices surged drastically. TCS employees receive tax notices! The Income Tax Department has issued demand notices to a significant number of TCS employees, between 30,000 and 40,000 people, because their Tax Deducted at Source (TDS) details do not match. The tax demands range from Rs 50,000 to over Rs 1 lakh, depending on the employees seniority in the company. According to a report in TOI, the problem seems to be due to a software glitch that resulted in the TDS applications not getting updated on the income tax portal. The notices, issued on September 9 under Section 143(1) of the IT Act, state that the amount paid by the taxpayer for the March quarter of FY24 was not recorded in full. CA Himank Singla told X, Many employees of Tata Consultancy Services are getting tax demands from the I-T department for the AY 2024-25. On checking 143(1) intimations sent by the department, the TDS claimed by the assessee has not been correctly updated by the department and hence refunds are being withheld. TCS declined to comment on the matter when contacted by TOI via email. The company has reached out to its employees and advised them to wait for further instructions before making the payments mentioned in the notice. TCS has also informed the tax authorities about the matter and is working with them to find a speedy resolution. In an internal communication, TCS has informed its employees that they have received a clarification from the tax authorities. The email states, We understand that the tax authorities will be reprocessing the returns, post which TDS (tax deduction at source) shall be in sync with form 26AS issued by the income-tax department, and Form 16 Part A issued by TCS, it said. Associates that received the notice will receive a rectification intimation in due course and are not required to pay any demand amount. Once the tax authorities send the rectification intimation, the discrepancies should be resolved, it added. Baramulla MP Sheikh Abdul Rashid, or Engineer Rashid as he is popularly known, who was released on interim bail from Tihar Jail, has added an intriguing twist to the upcoming Jammu and Kashmir assembly elections, with many leaders in the Valley questioning the timing of his release. He had been in jail since August 2019, arrested by the National Investigation Agency in connection with a terror-funding case. As the chief of the Awami Ittehad Party, he emerged as a dark horse in the Lok Sabha elections in J&K, defeating former chief minister Omar Abdullah by a margin of 2,04,142 votes. His release has stirred the political landscape in the Kashmir Valley, particularly among regional parties who accuse him of being a Bharatiya Janata Party proxy, a charge he denies. Jammu and Kashmir will have elections in three phases, on September 18, September 25, and October 5, with the votes to be counted on October 8. Campaign after release Upon his release from jail, he launched attacks on the National Conference, Peoples Democratic Party, and BJP, claiming he would oppose Prime Minister Narendra Modis Naya Kashmir narrative. Later, during a live session on Facebook, he targeted Omar Abdullah and Mehbooba Mufti, using slurs against the regional leadership. In his first rally which was organised to thank the people of his Lok Sabha constituency, Rashid claimed votes for him in the earlier polls were against the oppression". All people here are on their own and I tell everyone that the vote in Parliament elections was not an emotional outburst but a vote against the oppression," he told a crowd of young and old numbering around 3,000 to 3,500. The show of strength in Baramulla was anticipated to be larger, but Rashid and his candidates managed to draw only a modest crowd. People of Kashmir are not happy throwing stones; they are reacting to oppression. I tell BJP that they should start negotiations and peace talks to resolve the Kashmir issue, otherwise, they will not exist," Rashid warned the government. Known as a firebrand leader, he is also a two-time MLA from the Langate constituency in north Kashmir and has never shied away from controversy, even during his tenure as a legislator in the erstwhile state of Jammu and Kashmir. In 2015, BJP legislators assaulted him in the J&K assembly for hosting a beef party at Srinagars MLA Hostel. Addressing his first rally after his release, he declared, Give me 50 more engineers in the assembly, and I will solve the Kashmir issues. I ask my candidates not to succumb to greed." In his speeches, Rashid has also spoken about separatists imprisoned for alleged anti-India activities. Attempting to evoke emotional resonance, he mentioned two Kashmiris buried in Tihar Jail premises: Separatist Maqbool Bhat and Parliament attack convict Afzal Guru. My cell was just 150 metres from the graves of Maqbool Bhat and Afzal Guru, and if I too died there, the price for us would still be too little," he remarked. Rashid labelled former J&K CM Mufti Mohammad Sayeed, who formed a government with the BJP, as the biggest traitor" after Sheikh Abdullah and Farooq Abdullah. Rashid won the Langate constituency as an independent candidate in the 2008 assembly elections and retained it in the 2014 elections. Why does Engineer matter? The party led by Rashid has fielded 34 candidates so far for the assembly elections, including his younger brother, Khurshid Ahmad Sheikh, who resigned from government service to contest from Langate. Many believe Rashid won the Lok Sabha seat due to a sympathy wave, fueled by relentless campaigning by his sons, who conveyed to the electorate that their fathers victory would lead to his release. Analysts suggest that Rashid also garnered votes from those who support separatism in the Valley. Data from the Lok Sabha constituencies in the Baramulla segment, available to News18, shows that Rashid secured the highest number of votes in 15 out of the 18 assembly segments, outperforming NC candidate Omar Abdullah, who has a strong influence in north Kashmir. Since his release, he has spoken mostly against the National Conference and the PDP, invoking how both were responsible for the situation in Jammu and Kashmir. Until his release, the NC was believed to have a strong hold on constituencies but this can now change with his campaigning. His political opponents have questioned the change in his stand that the pre-abrogation of Article 370 was rai-shumari or self-determination for Kashmir. "BJP opposed Arvind Kejriwal's bail, speaking against it across the country and when Rashid has been released ahead of the elections the same way, the BJP is welcoming it, so it looks fishy," former J&K CM Omar Abdullah had said, adding that people had voted emotionally in the Parliament elections for him. Omar suspects his release can divide voters and that can help the BJP. "People have to think; they voted emotionally in Parliament against me but now they should think to keep the BJP out," he said. PDP chief Mehbooba Mufti has questioned the release of the MP. "His candidates are picked by the agencies to cut the votes of other parties. How do they get money to campaign?" Mehbooba asked. She said it took her father 50 years to build a party and still they lack resources while the Engineer's party has sufficient funds. Though Rashid and his party may not have much impact in the south, the battle for north Kashmir seems evident with the NC. Separatist sympathisers, who have gone underground, might find a voice in Rashid, who is aiming for a significant win in the historic Jammu and Kashmir elections. However, only time will tell if his impact remains the same as in the Lok Sabha elections, as parties have intensified their efforts against him. The year was 2018 when a movie starring Hrithik Roshan touched the raw nerve of countless Indians. In Super 30, which talks about meritorious but disadvantaged students, Roshan says in a hard-hitting scene: Ab Raja ka beta Raja nahi banega Ab Raja wahi banega jo haqdar hoga [the kings son will no longer be the king; only the deserving will get the crown]. Six years down the lane, a young Congress leader Shweta Dhull almost proves such possibilities are only confined to a Bollywood movie and not the dog-eat-dog world of politics where favouritism and legacy rule the world. Rejected by the Congress despite being very popular locally, Dhull who was invited by Rahul Gandhi to join him on his Bharat Jodo Yatra has made peace with the fact that she wont wear the crown after being denied a ticket from Kalayat seat in Haryana. But who is Dhull? After becoming a known face in Haryana for raising recruitment exam issues in the state, Dhull was invited by Rahul Gandhi to join a stretch during his Yatra through Haryana. Impressed after their brief chat during the walk, Gandhi introduced her as a firebrand leader. It did not take long for her to join the Grand Old Party where she was increasingly seen in the Randeep Surjewala camp. Soon, she emerged as a frontrunner for the Kalayat seat. Till just a few days ago, we were sure about her candidature, said people close to the mother of two. Dhull, too, was confident. I was almost certain about getting a Congress ticket till September 9. My name was struck off in the last 15-20 minutes and the son of a sitting MP was made the candidate, said Dhull. She added how it could have sent the right message if she was picked. As an ordinary woman from a middle-class family, I wanted to set an example for others. I wanted to show people that even an ordinary woman like me can make space in politics and bring change. Had I been made the candidate, Congress would have sent a strong message to cadre, public at large, youth and women. At the eleventh hour, Dhull was denied a ticket and the Kalayat candidature was given to Hisar MP Jai Prakashs son Vikas, prompting her to take to social media and question whether her middle-class lineage and absence of having a politician father cost her the ticket. In a season of rebellions, where many Congress leaders have chosen to fight as Independents, Dhull has cleared the air that she will fight for her rights by staying in Congress. Late on Friday, she took to social media to say: Didnt learn to give up, its not an option. I was in Congress, and I am in Congress. I will fight the battle of the youth by staying here. So, what changed in the last 15-20 minutes as claimed by Dhull? People close to her claim her proximity to Surjewala often seen as the rival camp of former chief minister Bhupinder Singh Hooda cost her the ticket. A dejected Dhull may have said she will stay in Congress, but people close to her question the silence of Priyanka Gandhi over the sexist remarks of Jai Prakash a sitting Congress MP and the father of the Kalayat seat candidate. In June this year, he triggered a controversy after he made remarks against Tosham MLA Kiran Chaudhary now a BJP Rajya Sabha MP saying in our patriarchal society, women are not considered heirs. For now, Dhull, a post-graduate in biochemistry, is learning how ruthless politics can be, the hard way. Not many content creators have been able to reign over the digital space like Elvish Yadav. This Indian YouTuber, video creator, and social media influencer has amassed a large following with his relatable humour and subsequent entry into the realm of reality shows. On his birthday, here is everything you need to know about the Bigg Boss OTT 2 winner. Early Life Elvish Yadav was born on September 14, 1997, to Ram Avtar Singh Yadav and Sushma Yadav in the village of Wazirabad in Haryanas Gurugram. His real name was Siddharth Yadav, but he changed his name in memory of his late brother. During his stint on Bigg Boss OTT 2, he shared that he was known as Siddharth up until he was in Class 1. It was his elder brother who wanted him to be named Elvish. Following his brothers unexpected death, he permanently changed his name to Elvish to honour his elder brothers wishes. Education Elvish Yadav has an impressive academic career. He attended Amity International School in Gurugram. The social media sensation reportedly received 94% marks in his senior secondary examination. He graduated from Delhi Universitys Hansraj College with a degree in commerce. Journey From YouTube to Bigg Boss OTT 2 and Beyond Elvish Yadavs career as a content creator started on YouTube in 2016, focussing on comic sketches, parodies, and relatable slice-of-life scenarios. His amusing take on everyday issues struck a chord with the viewers, resulting in a significant increase in his subscriber base. Elvish Yadav captured the pulse of contemporary Indian society, discussing everything from college life, relationships, and family dynamics to societal trends and current events. His YouTube channel, Elvish Yadav, was previously known as The Social Factory. Later, he also started his vlog channel, Elvish Vlogs, in 2019. In 2023, he also made a gaming channel under his name. Beyond the social media career, Elvish also owns the clothing company Systumm Clothing. He heads the Elvish Yadav Foundation, a non-profit organisation that focusses on providing education to underprivileged pupils and free meals. Owing to his popularity as a YouTuber, he entered the reality show Bigg Boss OTT 2 as a wild card contestant along with Aashika Bhatia. Although he joined midway, Elvish managed to make his mark and win the trophy that season. Post Bigg Boss OTT 2, Elvish was also seen on another reality show, Temptation Island India, which streamed on Jio Cinema. He has also been part of many music videos, such as Bolero, Rao Sahab Rollin and most recently Yaaro Ke Yaar. Elvish Yadavs name has also been involved in a number of controversies recently. He was accused of selling snake venom in parties and is also alleged to have physically assaulted another Youtuber, Maxtern, among other issues. Shaitani Rasmein, a fantasy thriller that airs on Star Bharat, delves into the eerie tale of a family invoking dark forces for their protection. In a bid to infuse the set with peace and positivity, the cast and crew recently gathered for a Sunderkand Paath, a spiritual ritual. Lead actors Naqiyah Haji and Vibhav Roy shared their reflections on this experience, highlighting how the ritual took on extra significance during the Ganapati festival. Naqiyah Haji, who plays the role of Nikki on Shaitani Rasmein, said that the pooja felt very personal and divine, especially during the festive season. With divine energies surrounding us, the production team decided to organise the Sunderkand Paath to bring positive energy for everyone. It was much needed to refresh the environment, and I was thrilled to experience it for the first time, Naqiyah Haji was quoted as saying by Tellychakkar. She added that the setup included proper musical instruments, and she felt so engaged throughout the process. By the time all the rituals ended, she found herself feeling very positive and refreshed. Vibhav Roy, who essays the role of Piyush in the show, said, For me, this paath was incredibly divine. It felt like Gods presence was with us until the very end. We all became so engrossed in the pooja that, for a while, we forgot where we were. The entire setup and arrangements were so peaceful and necessary. The actor added that they are typically too busy shooting to get a time to themselves, so having the entire cast and crew around for this felt like a blessing. Everyone was happy after the pooja, and it felt like a much-needed break to regain energy. Reportedly, Shaitani Rasmein has been one of the channels most popular series, continually keeping its audience on the edge of their seats with each episode. Recently, Vibhav Roy, Naqiyah Haji, Siddhant Issar, and the rest of the cast celebrated a big milestone of completing 200 episodes. Sharing a glimpse of the celebration on her Instagram handle, Naqiyah Haji wrote, on cloud nine200 Episodes!! Thank you for the love and support Truly grateful. View this post on Instagram A post shared by Naqiyah Haji (@naqiyahaji) Shaitani Rasmein airs every Monday to Saturday on Star Bharat at 10 PM and it streams on Disney+Hotstar. Actor Abhinav Shukla just turned up the heat on Instagram with his latest post, taking a trip down memory lane! Treating his fans to some throwback gems, the actor shared a series of sizzling photos from his early modelling days, and lets just say were not complaining! Captioned Few Years Ago, the post showcases Abhinav flaunting his chiselled physique. In one photo, hes casually lounging on a bed, looking effortlessly cool. Another shot features him posing in front of a washroom mirror, towel-clad, showing off those rock-hard abs and sculpted muscles Another thirst trap image featured Abhinav mid-adventure, showing off his toned physique while what looks like snorkelling. Whether on land or in the water, Abhinav is clearly in his element, leaving fans swooning over his fitness and adventurous spirit! Take a look at the photos here: Beginning his career as a model, Abhinav Shukla gradually ventured into Hindi serials and even appeared on reality shows like the Salman Khan hosted Bigg Boss. He entered the show with his wife, Rubina Dilaik, who eventually emerged as the winner. Abhinav was eliminated a few weeks before the finale. Despite being away from the screen for a while now, the actor enjoys a significant fan following on social media, thanks to his engaging posts and the fitness goals he keeps setting through the same. Abhinav Shukla is currently enjoying his new phase as the father of two twin daughters, Edhaa and Jeeva. He and Rubina welcomed their little bundle of joys last year. The duo keeps sharing glimpses of their time with their daughters on social media. Earlier in August, Abhinav posted a few sneak peeks of his vacation in an undisclosed forest destination where he jetted off with his daughters. In his caption, the actor wrote, Outdoor Babies. Abhinav Shukla and Rubina Dilaik got married in 2018. After five years of marital bliss, the duo became proud parents to their daughters on November 27 last year. Delhi Police has filed an FIR over bursting of firecrackers outside Chief Minister Arvind Kejriwals residence in north Delhis Civil Lines to welcome him upon his release from jail, officials said on Saturday. There is a ban on the production, sale and use of firecrackers in the national capital to control air pollution in the coming winter season. The ban was announced by the Kejriwal government on Monday. A case under section 223 (disobedience to orders promulgated by public servant) of the Bharatiya Nyaya Sanhita has been registered against unknown persons at the Civil Lines Police station over fireworks outside the chief ministers official residence, an official said. Firecrackers were burst outside the CMs residence after his release from the Tihar Jail on Friday evening. The AAP (Aam Aadmi Party) workers had gathered to welcome their leader. The FIR is registered on the complaint of a sub-inspector who was deployed at the 6 Flag Staff Road on Friday evening. I was deployed at 6 Flag Staff Road for the law and order arrangements at 6.45 pm. A lot of people were gathered and I heard the sound of fire crackers creating pollution, he has stated in the FIR. The officer said when he went to see, the people who were bursting the crackers ran away. The Supreme Court on Friday granted bail to Kejriwal in a corruption case filed the Central Bureau of Investigations (CBI) in connection with the Delhi excise policy case. He was lodged in the Tihar Jail for more than five months. Agra remained the favourite and most-visited destination for foreigners visiting Uttar Pradesh between 2017 and 2023, while Indians chose Varanasi and Prayagraj as their top picks. Varanasi has been getting the most Indian tourists in the last two calendar years, official data from the state tourism department shows. Between 2017 and 2023, 1.51 crore foreigners visited Uttar Pradesh and 80.08 lakh went to Agra, followed by 28 lakh to Varanasi. At least 189 crore Indian visits happened to the state between 2017-23, including 51.14 crore to Prayagraj. At least 38.83 crore went to Agra and 27.33 crore to Varanasi. For foreigners, Agra remained the most-visited city each year between 2017 and 2023, although the number of foreigners visiting the town dropped drastically around the time when coronavirus hit the world and global travel was impacted. The number of foreigners visiting the town in 2023 was lower than the pre-Covid-19 years (2017-19), although it has been on the rise since the last two-three years. A similar scenario can be seen in Varanasi and Prayagraj. Varanasi stood second in terms of total foreigners visiting the city between 2017 and 2023, except 2019. The city is yet to get the foreign tourist footfall at par with pre-Covid-19 years. Prayagraj saw the second-highest foreign tourist footfall only in 2019 the year when the Kumbh Mela was organised. Almost 12 lakh foreigners visited the city, while Varanasi saw only seven lakh foreigner visits. Post 2020, the foreign tourists visiting the town is so low that the collective data for 2021 to 2023 calendar years is not even 10,000. In 2023, about 11 lakh foreigners visited Agra. Varanasi saw 2.22 lakh foreigners while for Prayagraj it was just 4,853. On an average, between 2017 and 2023, almost 6,000 foreigners visited Uttar Pradesh every day. About 3,200 went to Agra while almost 1,100 visited Varanasi and 600 to Prayagraj. For Indian tourists, on average, about 7.4 lakh visited Uttar Pradesh per day between 2017 and 2023, of which over two lakh went to Prayagraj and around one lakh to Varanasi. Close to 1.52 lakh people visited Agra per day during the said period. For Indian tourists, the most-visited destination kept switching between these three cities during 2017 and 2023. Agra was the most-visited city by Indian tourists in Uttar Pradesh in 2017 and 2021. Prayagraj saw the highest inflow of Indian tourists in 2019 and 2020. Varanasi was the most-visited city three times in 2018, 2022 and 2023. Both Varanasi and Prayagraj are important cities for Hindus, not just from the perspective of tourism but also religion. Situated on the banks of river Ganga, the cities are considered important for seeking liberation and divine blessings, both in life and after death. In 2023, almost 13 crore Indian tourists visited Varanasi. About 10.40 lakh went to Agra and 5.40 lakh to Prayagraj. In 2019, close to 30 crore Indians visited Prayagraj, against 8.14 crore to Agra and two crore to Varanasi. Condemning fugitive Zakir Naiks remarks on the Waqf Bill as false propaganda, Union Minister Kiren Rijiju on Saturday said the fundamentalist Islamic preacher wishes to create communal disturbances in India. In a social media post on X, Rijiju asserted that Naiks claims about the Bill threatening Muslim institutions and properties are unfounded. This anti-India character is spreading venom by propagating false information and trying to create communal disturbances in India. We need to unite and fight against such individuals, the minister said. This anti-India character is spewing venoms by spreading false and fake propaganda & also trying to create communal disturbances in India. We need to unitedly fight against such people. https://t.co/6opLYT3ubF Kiren Rijiju (@KirenRijiju) September 14, 2024 Waqf Bill Rijijus rebuke came in response to inflammatory claims made by Naik, who fled the country in 2016 and is now known for spreading ant-India propaganda. In a post on X, Naik had earlier claimed, If this Bill passes, thousands of mosques, madrasas, and graveyards, along with lakhs of acres of land, will be taken from Muslims. The Waqf Bill was introduced in the Lok Sabha on August 8 and referred to a joint committee of Parliament after a heated debate. The central government has asserted that the proposed law does not aim to interfere with the functioning of mosques, while the opposition has criticised it as targeting Muslims and being an attack on the Constitution. Last Sunday, the Joint Committee of Parliament meetings on the Waqf (Amendment) Bill became a battleground of conflicting claims, as various government bodies accused Waqf boards of asserting ownership over properties that they claim belong to the state, leading to sharp counterclaims. Opposition members in the committee argued that numerous Waqf properties are actually in unauthorized possession of government entities, including the Archaeological Survey of India (ASI). The Urban Affairs and Road Transport Ministries, as well as the Railway Board, have also made similar accusations against the Waqf boards, supporting the proposed amendments to the law. Underlining the importance of human resources for Indias growth, External Affairs Minister S Jaishankar on Friday said one needs to do hard work and that life is not khata khat, in an apparent jibe at Leader of Opposition Rahul Gandhi. Speaking to the Indian community in Geneva, Jaishankar highlighted the infrastructure development achieved by the Narendra Modi government over the past 10 years. During his remarks, the minister made a subtle reference to a comment made by the Congress leader, which drew laughs from the audience. Earlier this year, during an election rally as part of his Lok Sabha campaign, Gandhi had promised that if his party won, they will transfer Rs 1 lakh to the account of one woman from every poor household in the country. While speaking in Hindi, the Congress leader said the transfer will happen khata khat, meaning immediately. #WATCH | Interacting with the Indian community in Geneva, EAM Dr S Jaishankar says, Until you build infrastructure & human resources, have those policies in place, it is hard work. Life is not khata-khat, life is hard work and diligence(Video source: Ministry of pic.twitter.com/Bdcv76dNgJ ANI (@ANI) September 13, 2024 Khata-Khat The external affairs minister spoke about the massive human resources needed to build infrastructure when he said, Until we develop the human resources, it requires hard work until you build the infrastructure, until you have those policies. So life is not khata-khat. Life is hard work. Life is diligence. Anybody whos held a job and laboured at it, knows it. So thats my message to you, that we have to work hard at it, he said. Jaishankar was in Switzerland for a two-day visit from September 12-13. During the visit, he interacted with a large gathering of the Indian Community and Friends of India at the Permanent Mission in Geneva. He highlighted rapid strides made by India and Indias vision of engaging with the world. The minister also delivered a talk on Global Tectonics: The Indian View of a World in Churn at the Geneva Centre for Security Policy (GCSP), which was attended by a cross-section of people in the city, including from the diplomatic community, academia, think tanks, student community and the Indian diaspora. Two bank employees lost their lives after their SUV got stuck in deep water while navigating a flooded railway underpass in Faridabad, Haryana, police said on Saturday. The incident took place on Friday night when Punyashray Sharma, 48, and Viraj, 26, were on their way home to Greater Faridabad from Gurugram. Incessant rain on Friday led to the flooding of the underpass and warnings were issued to avoid entry. Despite the warning, the duo entered the underpass in their XUV around 11:50 pm and got stuck in deep water. According to a TOI report, water began to flood the vehicle and the two occupants got trapped. Passers-by tried to rescue the victims and managed to pull them out. However, Viraj died on the spot and Punyashray was rushed to Badshah Khan Civil Hospital but was declared dead. TOI quoted Inspector Samer Singh as saying, Their families have been informed. We will hand over the bodies to the next of kin after post-mortem examinations. The political turmoil in Bangladesh has created significant obstacles for Indian pharmaceutical exporters and medical tourism companies. Pharma companies are struggling with issues such as blocked payments, misplaced shipments, and unresponsive importers. Meanwhile, Indian medical tourism is also suffering as patients from the region are either abruptly cancelling their plans or are unable to travel due to the local unrest. According to the Pharmaceuticals Export Promotion Council of India (Pharmexcil), an arm that functions under the Ministry of Commerce and Industry, now Indian companies are hesitant to supply products to Bangladesh due to unpaid dues and concerns about financial stability. Due to the political unrest in Bangladesh, the Indian Pharmaceutical industry which is into export of drugs and intermediates has been facing significant challenges, including unpaid dues, concerns about the financial stability and logistics issues, Raja Bhanu, director general of Pharmexcil, told News18. Also, Bhanu highlighted that due to shared borders, logistics become a major issue, with shipments getting stuck at the border and difficulties in securing insurance but now the conditions are mildly improving. In Bangladesh, we may expect the political and economic scenario to improve. However, it is too early to make a conclusive statement on how it will affect our sector, Bhanu said. Reflecting a noticeable exposure, Bangladesh sources 30 per cent of its pharmaceutical ingredients from India, which is served by big pharmaceutical firms as well as small and medium-sized firms. The government data shows that exports to Bangladesh fluctuated in financial year 2024-25 with a decline in activity in June. After a 42.48 per cent increase from April to May, exports grew modestly by 5.66 per cent in June, indicating a slowdown in growth momentum despite earlier gains. News18 reached out to several drug makers for a comment. However, either they did not respond to the e-mails or they requested anonymous comments. Sun Pharma has significant exposure to the country as the drug maker has a full-fledged drug manufacturing site and commercial operation which began in 2004. However, the company did not respond to an email sent by News18. Another Mumbai-based drug maker who exports to Bangladesh told News18 requesting anonymity that the company has stopped taking new export orders until normalcy resumes. While the condition is better now in terms of movement of shipments, we still havent been able to find out lost shipments costing several lakhs that went missing during the clashes. We are not taking any fresh export orders for Bangladesh till the situation goes back to normal. Medical Tourism Impacted Indias medical tourism has been a lifeline for patients from Bangladesh, offering them high-quality care at affordable prices. Rajeev Taneja, CEO of Global Care, a medical value travel company that assists NRI patients in receiving treatment in India, told News18 that they have witnessed the highest number of patients coming from Bangladesh in 2023, contributing to over half of their international medical visitors. However, the ongoing socio-political unrest in Bangladesh has deeply affected these patients, with many forced to cancel or delay their life-saving treatments. This situation is heart-breaking as it directly impacts individuals and families who rely on Indias healthcare system for critical care. The decline in patient visits up to 50 per cent in some cases not only affects hospital revenues but also highlights the growing uncertainty for those in need. Another medical travel company, MediJourn, echoed a similar observation. The unrest in Bangladesh has undeniably impacted the flow of patients seeking treatment in India, presenting some challenges for healthcare providers and related services, said Ishaan Dodhiwala, co-founder, MediJourn. However, the company is confident that as stability returns, the industry will see a steady recovery very soon. We expect the patient flow to improve in the coming weeks as the Indian visa application centre in Bangladesh has started giving out appointments and we are hopeful that the situation will normalise soon, allowing patients to resume their treatment plans without further delays. Three terrorists were killed in an encounter between security forces and militants that started in Jammu and Kashmirs Baramulla district on Friday night. The gunfight, which began in the Pattan area of the northern Kashmir district, ended on Saturday, with the bodies of the terrorists recovered. #Encounter has started at Chak Tapper Kreeri Pattan area of #Baramulla. Police and security forces are on the job. Further details shall follow, the Kashmir Zone Police said in a post on X on Friday. #Encounter has started at Chak Tapper Kreeri Pattan area of #Baramulla. Police and security forces are on the job. Further details shall follow.@JmuKmrPolice Kashmir Zone Police (@KashmirPolice) September 13, 2024 Back-to-Back Encounters On Friday, two soldiers were killed and two others injured in a gunbattle with terrorists in Chatroo village of Kishtwar district. The gunfight broke out when a joint security team of the Army and police, acting on a tip-off, initiated a cordon-and-search operation in the Naidgham area of the Chhatroo belt. Nb Sub Vipin Kumar, one of the soldiers killed in the Kishtwar encounter, is from Patra village. READ MORE: 2 Soldiers Killed, 2 Injured In Gunfight With Terrorists In J&Ks Kishtwar These encounters come as eight Assembly segments across the Chenab Valley regionencompassing the Doda, Kishtwar, and Ramban districtsalong with 16 seats in the south Kashmir districts of Anantnag, Pulwama, Shopian, and Kulgam, are set to vote in the first phase on September 18. Jammu, Kathua, and Samba districts will hold their elections in the second and third phases on September 25 and October 1, respectively. PM Modis Visit Amid tight security arrangements, Prime Minister Narendra Modi on Saturday hit the poll campaign trail in Doda district to support his party candidates by addressing a mega rally. This is the first visit by a prime minister to Doda in the last 42 years. Multi-tier security has been deployed across the twin districts of Doda and Kishtwar, particularly around the venue, to ensure a peaceful and smooth conduct of the election rally, which will be held at the stadium in Doda town. Prime Minister Narendra Modi on Saturday launched a scathing attack on the Congress by taking a veiled dig at Rahul Gandhi over his Mohabbat ki dukaan pitch, even as he slammed Congress president Mallikarjun Kharges remarks that BJP leaders would have been in jail had the Opposition alliance won 20 more seats in the Lok Sabha polls. Addressing a rally in Jammu and Kashmirs Doda district for the upcoming assembly polls, PM Modi recalled the incident where an Indian journalist was allegedly assaulted by Rahul Gandhis team in the US. He slammed the Congress for selling Nafrat (Hatred) in Mohabbat Ki Dukaan (Shop of Love). US-based journalist Rohit Sharma claimed he was manhandled by Rahul Gandhis team after questioning Sam Pitroda, chairman of the Indian Overseas Congress, about attacks on Hindus in Bangladesh. Sharma stated that after a successful interview with Pitroda, a group of Congress supporters forcibly took his phone, detained him in a room, and pressured him to delete the interview just before Gandhis arrival in Dallas. A journalist of our country was subjected to cruelty in the USA by Congress. A son of India was insulted in the USA. Those who claim to be champions of freedom of speech indulged in brutality, said PM Modi, who became the first Prime Minister to visit Doda in 42 years, said. PM Modi Slams Kharge Over Jail Remark PM Modi expressed strong objections against Congress President Mallikarjun Kharges jail remark during the address at the Doda rally in Jammu and Kashmir. The Prime Minister asked the Congress whether they wanted to form a government at the Centre to put people in jail or to work for the people. We run the government for the welfare of the people. When they (Congress) dont have any agenda they just want to send people to jail. Addressing a rally in Anantnag, Mallikarjun Kharge ignited a political firestorm by saying, If Congress had won 20 more seats, then they all would have been jailed. Kharge also mentioned the importance of the alliance and urged the supporters to be unafraid of the BJP. He also appealed to the party supporters to fight together and not blame each other. PM Modis Big Attack on Pariwarwad PM Modi launched a scathing attack on Congress, National Conference, and Peoples Democratic Party (PDP), saying that their dynastic politics has hollowed Jammu and Kashmir. This time the elections of Jammu and Kashmir are going to decide the fate of J&K. Since independence, our beloved J&K has been targeted by foreign powers. After that, pariwarwad (dynastic politics) started hollowing this beautiful state. The political parties you trusted here did not care about your children. Those political parties only promoted their children, he said. #WATCH | Doda, J&K: Prime Minister Narendra Modi says This times assembly election in Jammu and Kashmir is between three families and the youth of Jammu and Kashmir. One family belongs to Congress, one family belongs to the National Conference and one family belongs to pic.twitter.com/7KOp8H6M9Y ANI (@ANI) September 14, 2024 National Conference was quick to catch PM Modis criticism of the party and said that when BJP needed help from their families (Farooq Abdullah and Mehbooba Mufti), then they were not held responsible for the destruction of J&K. They found nothing wrong in PDP when were in an alliance with PDP in J&K They can find everything wrong with us during elections Tomorrow if BJP falls short of numbers, and PDP decides to help them, they will again find nothing wrong with the PDP. Its all a matter of time, said National Conference Vice President Omar Abdullah while speaking to reporters after PM Modis rally. Empty streets, missing pandals, and a sombre mood This years Durga Puja in Kolkata is a far cry from the usual festive fervour that lights up the The City of Joy. The brutal rape and murder of a 31-year-old trainee doctor at RG Kar Hospital has shaken the conscience of the state, and the country, with people taking to the streets demanding justice. In Kumartuli, which is usually buzzing with activity this time of the year, there is sadness and a palpable anger among people over the RG Kar horror as well as the Mamata Banerjee governments handling of the case. Many Durga Puja committees in Bengal have refused the Rs 85,000 government aid as a mark of protest. Organiser of Netaji Nagar Durgotsav Committee, Indranil Bose, told News18 that what happened with the young doctor could happen to anyone else. We are not taking the money as a mark of protest. This was a state-sponsored crime. If we take money, it will be clear that we are with the state. Last week, the chief ministers call to return to the festival drew huge criticism and people responded with a counter-slogan Utsav e phirchi na [will not return to the festival]. Bose also slammed Banerjees statement, calling it insensitive. Milan Roy, owner of a sari shop in Gariahat, said there were hardly any crowds in the market. Its evening now. During puja, the markets are generally so crowded that people find it difficult to even find a place to stand. However, this time, most people have gone for the protests. Not only in Gariahat, the situation is same in New Market too. Mohammad Javed runs a 36-year-old shoe shop in New Market and is worried about the economic setback of the protests. Our daughter has been killed so people are upset. But if this trend continues, we will suffer massive losses. Babloo Mondal, who sells clips and clutches, said he had never seen such a situation on puja. Everyone is attending the protest movement. May Maa give justice to our sister. I am sure she will also look after our business. While physical markets are seeing waning crowds, the online marketplace is booming. Special T-shirts with the slogans Amar Prothibader Bhasha (My language of protest) and Prothibader Adhikar (Right to protest) are in high demand. There are also some who have decided to not purchase anything this season as a mark of protest. Panchali Kar, a resident of Kolkata, told News18: I refuse to celebrate. Whats there to celebrate when corruption and hooliganism trump human rights? The Trinamool Congress is of the opinion that Puja is linked to the economy of the state and the celebrations must continue as peoples livelihoods depend on it. The party has also cited steps taken by the government including a stringent anti-rape Bill, handing over the RG Kar case to the CBI, as well as prompt probe to win over the confidence of people. TMC believes that the festive fervour can go hand-in-hand with the demand for justice, a sentiment also echoed by the BJP. BJPs Agnimitra Paul said rituals must be followed but given that the state was grieving, people should ask the goddess for justice. A 22-year-old youth from Telangana, who was unwittingly recruited into the Russian army and left stranded at the Russia-Ukraine border for months, expressed his gratitude to the Centre on Saturday for facilitating his return to the country. I still cannot believe that I have returned home. The horrific scenes of the ongoing war are still fresh in my mind said Mohammed Sufiyan, who returned home safely on Friday night after working as a support staff for the Russian army in its war with Ukraine. Sufiyan (22), who hails from Narayanpet district, thanked Prime Minister Narendra Modi, the Telangana government and also the media for facilitating his return. Sufiyan recalled that he reached Russia via Chennai and Dubai in December 2023 after being promised the job of a security personnel by a Mumbai-based employment agent. However, he was taken to the Russia-Ukraine border and subjected to training before being entrusted with work like loading vehicles and building bunkers, he told PTI. Sufiyan and others like him realised that they were misled, but could not establish contact with the main agent. The youth said he was taken close to the frontline but his health deteriorated after spending sleepless nights as the war raged on. Later, he was shifted to a green zone in Ukrainian territory under the control of Russia, about 60 kms from the frontlines, after he shared his ordeal. We were in a jungle for eight months, he said. The central government arranged air tickets to reach Delhi from Moscow, he added. Speaking to PTI in July this year, Sufiyans family expressed hope that he would return home following PM Narendra Modis visit to Russia. Russia had agreed to Indias demand to ensure early release of Indian nationals working with the Russian military as support staff after PM Modi very strongly took up the issue with President Vladimir Putin during his visit. Amid tensions surrounding the disputes over mosques in Shimla and Mandi, a trade union in Himachal Pradesh has mandated that the Manali market remain closed until 11 am on Saturday. Shops around Manali Mall Road are currently shuttered. Markets were closed in Sunni town as well as Sunni Vyapar Mandal called for a Bandh over the Sanjauli mosque row. #WATCH | Shimla, Himachal Pradesh: Markets closed in Sunni town as Sunni Vyapar Mandal calls for a Bandh over the Sanjauli mosque row pic.twitter.com/rJP2vP7l3L ANI (@ANI) September 14, 2024 This comes a day after Hindu groups held a protest march in Mandi demanding the demolition of an unauthorised portion of a mosque in the town and tried to force their way towards the structure. Police have gathered call details records of people who instigated the protest in Shimla to demolish an unauthorised portion of a mosque at Sanjauli. On Wednesday, six police personnel and four protestors were injured after police baton charged the agitators and used water cannons when they broke the barricades and reached the vicinity of the mosque. I appeal to everyone Chief Minister Sukhvinder Singh Sukhu appealed to the people of the state to maintain peace and brotherhood. I appeal to everyone to maintain peace and make no provocative statements. No one is allowed to take the law into their hands. We respect everyone. The land of Himachal respects all religions, Sukhu said after an all-party meeting in Shimla. Members of the Muslim community had on Thursday demolished a portion of the mosque in Mandi, saying it was a wall that was encroaching on government land. The protests in Mandi came just two days after Shimla saw protesters gathered on a call by Hindu groups clashing with security personnel during a demonstration to press for the demolition of an illegal portion of the mosque in the Sanjauli area. Protests by Hindu groups against the disputed structure in the Sanjauli mosque kept Shimla on the boil since last week. But on Wednesday, the protest took a violent turn with people breaking barricades and pelting stones as police used water cannons and batons to disperse them something unheard of in the cosmopolitan town. 8 FIRs Eight FIRs have been registered against more than 50 people involved in the protests in Shimla, police said. We have gathered records of call details of people who instigated Wednesdays protest at Sanjauli and more cases would be registered, Shimla SP Sanjeev Kumar Gandhi told PTI. There is CCTV footage, video evidence of people taking law in their hands and people carrying stones in their hands which were pelted on duty bound officers have been identified, he added. Gandhi said it was a pre-planned protest to disturb peace. In Mandi, raising slogans of Jai Shri Ram, the protesters initially held a march in the Mandi market area and sat on a dharna at Seri manch. Later, they made attempts to proceed towards the mosque but were stopped by police, who used water cannons to disperse them. The management committee of the Jail Road mosque in Mandi was on Friday served a notice by the municipal corporation to remove the portion built on encroached land within 30 days. According to the notice, the mosque stands on 232 sq m of land while the approval granted was just for 45 sq m. This came a day after members of the Muslim community demolished an unauthorised portion of the mosque on their own. In wake of escalating tension, the Muslim Welfare Committee had on Thursday made a major reconciliatory move urging the Municipal Commissioner to seal the unauthorised portion of the Sanjauli mosque and also offered to voluntarily demolish the portion. Giriraj Singh Union minister Giriraj Singh on Friday said unity among Hindus forced a Muslim welfare committee to bow down in the Sanjauli mosque issue in Himachal Pradesh. He said this while speaking to reporters here after inaugurating the shilpgraam in Naini area. Himachal Pradesh gives this message to the whole country that when you (Hindus) remain united, then there will be no power in the world neither any white person nor any Mughal will come (here) (Himachal Pradesh poore desh ko yeh sandesh deta hai ki jab tum (Hindu) ekjut rahoge to duniya kee koee taakat nahin na to koi gora aayega aur naa hee Mughal), he said. Port Blair, the capital of Andaman and Nicobar Islands, will now be called Sri Vijaya Puram, announced the Central government on Friday. Union Home Minister Amit Shah said that it was done to realise Prime Minister Narendra Modis vision to free the nation from the colonial imprints. The name Port Blair carried a colonial legacy. Announcing the move to rename Port Blair, Shah said, Sri Vijaya Puram symbolises the victory achieved in our freedom struggle and the A&N Islands unique role in the same. The Andaman & Nicobar Islands have an unparalleled place in our freedom struggle and history. The island territory that once served as the naval base of the Chola Empire is today poised to be the critical base for our strategic and development aspirations. It is also the place that hosted the first unfurling of our Tiranga by Netaji Subhash Chandra Bose Ji and also the Cellular Jail in which Veer Savarkar Ji and other freedom fighters struggled for an independent nation, added Shah. While the new name echoes the citys involvement and leading role in the Indian Independence movement, the old name- Port Blair- was derived from a British naval officer from the 18th century, named Lieutenant Archibald Blair. The Blair Of Port Blair: All You Need to Know Port Blair was named after Lieutenant Archibald Blair, a British naval officer and surveyor in the Bombay Marine who served in the Royal Navy during the early 18th century. The then Government of Bengal established a penal colony on Chatham Island in the Andaman and Nicobar Islands and named it in honour of Archibald Blair in 1789. During his career, Blair considerably contributed to British colonial efforts as his presence in the Andaman and Nicobar Islands was a part of the border strategy to establish British control in the strategically key region. Blair largely contributed to the island region when the British authorities launched an initiative to explore and develop the Andaman and Nicobar Islands. He led the initiative to survey the islands and established early administration in the region for British colonial rule. The naming of Port Blair after his name reflected his key contribution to the British colonial expansion in the eastern Bay of Bengal. Later, the port became a crucial point for several activities including military, administrative and trade in the Andaman and Nicobar Islands. It served as the operational centre for the British authorities, who once had a vision to exert control and manage the island region more effectively. Port Blairs development under Blairs supervision helped transform the remote and strategically important region in the British maritime network. While personal detailed records linked with Blars life are limited, his professional records in the islands left a massive impact, such that the town was named after him. While the history showed how a fishing village was named Port Blair in tribute to a British naval officer, the new nameVijaya Puram, reflects the governments vision to honour the citys contribution to the freedom movement of India. Hai Mujhe Saugandh Bharat, Bhulu Na Ek Kshann Tujhe, Rakt Ki Har Boondi Meri, Hai Mera Arpan Tujhe. These words resonate in every step taken by Narendra Damodardas Modi over the last decade as Prime Minister of Bharat. As he turns 74 on September 17, one must acknowledge the staunch patriot in him. A visionary leader who has tirelessly endeavoured to set Bharat on the path to Atmanirbharta or self-sufficiency. There are many aspects to PM Modis governance model. However, this is an attempt to focus on the systematic shift of Bharats perception before 2014 as a soft nation and weak link to a strong, confident, and dependable nation over the last 10 years. From non-aligned and largely submissive to asserting strategic autonomy and an aggressively defensive posture, Bharat under PM Modi has seen a significant psych upgrade. This hasnt been easy, and this is far from complete. We have just about embarked on this journey and have a long way to go. Sample this, the total length of Indias land and sea borders is 15,106.7 kilometres of land and 7,516.6 kilometres of coastline. We share our land borders with nine nations of which some are hostile. Nearly 6,000 km of our land borders remain unfenced or not clearly defined. This is a serious security challenge. There is also a perennial two-front challenge on the eastern and western sides of Bharat and in the last decade, both sides have seen significant activity. In February 2014, AK Antony, the then defence minister, told the nation that the defence ministry had used up 92% of its budget and had no money to buy the much-needed Rafale fighter jets and that all major deals would have to wait until April 1. Bharat was vulnerable. The enemy was chipping away at our sovereign territory via salami slicing on the one side and with a four-decade proxy war on the other. Then there was the serious challenge of narco-religious forces quietly working to destabilise the north-east states, especially along the Myanmar border. Our coastline was also vulnerable with the threat of another attack like 26/11 via the sea looming large. The adversarys warships had started swirling dangerously close to our waters. There was a lot to be done. Despite the many challenges and obstacles, Modi & Team started the repair work. Work on border roads and infrastructure was initiated, and veterans were roped in via think tanks to suggest effective ways to turn things around. OROP brought in the required belief that under Modi, there was an intent to get things done. Meanwhile, PM Modi attempted to talk peace with pesky neighbours with the hope that they would take a step if he took two. However, reality bit and bit hard. 2016 tested Bharats resolve and mettle with Pathankot and Uri. Interestingly, there was a significant shift in PM Modis approach. While Pakistan was given some leeway post-Pathankot attack, Uri was responded to with severe punishment. The surgical strike was a lesson for both Bharat and Pakistan. Bharat under Modi wants peace but will punish those that take its affinity for non-violence as a weakness. The strong, muscular yet benign posture started taking shape here. And the world took notice. The Red Line with Pakistan was drawn. The process of systematic and thorough strategic demolition of Pakistan had begun. The surgical strike was the first page in the Isolate Pakistan playbook being developed under PM Modi. SEPT 2016: India conducts surgical Ssrikes within PoK to eliminate terrorists responsible for the Uri attacks; sends a strong signal to Pakistan SEPT 2016: India pulls out of SAARC summit to be hosted by Pakistan, three other countries follow, Pakistan completely isolated JUNE 2018: Indias diplomatic efforts successful as Pakistan is formally placed on FATFs grey list despite Chinas nefarious backing FEB 2019: India for the first time crosses the International border, to enter mainland Pakistan to launch an aerial attack on ISI-backed terrorists in Balakot. This is followed by Paks attempt to attack India and later being shamed and forced to release Wing Commander Abhinandan Varthaman AUG 2019: Final blow to Pakistans proxy war in Kashmir as India abrogates Article 370, making the Constitution of India applicable to Kashmir Saugandh Mujhe Iss Mitti Ki, Main Desh Nahi Jhukne Doonga was the promise that PM Modi made post-Balakot and carried through soon after coming to power again in 2019. 5th August 2019 will be a red-letter day forever in Bharats history. Bharat became one nation with one constitution in the true sense this day. The abrogation of Article 370 was a game-changer. With this huge move, PM Modi and his government put paid to the machinations of the enemy that wanted to sever J&K out of Bharat. It was a tectonic shift most ably managed. And, this hurt not just Pakistan but China too. Two months post-Balakot, Modi played host to Xi Jinping in Mahabalipuram. The effort to make peace with another adversary was evident. But soon after India walked out of RCEP in November 2019. It was clear to the government under PM Modi that RCEP wasnt helping Bharat. At the peak of the Covid first wave, the PLA made its move. Galwan happened. But unlike in the past, Bharat didnt meekly surrender and yield more of its sovereign territory to the bully. Bharat decided to counter China in equal measure if not more. PLA soldiers were shocked at the bloody nose that they received. PM Modi himself went to Ladakh and further embellished Bharats changed posture with Veer Bhogya Vasundhara. Only the strong and brave get to enjoy the benefits of the earth. Bharat told the whole world that it no longer shirks from flexing muscle and if required will defend its borders with aggression. The government under PM Modi struck a few telling blows to send a strong message to the CCP-led China. JUNE 2020: China tries mischief at Galwan. India forcefully thwarts attempt. In the clash as many as 38 Chinese soldiers are killed. China officially admits just four deaths on its side JUNE 2020: India bans TikTok and 38 other Chinese apps JULY 2020: Modi visits Leh, boosts forces morale saying, Enemies of Mother India have seen your fire and your fury as well SEPT 2023: Indias branding showcase results as Reuters notes that US and European firms are shifting investment away from China to India. It didnt stop here. The PM pushed for Atmanirbhar Bharat and started aggressively coveting nations that were moving onto China + 1 plank for their manufacturing and sourcing needs. RE-ESTABLISHMENT OF QUAD TO COUNTER CHINA: In 2017, India, Australia, Japan, and the USA revived the QUAD, a strategic forum between the four countries, as an attempt to counter Chinas rising influence in the region. QUAD countries only came closer post the 2020-21 India-China skirmishes and have served as a countermeasure/check on China since DEFENCE ATMANIRBHARTA AND ITS IMPACT The Defence Acquisition Procedure (DAP) 2020 mandates 50% indigenous content in procurement contracts, encouraging foreign OEMs to establish facilities in India The Srijan Indigenisation Portal, launched in 2020, supports this with over 34,000 items listed for indigenisation Two defence corridors in Tamil Nadu and Uttar Pradesh have attracted significant investments, including SAABs 100% FDI project for the Carl Gustav M4 rocket system Notable progress includes the INS Vikrant aircraft carrier and Project 17A frigates, with a focus on enhancing naval, missile, and electronic capabilities The Centre has increased the domestic capital procurement budget from 40% in 2020-21 to 75% in 2023-24, with 25% of this reserved for private sector purchases Indias defence production crossed Rs 1,00,000 crore in 2022-23, with a target of Rs 1,35,000 crore for 2023-24 DRDOs Dare to Dream contest fosters start-ups, and a quarter of the R&D budget is allocated to academia and industry. India aims for Rs 35,000 crore in defence exports by 2025 From a weak-minded approach of leaving our border infrastructure poor and bare to make it difficult for the enemy to enter our territory to modernising and improving connectivity at an urgent pace, PM Modi has re-energised and revolutionised thinking. The enemy is taking note and has been forced to re-calibrate. Yearly summary of roads constructed BRO had completed following 257 border roads of 13,525 km during 201722: Arunachal Pradesh: 64 (3,097-km) Ladakh: 43 (3,141-km) J&K: 61 (2,382-km) Himachal Pradesh: (739-km) Uttarakhand: 22 (947-km) Sikkim: 18 (664-km) Rajasthan: 13 (884-km) Mizoram: 8 (590-km) Manipur: 8 (492-km) The shape of our defence posture is increasingly getting more muscular. Yet it remains benign. PM Modi has endeavoured to strengthen Bharat both on the ground, in the air, and in the seas. The S400 anti-missile system deal, the Scorpene deal, Rafale and Apache, are among the many procurements made in the last ten years, quite contrary to February 2014. PM Modi has also understood the importance of aerial security. Our space programme with a focus on defence technology has grown by leaps and bounds. INDIAS SPACE JUMP, WITH ASAT MISSILES, MISSION SHAKTI Defence Research and Development Organisation (DRDO) successfully neutralised a satellite in space with its anti-satellite (ASAT) missile on 27th March 2019, in Mission Shakti The successful mission demonstrated DRDOs technical prowess and ability to defend the countrys assets in space, the 4th dimension of warfare The mission was one of the most complex operations undertaken by DRDO in which a missile launched from the ground had to hit and neutralise with pinpoint accuracy a fast-moving satellite in orbit hundreds of kilometres away India also raised its own Defence Space Agency under HQ Integrated Defence Staff (HQ IDS), and its technical counterpart, the Directorate of Special Projects under Defence Research and Development Organisation (DRDO) in October 2018 and operationalised it in June 2019 As PM Modi turns 74, he can take pride in what has been achieved under his leadership in the last decade with respect to improving our defence posture. But he cant rest on his laurels. Bharat faces many more challenges. The enemies on the two sides are still active. There is a renewed thrust to the proxy war. Drugs and drones are being used along with the conventional method of pushing in foreign terrorists via infiltration. The adversary on the eastern front is scoping all options to force an easing of tensions along the LAC so that it can catch us off guard again. Then there is a fresh front brewing. With Manipur on the boil soon after a regime change in Bangladesh, there is an imminent threat from narco-religious forces, Islamist forces, and hegemonistic deep states both in the west and the east, to our national security and territorial integrity. But where there are problems, there is opportunity, and where there is opportunity, Solutions present themselves. A fitting birthday reminder for a man for whom without a shred of doubt, Bharat comes first. Silsila Ye Baad Mere, Yun Hi Chalna Chahiye, Main Rahoon Ya Rahu, Bharat Ye Rahna Chahiye. Hindi Diwas is marked annually on September 14 in India. This day marks the adoption of Hindi as one of the official languages of India by the Constituent Assembly in 1949. Hindi Diwas is more than just a date; it highlights Indias linguistic diversity and cultural richness while emphasising the importance of Hindi. On this day, people across the nation take time to honour and promote the use of Hindi and reflect on its role in shaping Indias vibrant linguistic landscape. Its a time when people celebrate the beauty and versatility of Hindi through various educational programmes, cultural events and language preservation initiatives. In honour of Hindi Diwas, lets explore its history, and significance, and share some heartwarming wishes and insightful quotes. Hindi Diwas Wishes Dont be ashamed to speak Hindi. Hearty greetings to all of you on Hindi Day! Happy Hindi Diwas! May this day remind us of the beauty and richness of our language. Hindi has been such a language since its inception that has not boycotted any words simply because it is foreign. Let us never forget that and celebrate our language. Hindi is the language of our hearts and minds, let us all cherish it. Till Hindi is not made the power of the poor, till then the country will not get freedom from poverty. Happy Hindi Diwas! Wishing you a very Happy Hindi Diwas to you. Let us add more purpose to this day by promising ourselves to always stand for the honour of our mother tongue. Hindi is the most comfortable language of all. Share the love for your language not just today, but every day. Happy Hindi Diwas! Celebrate the day as a patriotic reminder of our common roots and unity, Happy Hindi Diwas! Take pride in your mother tongue, Hindi the language of love and comfort. Make the most of Vishwa Hindi Diwas. Hindi is the strength of every Indian, Hindi is a spontaneous expression, Happy World Hindi Day 2024. Hindi Diwas 2024: Quotes To Share 1. Hindi is the language of the heart, and it is the language of the people. Jawaharlal Nehru 2. A country that does not have the pride of its language and literature cannot be advanced. Dr Rajendra Prasad 3.Hindi is the language of unity and integration. Mahatma Gandhi 4.Hindi is the language of progress and development. Narendra Modi 5.Hindi Bhartiya Sanskriti ki aatma hai (Hindi is the soul of Indian culture) Kamla Pati Tripathi 6.Main un logon me se hoon, jo chahte hai aur jinka vichar hai, ki Hindi hi bharat ki rashtra bhasha ho sakti hai. (I am one of those who think only Hindi can be Indias national language) Bal Gangadhar Tilak 7. Rashtra ekta ki kadi Hindi me Jodi ja sakti hai. (Hindi can tie together the country in unity) Balkrishna Sharma Navin 8.Hindi is the simplest source of expression of our nation Sumitranandan Pant 9. If we need a single script for all Indian languages, then it is Devnagri Justice Krishnaswami Ayyar. 10. No one can stop the propagation and development of Hindi Pt Govind Ballabh Pant. Hindi Diwas History The history of Hindi Diwas traces back to the early days of Indian independence. A group of Hindi scholars and activists formed the Hindi Sahitya Sammelan (Hindi Literary Conference) in 1918 to promote using Hindi as a national language. The conference played a key role in the adoption of Hindi as Indias official language. The Constituent Assembly of India declared Hindi as the national tongue of India, the newly independent nation on September 14, 1949. Later, Jawaharlal Nehru, the first prime minister of India, decided to designate September 14 as Hindi Diwas in order to promote and develop Hindi as the lingua franca of India. World Hindi Day was first observed in 1953. Hindi Diwas 2024: Significance Hindi Diwas is significant because it serves as a reminder of the cultural and historical significance of the language. The day underscores the importance of linguistic diversity and the unity of India. It encourages efforts to preserve and promote the language, which is one of the most widely spoken languages in India. Schools, colleges and government institutions often hold various programs and events across the country to highlight the importance of the Hindi language in unifying the diverse linguistic landscape of India. Hindi Diwas 2024: Facts 1. As per the data shared by Ethnologue in 2024, Hindi is the 3rd most spoken language in the world, just behind English and Mandarin. 2. Hindi has more than 615 million speakers around the world. 3. The Government of India adopted Hindi as the official language on September 14, 1949. 4. In 1977, Atal Bihari Vajpayee proudly showed respect for the Hindi Language and presented a speech in the Hindi language to the United Nations. 5. The word Hindi is derived from the Persian word Hind. It means the land of the Indus River. 6. Nepal, Fiji, Guyana, Mauritius, Suriname, and Trinidad and Tobago are some countries where Hindi is widely spoken. 7. Hindi is taught as a subject in 176 universities worldwide, as per the Indian Council for Cultural Relations. 8. The first Hindi books, Heera Lals treatise on Ain E Akbari, called Ain e Akbari ki Bhasha Vachanika, and Rewa Maharajas treatise on Kabir, are believed to have been published in 1795. 9. Alam Ara is considered to be the first Hindi talking movie, which was released on March 14, 1931. 10. Amit Khusro, a renowned poet, was the first writer who composed and released the first poem in Hindi. Sarabjit Singh, former Director General of Police in Punjab, was at the center of the action when a hijacked Indian Airlines flight from Kathmandu landed in Amritsar in December 1999. He recalled the back-and-forth with authorities in New Delhi during the crisis. Flight IC 814 stayed in Amritsar for about 45 minutes before taking off again, eventually landing in Kandahar. There, it remained for several days as Indian officials negotiated with terrorists to free the hostages. Letting the plane leave Amritsar was considered a big mistake, as it then entered hostile territory. This hijacking was recently dramatised in the Netflix series IC 814: The Kandahar Hijack. In an interview with The Tribune, Sarabjit Singh shared that he learned about the hijacking from the news. Though he was in Chandigarh, he trusted his instinct and sent two commando units to Amritsar airport just in case. He stayed in contact with IB chief Shyamal Dutta and former R&AW chief AS Dulat. Meanwhile, DIG Border Jaswinder Singh reached the ATC tower at Amritsar airport and spoke with the flight captain, Devi Sharan. He said, My first reaction, when I was told that the plane had landed in Amritsar, before I could talk to Delhi, was, Dont refuel. Devi Sharan was stressing, Please give us fuel, please give us fuel. In the meantime, Delhi is telling me to do something, and I said, Look, I have two companies out there, theyre armed with automatic weapons, but we dont have any equipment specific to hijacking. We dont even have a ladder to reach the plane. Shooting will take place. And if shooting takes place, I cannot tell you how many will die. The plan was to delay things by refusing fuel and wait for the NSG to arrive. Sarabjit was shocked when Captain Devi Sharan took off after 45 minutes, even though the plane had less than 10 minutes of fuel. It flew to Lahore, got refueled, and headed to Dubai and then Kandahar. Praising Sharans braverym Sarabjit said, I would give full marks to Devi Sharan for courage and coolness. He pretended to be scared, but I could see that the man was in full control of what he was trying to do I could never have imagined that a chap with 9 minutes of fuel wouldve taken off. I was working on the concept no-fuel-no-takeoff No pilot with a sensible mind would take off like this In response to criticism, Sarabjit explained, I had warned the people in Delhi that I have people waiting on the ground, but if we take action, there would be shooting, and people will die. As per our protocol, the central CMG handles hijacks, and they told me, Dont shoot. I told Shyamal, If youre ready, Ill start, but he said, Dont. Disable the plane, but dont move in with your chaps. I told him, Goli chalegi toh log marenge, and he said, Goli mat chalao. Vijay Varma starrer IC184 The Kandahar Hijack is the re-telling of the infamous plane hijack in Indias defence history. It has piqued the nations interest and the Indian officials involved in the negotiations are recalling the ordeal. Kannada actor Upendra is preparing to make his long-awaited return to Tamil films after 16 years. He will be starring opposite superstar Rajinikanth in the upcoming gangster drama Coolie. Recently, in an interview, Upendra revealed how he landed the intriguing role of Kaleesha in the Lokesh Kanagaraj-directorial, which is garnering everyones attention. Upendra said that when director Lokesh visited him, he immediately said yes to the script without thinking of anything. He said he is not bothered by what the role is; he just wanted to stand beside Rajinikanth and share screen space with him. Upendra told me, When Lokesh visited me with a one-liner, I said, I dont want you to tell me anything. I just want to stand beside Rajinikanth, sir. I am a huge fan of his. Who wouldnt wish to act with him? Its a huge honour for me. Theres only one Superstar, and his name is Rajinikanth. Previously, the creators of Coolie presented the first look and character poster for Upendra from the film. The directors introduced him as Kaleesha, and unveiled a fierce character played by the Kannada star, showcasing his intense avatar with a hook in his hand and gazing upward with rage. The poster generated a lot of talk among netizens, who are excited to see their favourite stars combo sequences on the big screen soon! Coolie is an upcoming Indian Tamil-language action thriller film directed by Lokesh Kanagaraj, produced by Sun Pictures. The film stars Rajinikanth in the titular role, alongside Soubin Shahir, Nagarjuna, Shruti Haasan, Sathyaraj, and Upendra. The producers of the upcoming action film had previously released an exciting title teaser that featured superstar Rajinikanth fighting villains in a factory-like environment. The brief peek was captured in a monochrome style, with only the gold hue accentuated. The teaser received a massive reception from viewers, who are eagerly waiting for this exciting collaboration.The film has music composed by Anirudh Ravichander, cinematography handled by Girish Gangadharan, and editing by Philomin Raj. Coolie will be released in both worldwild and IMAX formats across the world in 2025. Popular Netflix show Squid Game is a blatant rip-off of an Indian film, claimed a recently filed lawsuit. Filmmaker Soham Shah, known for directing films like Kaal and Luck, recently sued Netflix, alleging that the streaming giant copied the blueprint of his 2009 Imran Khan and Shruti Haasan starrer movie, states a TMZ report. In the lawsuit filed at the federal court in New York, Shah argued that the plot of Luck closely resembles that of Squid Game, further claiming that he originally developed the films storyline in 2006. The Netflix franchise has allegedly jacked the storyline, where a group of desperate indebted people take part in a series of competitive games to win large sums of money. Those failing to do so lost their lives. Shah also noted that his films story even features rich onlookers gambling on the players while the participants struggle to stay alive and grapple under extreme conditions. Sounds similar to Squid Game? Well, the Indian filmmaker believes so. Shah further alleged that Squid Game writer Hwang Dong-hyuk, who has also been sued, wrote the story in 2009, the same year as the movies release. He said that Netflix had all the access to watch the film due to considerable advertising and marketing. Following its global release, Squid Game raked in a whopping $900 million increase in market value. Shah is reportedly seeking a portion of the value and is also looking forward to preventing Netflix from infringing on his copyright to the Bollywood film. So far, no statement has been issued by the streaming platform. More On Squid Game The lawsuit comes at a time when the Netflix show is gearing up for its upcoming seasons. Earlier this year, Netflix announced the release date for Squid Game Season 2, which will premiere on December 26. The announcement also included the release window for the third and final season, slated for 2025. View this post on Instagram A post shared by Netflix US (@netflix) The real game begins. Squid Game Season 2 is coming on December 26, only on Netflix. Final season coming in 2025, the caption read. According to the official synopsis, Player 456, aka Gi-hun, who came out as the winner of the deadly game in Season 1, is now determined to end the vicious sport. Namrata Shirodkar regularly delights her fans with glimpses of her personal life through her Instagram posts. She recently took to social media to share a heartwarming throwback video from a memorable family trip to New York. In the video, viewers get a rare peek into the cherished moments spent with her husband, South star Mahesh Babu, and their children, Gautam and Sitara Ghattamaneni. The vacation was particularly significant as it marked their son Gautams 18th birthday, making the trip a special celebration for the family. The video captures the joy and togetherness of the occasion, showcasing the bond between the family members. The clip begins with an adorable selfie of Namrata alongside her daughter Sitara and son Gautam. Following that is a family photo, which includes Namrata, Mahesh Babu, Sitara and Gautam together. Theres a candid pic of Gautam and Sitara sharing a laugh while looking at something on their phone. We also see Namrata posing solo on the streets against the backdrop of a beautiful building. She looks stunning in an all-black ensemble. In another shot, she captures a picture of Sitara from behind, who is seen walking down the street in a yellow top and blue skirt. The video also includes an adorable photo of Mahesh Babu with their two kids. The video further captures Namratas happy moments with her closed ones. Theres even a picture of a baby panda sleeping peacefully inside a car. Alongside the video, Namrata wrote in the caption, Caught in a New York minute View this post on Instagram A post shared by Namrata Shirodkar (@namratashirodkar) Fans and followers of the actress quickly showered the post with an outpouring of love and adoration. One user wrote, Slays everywhere, while another user mentioned, I love your family. Just a few days ago, Namrata posted a photo with Mahesh Babu from the same trip. In the photo, Namrata can be seen holding Maheshs hand and the two strike a happy pose for the camera. Mahesh looked handsome in a light blue T-shirt, dark blue denim, a beige cap, and sunglasses. Meanwhile, Namrata donned a casual outfit completed with a pink scarf. Accompanying the photo, Namrata wrote, Somewhere between living and dreaming, theres New York. View this post on Instagram A post shared by Namrata Shirodkar (@namratashirodkar) Another post featured pictures from her son Gautams 18th birthday celebrations in New York City. In the photo, Namrata Shirodkar, Mahesh Babu, and their kids, Sitara and Gautam, are all smiles as they pose for a family photo. View this post on Instagram A post shared by Namrata Shirodkar (@namratashirodkar) What do you think of Namrata Shirodkars heartwarming family photos? Share your thoughts on these cherished family moments in the comments below! Sana Makbul is currently dating Telugu businessman, Srikanth Bureddy. He grabbed attention when he arrived to pick her up on the grand finale of Big Boss OTT 3 in Mumbai. He confirmed their relationship while addressing the media and even stated that hes planning on marrying her very soon. Now, in an exclusive chat with News18 Showsha, Sana tells us that she has never been into actors and spills the beans on why never wanted to date them. She says, Ive actor friends whore either married or are dating but they dont have that sense of security. Understanding each other is rare and its beautiful. Taking each others criticisms positively is very important. Everyone has their own perspective on this but Ive never dated anybody whos an actor. Ive never been in a situation like that. Ive always looked for somebody outside the industry, maybe a businessman. And since I havent had the experience of dating an actor, I dont know how it works. But the one couple she looks up to his Neha Kakkar and her husband, Rohanpreet Singh. Sana recently collaborated with Rohanpreet on the recently released music video titled Kaala Maal. Talking about them, she says, If I talk about Rohanpreet and Neha, theres an understanding that they share and thats what partnership is all about. Its all about appreciating each other. If you and your partner are compatible, half the battle is already won. As husband and wife, they understand each others professions too. Sana admits that its never easy for couples belonging to the same profession. And commenting on how the idea is to not take criticisms to heart in a relationship, the Bigg Boss OTT 3 winner adds, Judgement is a deal-breaker. They may not like each others works but if theyve the transparency when it comes to communication, then it gets very easy. Neha and Rohanpreet give so much importance to each other and thats why theyre together. I look at them and feel really jealous (laughs). Im in awe of them. I want to have what they have. Kaala Maal chronicles the upheavals a modern day couple goes through. And though shes a woman of today, she tells us that modern dating isnt meant for her. Emphasising on how she doesnt endorse the idea of dating apps, Sana remarks, Im not into left swipe and right swipe. Its a big cross! Im really old school. Commitment is very important to me. If Ive committed to someone, Ill be with them forever. My mantra is that either you dont be in a relationship ya toh usko nibhaao agar aapne kisiko zabaan de diya hai toh. But whats it about the courtship period that she loves the most? I love going on dates. Once I was in Thailand and somebody took me out on a date where it was just me and him. It was my birthday and so, it was really special, says the Iss Pyaar Ko Kya Naam Doon and Kitani Mohabbat Hai 2 actor. Keeping up with his annual tradition, Vin Diesel did not forget to pay a heartfelt tribute to his late friend and co-star Paul Walker on his 51st birth anniversary. The Fast and Furious actor, who shared the screen with Diesel in six of the first seven installments, passed away in 2013. Ever since, Vin Diesel has been ritually observing his best friends birthday every year, cherishing their past memories on social media as he wrote, I miss you Pablo. In a late-birthday post this year, Diesel shared a touching note on Instagram with an old picture. Looking back, a quarter century ago I believe this was the moment we knew that our brotherhood was going to change Hollywood, that you and I meant something to the world a multicultural brotherhood, bond not by blood, but by love, he wrote. View this post on Instagram A post shared by Vin Diesel (@vindiesel) Vin Diesel went on to share about meeting Paul Walkers parents, and his daughter, adding that the late actor would have been proud of Meadow. I saw your mother and Father this summer. I could feel you in their loving embrace for the last 11 years I have held words like talismans of light, each has gifted me so much with their love and wisdom. And your daughter my God, shes actually continuing your good works, speaking on behalf of our oceans. Just weeks ago, at a birthday dinner Meadow gave a toast, man you would have been so damn proud. She has a way of speaking from her heart that echoes the melody of grace so beautifully, the note added. Diesel concluded the post by describing their brotherhood, which is timeless and bonded by love. Amid the emotional words, fans also happened to notice an unclear hint about Fast & Furious 11, with Diesel revealing he has found some concept art for the next film and is bracing himself. However, not much has been revealed so far about the project, especially after Fast Xs cliffhanger ending. Paul Walker died in a car accident when he was still shooting for Furious 7, leaving his fans and co-stars in shock. Having played Brian OConner opposite the XXX stars Dominic Teretto for years, Walkers demise left a major hole in the franchise. To complete the film, Brians scenes were rewritten, including an emotional tribute to the late actor. Recently, several videos have gained popularity, presenting evidence that suggests US involvement in the ongoing crises in Manipur and Bangladesh. A reflection on the comments made by Bangladeshs former Prime Minister Sheikh Hasina a few months ago seems increasingly relevant and warrants serious consideration. On May 23, 2024, Hasina revealed that a white person had asked her to allow his country to establish a military base in Bangladesh, assuring her that if she agreed, she wouldnt have to worry about the upcoming election in January. She further claimed that a plot was underway to create a Christian state, like East Timor by taking parts of Bangladesh (Chattogram) and Myanmar, with a base in the Bay of Bengal. This plan, according to her, also included parts of Mizoram, Manipur, and other Northeastern states of India. Theories have been circulating for some time, suggesting that the US is seeking to establish a foothold in this region, allegedly by creating an independent Christian Zo state. This state would comprise areas of Bangladesh, Myanmar, Manipur, and Mizoram, inhabited by the Christian Kuki-Chin-Mizo people. Establishing a Christian-majority nation in a non-Christian region would provide a strategic stronghold for Western influence in Southeast Asia. Additionally, it is noteworthy that certain sections of the Kuki population are heavily involved in poppy cultivation and drug trafficking from Myanmar. Currently, the same Christian Kukis, many of whom are illegal immigrants from Myanmar into Manipur, are in conflict with the native Meitei community, which is predominantly Hindu. It is believed that with the fall of Hasina, there are efforts to back the Christian Kukis, aiding them in creating a power base and establishing a drug trafficking corridor between India, Bangladesh, and Myanmar. This is exactly what Hasina had warned about. Christianisation of Northeast India Involvement of the US in the Northeast parts of India is not a recent development, having started as far back as the 19th century. One of the major issues in the Northeast today is the rampant Christian conversions by Western evangelists, which have continued unabated since the 19th century. This has significantly altered the demographics and socio-political landscape of at least three Northeast statesMizoram, Nagaland, and Meghalayasince Indias independence. Manipur, once a predominantly Hindu-Sanamahi state, now has a Christian population of 41 per cent, up from 8 per cent in 1931. While there are large populations of Hindus in urban areas, the hilly parts of Manipur are now mostly Christianised. The Christian conversion menace entered northeast India around 1870, with the arrival of British and American evangelists; but the conversions were slow at that time. While British missionaries eventually gave up after encountering difficulties, American missionaries were relentless, continuing their efforts to convert the local population. These proselytisation efforts were largely carried out by imparting the so-called superior Western form of education through missionary schools, and by introducing Western concepts of feminism among tribal women, which aimed at removing them from their age-old traditional roles. Owing to the persistent efforts, by 1931, the Christian population in the Northeast states rose to around 15 per cent. Conversions were primarily achieved by offering special privileges and benefits to tribesmen who converted to Christianity, benefits that were denied to non-Christians. These new converts, seeing the advantages, remained loyal to the British government for their own gain and did not participate in the Indian nationalist movement. The British government, in turn, increased its funding to Christian missionaries to accelerate the conversion process in the Northeastern parts of India. However, prior to the start of World War II, British and American influence in the Northeast weakened, and without political backing, the missionaries were soon forced to leave. As a result, the Northeast experienced a slight decline in Christianity between 1931 and 1941. However, things soon changed, and the 1940s and 1950s saw a significant surge in the spread of Christianity in Northeast India. During this period, Mizoram became 90 per cent Christian, while Nagaland became 46 per cent Christian. There were two main reasons for this sudden spread of Christianity: The first reason was the arrival of the American Southern Baptist Church, which is extremely fanatic in its conversion methods. This church, which adheres closely to the original form of Christianity, is the same church that is currently present in Tamil Nadu and Andhra Pradesh, conducting mass conversion programmes. The second reason was of course, Jawaharlal Nehru. He facilitated the rapid Christianisation of the Northeast after independence. In 1942, Britains Sir Reginald Coupland came to India to ensure that the missionary agenda in the Northeastern states continued uninterrupted, and that proselytisation would continue to maintain the loyalty of members of the crown colony. It was at this time that Coupland planned a separate condominium comprising the Northeast states of India, and Burma, which had loyal Christian tribal hill people, and Nehru agreed. So, after independence, a scenario arose where tribal rights in the Northeast states were deliberately disregarded and became non-existent, while foreign evangelists were given free rein. Missionaries became Nehrus advisors, and he simply followed their orders. One name that needs a special mention here is that of Dr Verrier Elwin, a Christian evangelist masquerading as an anthropological advisor to Nehru. Verrier and Nehru apparently signed an agreement that disallowed Hindu sadhus from entering Nagaland. Conversions became a cakewalk after that. Manipur Conflict Issue As evangelists began their conversion activities in the Northeast states of India under British rule, the situation in Manipur was distinct from that in the other states. The Meiteis had a long history of ruling the state from ancient times, and it remained under a Hindu king, initially not coming under British control in Assam. Eventually, the British conquered Manipur in 1891, but the Hindu king remained in control, and the British agreed to keep Christian evangelists out of Manipur. William Pettigrew, a young British missionary with the American Baptist Mission, eventually received permission to establish a school in Imphal, though he was not allowed to preach Christianity. However, around 1894, Pettigrew was granted permission to continue his evangelical work in the hilly areas of Manipur, particularly among the Tangkhul tribes. Thus began the conversion of animistic tribes in Manipur to Christianity, achieved through various baits, such as providing Western medical aid and education. In 1901, Christians made up approximately 8 per cent of Manipurs population, while Hindus constituted around 60 per cent. By 1991, the Christian population in Manipur had risen to 34.11 per cent, and by 2011, it was projected to be around 41 per cent. This sharp increase in the Christianisation of the hill tribes resulted in a wide socio-political-cultural gap between the Christian tribes of the Hills and the Hindu Meiteis of the valley, and has currently become a major source of socio-political rivalry between the two communities. Manipur has seen many ethnic conflicts since the time of British rule, with various revolts led by the Naga and Kuki tribes under the colonial government. Like many borders in Northeast India, the hill areas of Manipur also had vague demarcations. When the British annexed Manipur in 1891, the hill areas were made part of the Imphal Valley, under the control of the Raja of Manipur. However, typical of their policy of divide and rule, the British subsequently separated portions of some hill ranges, such as the Chin Hills, Naga Hills, Cachar Hills, and Lushai Hills, thus dividing the hill communities by borders under the excuse of administrative and military ease. Also, as the British provided special privileges to converted tribes, the hilly areas that majorly converted to Christianity were a favoured lot, which opened up another crack between the communities living in Manipur. Despite officially allowing the hill areas into Imphal Valley under the Raja of Manipurs control in 1891, the British ensured that these areas remained under their de facto control via separate administrative tactics. This rather strange Valley-Hill administrative policy of living separate yet together continued after 1947, with no changes even after 1949 when the princely state of Manipur joined the Indian Union. This divisive administrative mechanism resulted in wide socio-cultural and political fissures between the Meitei and Kuki communities. Owing to these fissures created by the British, the hill tribes started a separatist movement in 1947 and demanded secession from Manipur. Unfortunately, the divisive games instigated by the British continued in free India, and when land laws were enacted in Manipur in 1960, the hill areas were made an exception. In simple terms, the land laws of Manipur do not apply to the hill areas, exacerbating the existing socio-political fissures. In Manipur, the Meiteis are considered the majority and the most powerful ethnic group. Despite British and later Nehru-backed evangelism, Hinduism, largely practised by the Meiteis, remains the religion of the majority and is regarded as the oldest religion in the state. Among the hill tribes, the Nagas and the Kukis are the two main groups and are mostly Christian, while some still follow traditional animistic religions. Alongside these groups are the Meitei Pangals (Muslims), who are scattered across the hills and the valley. The conflict between these groups has a long history, exacerbated by the British through their separate administrative policies, a system, unfortunately, continued by the Indian government after independence. Manipur has seen many conflicts, such as the Naga-Kuki conflict in 1993 and the Meitei-Pangal conflict in the same year. Meanwhile, tensions between the Meiteis and Nagas have simmered but not actively erupted into conflict. The majority of Meiteis, who constitute nearly 50 per cent of Manipurs population, are predominantly Vaishnavites and largely reside in the valley, or they occupy only one-tenth of the total geographical area of Manipur. Due to divisive administrative policies, particularly concerning land ownership, Meiteis and other non-tribal groups cannot purchase land in the hills. This region, which comprises nine-tenths of Manipurs landmass, remains reserved for the Christian Scheduled Tribes. Thus, the dominant group in Manipur, the Meiteis, is unable to settle in the hills. With recent large-scale illegal entries of Christian Kukis from Myanmar, there are serious concerns about a demographic shift in the state, which is bound to adversely impact the Hindu Meitei communitys claims on Manipur. This concern is why the Meiteis have repeatedly demanded Scheduled Tribe (ST) status for their community, aiming to protect their heritage and ancestral land. The current conflict between the Hindu Meiteis and the Christian Kuki tribes was triggered by an order from the Manipur High Court directing the state to recommend Scheduled Tribe (ST) status for the Meitei community. Another factor exacerbating the conflict was the arrest of Mark Haokip, a Kuki separatist leader. Additionally, since the Kukis are heavily involved in illegal poppy cultivation and drug trade, which generates significant revenue, various Kuki tribal militant organisations are also inciting locals against the governments anti-drug measures, further fuelling unrest in the state. Manipur and other northeastern states of India are viewed as potential points within the golden triangle of a drug network involving Myanmar, Thailand, and Laos. Indeed, some towns in Manipur are already reported to have become important hubs for drug cultivation and trade due to the Kuki-Chin population; the Kuki-dominated Churachandpur district in Manipur is considered one of the worst-affected areas. Estimates suggest that almost 80 per cent of the drugs smuggled into India originate in Myanmar. Chinese drug cartels are allegedly involved in the drug trade through Myanmar and are said to control the entry of drugs into India via the northeastern states. These drug cartels, many of which are controlled by ethnic militant organisations in Myanmar, also fund terrorist activities in India. The continuation of the drug trade is crucial for them to maintain their funding of terrorists in both India and Myanmar. Since the 2021 Myanmar coup, there has been a continuous influx of refugees into India from Myanmar, a majority of whom are the Christian Kuki-Chin-Zomi tribes. These illegal immigrants have occupied protected areas and reserved forests, leading to the establishment of new villages. The government views this as a serious security threat. An eviction drive to remove these illegal encroachments from protected forest lands in hill areas has also led to clashes between the Kuki-Chin community and the state. Meanwhile, the US has long been looking for a power base and corridor involving Northeast India, Bangladesh, and Myanmar, and their involvement appears to fit into place like the right pieces of a jigsaw puzzle, especially taking into context the recent removal of Bangladeshs democratically elected leader, who had opposed the establishment of this Christian state corridor, as per her own words. The author is a well-known travel and heritage writer. The views expressed in the above piece are personal and solely those of the author. They do not necessarily reflect News18s views. The script that Rahul Gandhi reads on foreign soil is rooted in a grammar of anarchy. In adhering to this script, he has remained consistent and persistent over the last decade. The only difference is that the script, the argument, the content, and the delivery have become increasingly anarchic and devoid of real thought and ideas. Rahul Gandhi, along with his minders and advisers in the Congress, believes that the grammar of anarchy will revive the Congress, help it win more seats, and expand its political footprint across the country. This belief has been lent credence by academics and ideologues in the West. Gatekeepers of India studies abroad, such as Christophe Jaffrelot, Sunil Khilnani, and Shruti Kapila, to name a few, seem to have put considerable effort and thought into developing the Congresss and Rahul Gandhis post-2014 grammar and lexicon of anarchy. It is a well-coordinated group, working in concert with one another, that has pushed Rahul to spew venom towards the RSS during his addresses, both in India and particularly when abroad. The roots of this mindset can be traced back to Rahuls great-grandfather. Unable to prove allegations of the RSSs involvement in Mahatma Gandhis assassination, a desperate Pandit Nehru made it a habit to launch a vituperative campaign against Guruji Golwalkar and the RSS. Manmohan Vaidya, in his thought-provoking study, We and the World Around, essentially a detailed and varied articulation of the RSSs contemporary worldview, argues that this marked the beginning of the dirty politics of hate by the Congress in independent India. Rahul carries forward that legacy. Ironically, while the Congress struggles to stay afloat and relevant, battling an internal hollowing out, the RSS is well on its way to commemorating the centenary of its founding. No opponent of the Sangh, notes Vaidya, has displayed the requisite intellectual rigour to study Guruji [M.S. Golwalkar] and his ideas in depth before lifting the pen to write on him or on the Sangh. The Congresss choice of a dynastic, regional, and caste-based model of politics, Vaidya argues, has left the Congress and all other parties, except the BJP, with no original thinkers, and hence, they rely solely on left-leaning communist ideologues as party thinkers and intelligentsia. Make no mistake, much of the gibberish that Rahul utters comes from the Communist ideological stable. It is no coincidence that Rahuls comments on the Sikhs, Indian states, the RSS, and his favoured concept of India as a Union of Stateshis favourite imagined structure of India that he keeps regurgitating find ready and willing support from the likes of comrade D. Raja of the CPI. The formulation that India is a Union of States appeals to the CPI, as it reminds them of the Adhikari thesis, which spoke of India being a congeries of seventeen states, each of whom should be granted freedom when independence comes. One is reminded of the Jaipur Literature Festival (JLF) some years ago, where the then RSS General Secretary, Dattatreya Hosabale, and Manmohan Vaidya were invited to speak at a session. Two Politburo leaders of the CPI(M) boycotted the event because the RSS was given a platform to share its views. Their elitist definition of free expression, recalls Vaidya, excludes even an attempt to understand such an organisation. But what precipitates these protests? It is their fear that, should the RSS be given an opportunity to reach a larger audience, the veil of misunderstanding will fall off, and their lies will be exposed. People will know the truth, and that is their greatest fear of all. The Communists ideological intolerance and exclusion also percolated into the Congress. While professing liberalism, free thought, the need for dialogue, and space for differences, the Congress, the Left parties, and their ecosystem have spawned an intolerant and exclusionary system that brooks no space for differing ideas. It was Sunil Khilnani who coined the term Idea of India, but in reality, what it meant was that there could be only one idea of India. In that schema, there was no space for multiple ideas of India. Anyone or any entity questioning that Idea of India would be pilloried, cornered, and boycotted. This fascist opposition to free speech, Vaidya points out, is entirely against Bharatiya thought. Rahul Gandhi will not tell his foreign audience that, in India, his party and its political and intellectual allies never engage with intellectual opponents. In fact, the opponents of the Sangh, whether from the Congress or the Communists, have displayed acute intellectual dishonesty by refusing to sincerely examine the text and subtext of the RSSs narrative before taking positions against it. Rahul Gandhi, with his meagre reading, perhaps wouldnt know that in another time, Congress stalwart and scholar-politician Dr Sampurnanandformer Chief Minister of Uttar Pradesh, educationist, and Chancellor of Kashi Vidyapithwrote a moving foreword to Pandit Deendayal Upadhyayas collection of political columns that had appeared in the RSS-inspired weekly Organiser. That foreword to Upadhyayas book is available for all to read. Shri Deendayal Upadhyaya, wrote Dr Sampurnanand, was one of the great leaders of the Jana Sangh, and I have been, throughout my political career, a member of the Congress. In these circumstances, it might sound surprising to some that such a request should have been made and accepted. I can understand this, but in fact, it is simply an expression of that great virtue of tolerance which we all must learn to practise if democracy is to take root in our country. Those, Dr Sampurnanand observed, who are interested only in gaining victories over others can be of no help in the service of democracy. Offering a moving tribute to Deendayal Upadhyaya, Sampurnanand wrote, The thoughts of the late Shri Deendayal Upadhyaya are not just anybodys thoughts. These words clothe the ideas of one of the most notable political leaders of our time, a man devoted to the good of his country, a person of unimpeachable character, a leader whose weighty words swayed thousands of educated men. If we do not agree with him, let us treat them with the respect they deserve and ponder over the implications. The Congress and the Communist parties throttled that space to reflect, leading to ignorance and half-information. It is this habit and tradition that drives Rahul Gandhi. In their domineering attempt to impose the Idea of India, self-styled liberals have actually practised modern untouchability. Rahul Gandhis derision of the RSS stems from this practice of untouchability. Vaidya rightly argues in his study that in India, a clique flourished largely with the support and patronage of the ruling dispensation during the Congress regime, known as left-liberals. In practice, the people who adhered to this school of thought have been highly illiberal and intolerant of people with views that differ from theirs. These forces have consistently tried to condemn, defame, oppose, and ostracise the RSS by spreading canards and unfounded lies about its philosophy and history However, while the RSS has continued to expand its work and reach across society over a century, this untouchability-practising biased clique has been losing its grip on the narrative and the consciousness of the public, and is increasingly criticised for its elitist and discriminatory take on Bharat. When Rahul Gandhi says the RSS believes India is one idea, he is right. India is one, and this sense of oneness has driven and inspired countless minds and thought-leaders who have, for centuries, shaped the sense and essence of India. That it fails to drive or influence him does not mean that unity has to be discarded or challenged. His inability to absorb the idea of one India is a commentary on his own intellectual calibre and mindset. The RSS, however, unlike Rahul Gandhi and his leftist, pseudo-communist, and crypto-socialist friends, does not believe that only the Idea of India can thrive. It believes, as Dattatreya Hosabale, the RSS General Secretary, once said in a candid and thought-provoking conversation: When it comes to the Idea of India, there is no dispute as such; the point is that there can be a variety of ideas, and each must be permitted its space. India, being a country of so many diversities, can have a number of ideas. And its not necessary that they should be at loggerheads or contradictory to each other. But the problem was the approach that one idea should rule; this was enforced, and it influenced the thinking of this country through formal and informal methods, and government and non-government channels. The Nehruvian dispensation then, and self-professed Nehruvians now, are never ready to engage in debate. That, Hosabale argued, is a kind of untouchability that they practise. People despise social untouchability, but what about political and intellectual untouchability? Thus, when Rahul Gandhi, his party, and their allies, the communists, peddle half-truths, falsehoods, fabrications, and mendacious narratives and ideas against the RSS, let us recognise that it comes from their staunch and unwavering practice of political and intellectual untouchability. It is this fundamentalist adherence to ideological intolerance and exclusion that drives them to prepare the grammar of anarchy. The author is Chairman, Dr Syama Prasad Mookerjee Research Foundation and member, National Executive Committee, BJP. The views expressed in the above piece are personal and solely those of the author. They do not necessarily reflect News18s views. A storm in a teacup this is the state of politics in Delhi. The issue is Prime Minister Narendra Modi visiting Chief Justice of India Dhananjaya Yeshwant Chandrachuds residence on Wednesday evening for Ganpati Puja and performing aarti there. Be it opposition parties or activist-type advocates, everyone is up in arms over this. Questions have ranged from the end of separation of power to possible collusion between Modi and Chandrachud and the CJI delivering verdicts in the Centres favour due to their personal relations. From Congress, Shiv Sena (UBT), and Samajwadi Party leaders to senior Supreme Court lawyer Indira Jaising, all have attacked Modi and Chandrachud. So the question is whether PM Modi has committed a sin by visiting CJI Chandrachuds residence, or is Chandrachuds impartiality at risk because Modi visited his house? An even bigger question is whether Chandrachud should have performed Ganpati Puja at home, and even if he did, was it appropriate for him to make it public with images of PM Modis arrival. Many such questions have arisen. To find the answers, we will have to explore the past, because to look for what is not mentioned in the Indian Constitution, one has to go into conventions. The question is whether the Indian Constitution prohibits the Chief Justice from performing puja or participating in religious programmes. Under the interpretation of separation of power in the Constitution, is the meeting of the Prime Minister and the CJI, that too at the latters home illegal, or against conventions? Does constitutional decorum demand that the Prime Minister of the country not meet the CJI personally and the Chief Justice shouldnt visit the PMs residence either? And particularly not for religious functions? Does the Constitution say that, for the sake of being secular, a judge, especially the one sitting on the seat of the Chief Justice, should stay away from religious functions? Cant the Prime Minister of the country get some personal advice from the CJI, because this will affect the latters impartiality? It is unclear whether Modi or Chandrachud would answer all these questions after so much controversy. But the answer can be easily found when we look back at the times when neither was the BJP in power nor Chandrachud the CJI. Nehru and Justice Gajendragadkar The answers are recorded in the autobiography of Justice PB Gajendragadkar, who was the Chief Justice of India during the era of the countrys first prime minister Jawaharlal Nehru, which was published under the name To The Best of My Memory. Bear in mind that Nehru had appointed Gajendragadkar the CJI instead of Justice Syed Jafar Imam. According to convention and seniority, Justice Imam was to become the CJI when the then Chief Justice of India BP Sinha retired. But Justice Imams mental condition was such that Nehru could not make him the CJI even if he wanted to because the reports of expert doctors said that the judge, who used to fall asleep while hearing cases, could not retain any information and his mental state was so bad that he could not discharge the very important role of the Chief Justice of India responsibly. In such a situation, as per the recommendation of Justice Sinha, Nehru made Justice Gajendragadkar the Chief Justice. Like the current CJI Chandrachud, Gajendragadkar was also a Marathi and religious. As Chief Justice, he had visited Tirupati and also participated in a programme at the Vithoba temple in Maharashtra. In his autobiography, the judge said did not find any contradiction between the secularism enshrined in the Indian Constitution and his own religiosity. He said, Having mentioned these two religious events, I ought to make it clear that, in my view, belief in true and rational religion was in no sense inconsistent with secularism. Secularism as it is understood in the West is basically and fundamentally anti-religion, anti-god and anti-church. On the other hand, our Constitution makes it clear that secularism means tolerance of all religions Important fundamental rights have been conferred relating to religion. The Constitution recognises that whereas some people may not believe in religion at all and yet they are entitled to all the rights of citizenship, others (and their number is legion) believe in religion and that does not affect their status as citizens. Some people seem to think that secularism means anti-religionism, but in my view that is not the Indian constitutions concept of secularism at all. You may be non-religious, irreligious, or religious, you are a citizen all right, you have all the rights of a citizen, and, of course, you are subject to all the obligations, but religion as an institution is recognised in the Indian way of life and has been given a place of pride in the constitution with sufficient safeguards. Secularism merely means that no religion has the monopoly of religious wisdom. Our secularism is based on the principles laid down by the Bhagavad Gita: : | || which means that even the devotees of other gods who worsmp with full of faith, they also worship Me, O son of Kunti, though contrary to the ancient rule. Gajendragadkar has given his own example of following religion and participating in religious programmes and has not considered any contradiction between the Indian Constitution, secularism, and being religious. Those who criticise Modi and especially Chandrachud should at least carefully understand and consider the words of a philosophical judge like Gajendragadkar. If they do so, then they will not find any fault in either Chandrachud or Modi, if Chandrachud installed Ganpati in his house and Modi visited on the occasion of that puja. It should also be kept in mind that Bal Gangadhar Tilak himself had emphasised Ganpati worship and the establishment of pandals as a fight for Indian independence, and had made it a big weapon against the British. In such a situation, questions arise on the intentions of those who cast doubts on Chandrachuds impartiality because of the Ganpati puja and Modis participation in it. If we look at the judicial history after Independence, especially the relations between the Supreme Court judges and the prime ministers of that time, then both Modi and Chandrachud will appear to be saints. Leave aside raising questions on participation in a religious programme, the leaders of the INDI Alliance should remember that during the ten-year rule of the UPA when Manmohan Singh was the prime minister, he would always invite the then CJI to iftar parties. No one raised any questions at that time. The history of the Nehruvian and Indira eras before Manmohan Singh is even more sensational and controversial. Many prime ministers even tried to get personal favours from the judges of those times and tried to put pressure on them. Meeting at home and visiting was a small matter. Gajendragadkar himself has mentioned in his autobiography that Lal Bahadur Shastri, who became the Prime Minister after Nehru, whose morality and sense of purity in public life can hardly be questioned by anyone, even that Shastri used to invite Gajendragadkar, who was holding the post of CJI, to his home. Gajendragadkar writes very candidly in his autobiography that once Shastri ji said that he wanted to sit with him and have food at home, with his wife, without any formality, to discuss some important topics. Gajendragadkar agreed and had food with Shastri. Should this mean that Shastri violated the principle of separation of power? Or was Shastri trying to influence Gajendragadkar when he was the Chief Justice and proposed to make him the High Commissioner of India to Britain after his retirement? Clearly, the opposition of that time was neither this irresponsible nor shallow. Gajendragadkar writes that during that period, the then home minister used to visit his house regularly, as he lived right in front of the official residence of the CJI. Gajendragadkar, sitting on the seat of the Chief Justice of India, used to visit the residence of the then PM Shastri in the dark of the night so that they could sit comfortably and discuss important issues and not have to wait. Imagine, if in todays times, home minister Amit Shah goes to Chandrachuds house or Chandrachud goes to meet PM Modi at night, how clamorous would the reaction of the opposition or activist-type advocates be, and would they not claim that something was a little fishy, or rather very fishy? The Indira Era However, such stories of the rapport and regular meetings between Gajendragadkar and Shastri will seem very boring and tame when you hear and learn about the stories from the Indira era. There are thousands of such stories recorded in the book and diary of Professor George H Gadbois, an American who has researched the Indian judiciary and Supreme Court for nearly five decades, which will shock you. It would seem that Chandrachud and Modi both are very spiritual and pious people, by nature and actions. Who can forget that for the first time in the history of the Supreme Court, ignoring three senior judges, the then prime minister Indira Gandhi decided to make Justice AN Ray, the Chief Justice of the Supreme Court, not because these three judges were mentally unstable like Justice Imam, whom Nehru was forced to sideline and make Gajendragadkar the Chief Justice. The truth was that after the retirement of then Chief Justice SM Sikri, Justice Ray was picked as his successor by the Indira government, ignoring the three senior judges, Justice Shelat, Justice Hegde, and Justice Grover. The reason for this was that these three judges had given a decision in the Basic Structure case, going against the governments stance. The same basic structure for which todays opposition tries to portray itself as a protector to promote its politics. Even justifying this highly unethical act of Indira Gandhi in Parliament with complete brazenness, her close associate and minister of state for steel and minerals S Mohan Kumaramangalam had said that the way the judiciary had adopted a path of confrontation with the government in the Golaknath to Bank Nationalisation, Privy Purse and Kesavananda Bharati cases, Justice Ray was expected to steer clear of it. Those who are raising a hue and cry today over the separation of powers and impartiality of judiciary will hardly remember this incident. Needless to say, Justice Ray, like an obedient child, wholeheartedly supported Indira Gandhi and her government, and even decided to constitute a large constitutional bench without any demand to review the Basic Structure Judgment, which had to be dissolved immediately due to opposition from fellow judges. This judge, Justice Ray, was so extraordinary that when a tribute ceremony was organised in the Supreme Court as per tradition after his death, Ram Jethmalani said, O Lord, do not send such a judge to this earth again. An even more serious and curious story is of Justice SC Roy, who was the nephew of West Bengals first chief minister, Congress leader and Nehrus close friend Dr BC Roy. Just before the 1971 Lok Sabha elections, Indira Gandhi had asked him to move to the Supreme Court to help her. Like Nehru and Bidhan Chandra Roy, Indira Gandhi and SC Roy were also friends. Accepting the request of his friend Indira, SC Roy agreed to come to the Supreme Court. Before the appointment, he met the then law minister Gokhale, Kumaramangalam, and Siddhartha Shankar Ray and after this meeting, Justice Roy was appointed to the Supreme Court. After that, Indira Gandhi handed over the legal work related to her family property in Allahabad to Justice Roy and also gave him the related documents. The circumstances were such that in November 1971, Justice Roy died of a heart attack and Indira Gandhi quickly moved all the documents present in his house, so no one else would find out anything. This story was narrated to Professor Gadbois by Justice Roys wife herself. Just like Justice Roy and Justice Ray, the story of Justice MH Beg is also very interesting. He was made the Chief Justice of India by sidelining Justice HR Khanna only because he had supported the government along with Justice Ray in the ADM Jabalpur case and Justice Khanna was the only judge who gave a dissenting judgement. Therefore, instead of Justice Khanna, who was the most senior after Justice Ray, Indira Gandhi picked Justice Beg and made him the CJI. Modi did not supersede a single judge of the Supreme Court in his ten-year tenure, but despite this, the opposition feels that he is the biggest threat to the impartiality of the judiciary. The story of Justice Baharul Islam is the most controversial example of the unethical nexus between politics and the judiciary and giving preference to personal relations over judicial impartiality. This gentleman was a key Congress leader from Assam; before becoming a judge in the Guwahati High Court, he had held many positions in the Congress. After retiring as the Chief Justice of the Guwahati High Court, he became a judge in the Supreme Court, and after retiring from the Supreme Court, he again became a Congress leader. Between 1952 and 1972, Islam continued to play a major role in Assam Congress. In April 1962, he went to the Rajya Sabha on a Congress ticket. In 1967, he contested the Assam assembly elections and, after losing, he was again sent to the Rajya Sabha in 1968. In January 1972, Indira Gandhi made him resign from the Rajya Sabha and instituted him as the first Muslim judge of the Guwahati High Court and then Chief Justice of the High Court as well. After his retirement from the High Court in March 1980, Congress tried to send him to the Rajya Sabha independently by supporting him. When that was not successful, nine months after his retirement from the High Court, he was made a judge of the Supreme Court. In this way, the Congress, using Baharul Islam, created a new record in the apex court, of making someone the oldest person to be a Supreme Court judge. When Islam became a judge on December 4, 1980, he was about 63 years old. Islam himself had accepted that he became a Supreme Court judge due to the grace of P Shiv Shankar, the then law minister in the Indira Gandhi government. Matters came to a head when Islam resigned from his post just six months before his retirement as a judge from the Supreme Court and became a candidate from the Barpeta Lok Sabha seat of Assam at the behest of the Congress high command. Justice Islam, who was a favourite of the Congress, had participated in the bench just before his retirement which had given relief to the then Congress chief minister of Bihar Jagannath Mishra in a case of fraud. The extent of the Congresss beneficence towards Islam can be gauged from the fact that when elections could not be held in Barpeta due to unrest, the party sent him to the Rajya Sabha in June 1983. Those attacking Modi and Chandrachud by crying about the separation of power should remember this incident. Rajiv continued legacy There are hundreds of such cases which reveal that the then Congress governments appointed judges even in the Supreme Court on the basis of personal loyalty, relations, vote bank, and allegiance. Who can forget the story of Justice M Fathima Beevi, who was made a judge of the Supreme Court long after her retirement from the Kerala High Court, merely to appease the vote bank, bypassing the countrys first woman High Court Chief Justice Leila Seth. Justice Seth herself has narrated this story. This feat was also the work of the Congress government led by Rajiv Gandhi, on October 6, 1989. The opposition will deliberately not want to remember all these stories, otherwise the challenge to Modi and Chandrachud will be blunted. In fact, this attack on Modi and Chandrachud is an example of the joint strategy of the opposition and activist lawyers, who are adamant that the Supreme Court give verdicts in their favour in every case. These were the same leaders and lawyers who thought Justice Ranjan Gogoi was a hero when he held a press conference with his fellow judges against the then Chief Justice Dipak Misra, which was presented as their anger against the Modi government. But when Modi made the same Justice Gogoi the Chief Justice of the Supreme Court and later sent him to the Rajya Sabha, he became the biggest villain for the alliance of the opposition and activist lawyers. The same thing happened with Justice SA Nazeer, who cleared the way for the construction of the Ram Mandir in Ayodhya through a unanimous decision in the Ram Janmabhoomi case. When the Modi government made him the governor of Andhra Pradesh after his retirement in January 2023, the opposition and activist lawyers raised a hue and cry and accused him of being sold out to the Modi government. While making these allegations, they did not remember the past when Nehru had announced the appointment of sitting Supreme Court judge Justice Fazl Ali as the next governor of Odisha. Fathima Beevi herself was appointed the governor of Tamil Nadu by the HD Deve Gowda government in 1997. Modi was also opposed when he appointed retired Chief Justice of the Supreme Court P Sathasivam as the governor of Kerala in September 2014. Modi and Chandrachud are following the same traditions which have been around for a long time. The Indian Constitution does not say anywhere that the heads of the executive and the judiciary will not meet each other, or will not visit each others homes. Those who oppose in the name of opposing are only lowering their own credibility, not causing any harm to Modi and Chandrachud. September 13, 2024, 06:25 PM IST | Episode 141 Donald Trump and Kamala Harris faced each other on the debate stage for the first and possibly the last time. Harris controlled the conversation at times while Trump grew more annoyed as the night went on. And it all came to a Taylor-made ending after the two candidates left the stage. Delhi Chief Minister Arvind Kejriwal and Aam Aadmi Party (AAP)s senior leader Manish Sisodia both prime accused in Delhis excise policy case are now out on bail in a pivotal moment for the party. Even though the party is seemingly elated with its leadership back to the fore, a legal spectre continues to loom over it. Apart from the leaders, the party is also one of the main accused in the case and the Enforcement Directorate (ED) is likely to initiate further legal action against AAP. The directorate is now working on another supplementary charge sheet detailing the partys involvement in the alleged scam, News18 has learnt from sources in investigating agencies. The ED has submitted nine charge sheets, including eight supplementary charge sheets, in connection with the case. A senior officer told News18: The investigation is still on and we have more leads around it. Granting bail to the accused is the call of the judiciary, but the case is still in trial. None of our accused were acquitted, and we have enough evidence against them, said the senior officer. The excise policy adopted by Delhi government and the way the dealings happened have emerged as a massive scam in which public money has been misappropriated, and diverted into political funding. Importantly, AAP is also an accused in the case. We may file another supplementary charge sheet explaining the partys involvement, he added. While the release of its senior leaders offers temporary relief, the case itself remains a significant threat, with the potential to reshape AAPs political standing. The coming months will be critical as the party navigates the upcoming legal, political and public scrutiny, and the challenge of defending its clean governance image. The outcome of this high-stakes case could either mark a turning point for AAP or deepen its crisis. The rain may have been beating down on the Capital incessantly but even the downpour could not dampen the spirits of Aam Aadmi Party (AAP) workers and supporters on Friday as they waited to welcome their leader Arvind Kejriwal. After more than 150 days in jail, the Delhi chief minister finally walked out of Tihar on Friday evening as the Supreme Court ordered his release on bail in the excise policy scam. All roads in the national capital seemed to lead to the AAP convenors house in Civil Lines where an enthusiastic throng of party workers threw caution to the wind and burst firecrackers, unmindful of the Kejriwal governments ban on the activity in the city over the last few years to curb pollution. Chote Lal, who in his 60s, came all the way from Shahdara to see Kejriwal. We just wanted to see him, he said. When asked if he got a chance to speak to the chief minister, Lal said even seeing Kejriwal was akin to seeing God. Manish Makkar and his sister Maya Yadav, both in their 50s, came from Gurugram, giving a break to their work to campaign for the party for the Haryana assembly elections. Can there be a better day for us? This is even better than winning any election. The energy we will gather from here will be used for the poll campaign. How can we do anything else today? Today is Diwali in Delhi, Makkar said. Yadav said there is no one more important for her than Kejriwal and his release from prison seems personal. He is my brother. I dont have anyone more important than Kejriwal. How could I do anything else when my brother is coming back home after so long? she told News18. Dancing outside Kejriwals house, Chaudhary Vijay Pal, president of Ward (216), Jhilmil, said Kejriwals release was just the start and they would continue the celebrations till he returns as chief minister again next year. This was a fraud case. There was no evidence against Kejriwal. We will win the 2025 elections with full majority, he added. From supporters dancing, holding banners, and bursting crackers to those waiting in the soaking rain for hours the scene outside Kejriwals residence was of unparalleled joy and celebration. Speaking to News18 at the party office, Afzal Khan, who has been with the AAP since its formation, said the rain is a happy sign. Even God is celebrating his release. Lord Ram served 14 years of exile. Our Ram is also returning from his exile. The rain is a good omen, Khan said. Kejriwal, who held a roadshow on the way after walking out of Tihar, thanked everyone who prayed for his release. You have braved rain to come here and I am thankful to you They put me in jail to break me but my resolve has only grown stronger. Jails cannot break me. I will continue my fight against anti-national forces, he said in his first speech. Independent MLA Amit Kumar Yadav and former legislator Jai Prakash Verma on Saturday rejoined the BJP ahead of the Jharkhand assembly polls. Yadav, the Barkatha legislator, and Verma were given membership of the party by Jharkhand BJP president Babulal Marandi at a programme in its headquarters here. BJPs Jharkhand in-charge Laxmikant Bajpai was present at the programme. Yadav, the 42-year-old politician, won the Barkatha assembly seat in the 2019 assembly polls by defeating BJPs Janki Prasad Yadav by a margin of 24,812 votes. He represented the Barkatha constituency from 2009 to 2014 on a BJP ticket but he lost the seat in the 2014 assembly polls. When I was denied a ticket from the party in 2019, I decided to contest the polls as an Independent candidate. I got offers from various parties but I did not join any party. I continued to work for the BJP despite being an Independent MLA. Today, I formally rejoined the party, Yadav said. Verma was in the BJP and had won the Gandey assembly seat in 2014 on a party ticket. But, he lost the seat in 2019 polls to JMMs Sarfraz Ahmad. He later switched to Jharkhand Mukti Morcha (JMM). The INDIA bloc allegedly denied him a ticket for the 2024 Lok Sabha polls from the Koderma seat. He, then, contested the Koderma seat as an Independent candidate, which led to his expulsion from the JMM. I returned to my original party after 18 months. The past 18 months were a black chapter of my life. I will work to strengthen the party in Jharkhand, he said. Marandi said that their return to the BJP would strengthen the organisation. People are joining the party as they found that no work has been done in the state in the past five years under the JMM-led alliance government. The corruption reached its peak, the law and order deteriorated and the current government failed to fulfil its promises. The people of the state have decided to uproot the government in the upcoming elections, he added. Union Minister and prominent BJP leader Nitin Gadkari revealed on Saturday that he turned down an offer for the Prime Ministers position from a senior opposition leader ahead of the 2024 Lok Sabha elections. Gadkari made this revelation during a journalism award ceremony at the Nagpur Press Club. Speaking at the event, he noted that he firmly rejected the offer, stating, I told the leader that I am guided by a particular ideology and firm beliefs. I am part of a party that has given me everything I could have ever dreamed of. No offer can tempt me. While Gadkari did not provide specific details about the incident or name the opposition leader, he stated that the offer was made in the context of speculation that the Bharatiya Janata Party (BJP) might not secure a full majority in the 2024 general elections and could need support from opposition parties. During the same event, Gadkari slammed so-called supari journalists who misuse the Right to Information (RTI) Act for blackmail and personal gain, Hindustan Times reported. Recounting a past incident, he described a situation where a journalist allegedly used RTI to blackmail officials in the Public Works Department (PWD). One of my officers informed me about this blackmail. I told him, what that journalist comes to your office, lock the door and give him a good thrashing. And they did. After that, the publication he worked for stopped circulating, he disclosed. Expressing concern about such unethical practices, the Union Minister urged media organisations to exercise greater caution when issuing authority cards. Despite these issues, Gadkari acknowledged that many journalists continue to uphold ethical standards. He highlighted examples of journalists who, even during the Emergency, remained steadfast in their principles and refused to compromise, even when faced with imprisonment. West Bengal Chief Minister Mamata Banerjee on Saturday met the protesting junior doctors and urged them to return to work amid deadlock over talks to end a work boycott. The Bengal CM said that she has visited them as their elder sister and assured them that she would look into their demands and take action if anyone was found guilty. Junior doctors are protesting against the rape and murder of a trainee doctor in Kolkatas RG Kar Medical College and Hospital on August 9. Addressing the protesting doctors amid chants of we want justice outside the Swasthya Bhawan in Salt Lake, Banerjee said, I have come forward by leading the student movement, I have also struggled a lot in my life, I understand your struggle. I am not worried about my position. It rained all night yesterday, you were sitting here protesting, I was worried all nightAfter listening to your demands, I will study them. I do not run the government alone, I will definitely find a solution by studying your demands with senior officials. Whoever is found guilty will definitely be punished. I am asking for some time from you. The state government will not take any action against you (protesting doctors). I request you to return to work All the work related to the development, infrastructure, and security of the hospital has been started and will be done further #WATCH | Kolkata: West Bengal CM Mamata Banerjee says, I have come forward by leading the student movement, I have also struggled a lot in my life, I understand your struggle. I am not worried about my position. It rained all night yesterday, you were sitting here protesting, I pic.twitter.com/uZ7dThEJ77 ANI (@ANI) September 14, 2024 This is my last attempt to resolve the crisis If you keep your faith in me, I will look into your complaints. The case is on in the Supreme Court (which had set a September 10 deadline for the doctors to return to work) and the next hearing is on Tuesday. I dont want you to suffer, she added. BJP Reacts West Bengal BJP chief and Union Minister Sukanta Majumdar told CNN-News18 that Mamata Banerjees visit to the protest site accomplished nothing. She did not discuss anythingthere is no difference, he said. A few days ago Mamata Banerjee mentioned that she was ready to resign. Who is preventing the resignation? Her own family wants her to resign, he added. BJP leader Amit Malviya alleged the Bengal CM didnt even listen to the protesting doctors and claimed her visit to the protest site was just a media photo-op to sow seeds of suspicion among the medical fraternity. Mamata Banerjee went to the site of Junior Doctors protest, on her way to Swasthya Bhawan, made a 5 minute speech, only to be accosted by slogans for justice. She didnt interact or for that matter even hear the protesting doctors. This was just a media photo-op to sow seeds of suspicion among the medical fraternity. She must be condemned in the strongest possible terms for being sly and insincere. She clearly lacks intent to resolve the impasse and deliver justice to the rape and murder victim of RG Kar Medical College & Hospital. Her inflated ego is damaging West Bengals public healthcare sector, Malviya wrote in an X post. While addressing the media on Thursday, Mamata Banerjee said she was ready to resign after TMC shared on X a photo of Banerjee sitting in front of a row of empty chairs, presumably kept ready for the delegation of junior doctors, who had demanded a live telecast of the meeting. The two sides could not reach a middle ground, therefore, the deadlock dragged on. I dont want the CMs post, Banerjee said in an address to the media. Our government has faced a lot of insultThere is a colour [political colour in the protests]. People came out on the streets for justice. But I hope people are understanding thatthey [her rivals] just want the chair. I am ready to resign in the interest of the people, she added. A video of Chef Vikas Khannas sharp rebuke to a BBC presenter from a 2021 interview has gone viral. In the interview, Khanna was questioned about his COVID-19 food distribution campaign, with the BBC presenter assuming that his sense of hunger in India was the driving force behind the project. Khanna hit back, saying that his sense of hunger came from New York. The interviewer had initially praised Khanna for his remarkable achievements, including cooking for the Obamas, before delving into his roots in Punjabs Amritsar. The interviewer implied that Chef Vikas Khannas modest background gave him a unique understanding of Indias challenges, saying he understands how precarious it can be in India. The interviewer also suggested that growing up in a small Indian city must have exposed him to hunger and poverty. This assumption hinted that anyone from a less affluent background in India is familiar with starvation and hardship. Chef Vikas Khanna appeared visibly frustrated by the interviewers cliched assumption. He pointed out that he is from Amritsar, the city of the Golden Temple, which boasts the worlds largest community kitchen or langar. Therefore, there is no hunger in the city. Khanna then shared that his personal experience with hunger came from his challenging period in New York after 9/11, when finding employment was difficult for people of colour. The chef even recounted sleeping at Grand Central Station during that tough time. Watch the clip here: Vikas Khanna, Michelin Star Chef, gives it back to BBC news anchor.Anchor: In India, you were not from a rich family. So your sense of hunger must have come from there. Vikas: No, Im from Amritsar, everyone gets fed there in the langars. My sense of hunger came from New York! pic.twitter.com/rWf4PSVIAH Harpreet (@CestMoiz) September 12, 2024 The online community praised Khannas dignified reply. A user said, People from Punjab are the best in this matter, as Ive travelled at many locations in Punjab, especially in Gurudwaras everyone gets fed. so Vikas Khanna with the perfect clapback! In India, we know how to feed our people and our souls. Proud of our culture and the strength it gives us! People from Punjab are the best in this matter, as Ive travelled at many locations in Punjab specially in Gurudwaras everyones get feeded. so Vikas Khanna with the perfect clapback! In India, we know how to feed our people and our souls. Proud of our culture and the strength Shivam (@SHIVAM3581) September 12, 2024 Another user said, My sense of hunger came from New York!..savage. My sense of hunger came from New York!..savage Smile&Shine (@smileandshine20) September 12, 2024 A subtle way to teach a lesson to an ignorant bigot racist masquerading as a journalist, read a comment. A subtle way to teach a lesson to an ignorant bigot racist masquerading as a journalist.Good PR for Amritsar. Way to go @TheVikasKhanna Ishwar Singh (@IshwarBagga) September 12, 2024 An individual noted, Vikas Khanna schooling the anchor with that perfect response! Not all stories of success start with povertysometimes its the grind in unexpected places that shapes you. Respect. Vikas Khanna schooling the anchor with that perfect response! Not all stories of success start with povertysometimes its the grind in unexpected places that shapes you. Respect. #VikasKhanna #MicDrop Sara (@KaukabSara51385) September 12, 2024 The video has garnered almost 2 million views till now. Do you know, there is a bizarre tradition followed by the people of the Torajan ethnic group of South Sulawesi, Indonesia? People from different parts of the world follow different traditions. You will be surprised to hear about the strange traditions that the people of Toraja area in south Sulawesi follow. They reminisce about their loved one in a very peculiar way after death. Like Indian traditions, they also have some special days in the year, in which they remember their ancestors but not by worshipping but by performing a bizarre ritual. The tribe of the Tana Toraja area, consider inanimate objects to be alive. According to them, whether it is a human or an animal, all of them have a soul and they should be respected. They believe that death is not sudden, but a gradual process towards afterlife. For this reason, they do not immediately bury their loved ones after death. The body of the deceased is wrapped in several layers of cloth and preserved under the tongkonan from decaying by a layer of formaldehyde and water. It is said they preserve the body for years. According to the belief of the Torajan people, a well-preserved corpse draws a good future, so families go to considerable extents to assure those who have deceased, stay in the best feasible condition. They also perform some rituals like bathing and washing the corpse and putting on new clothes to the corpse, talking to them, taking their photographs, preparing food and drink, even giving them cigarettes to smoke, as if they are alive. After the completion of the celebration, they clean the graves of the deceased and bury them there. This ritual is followed by them every year by singing and dancing. Not only this, animals ranging from buffalo to pigs are also sacrificed. The richer a person is, the more animals are slaughtered. Even the number extends up to 100. After the slaughter is done, the meat of those animals is fed to people who come into the gathering. The University of Virginias new and more restrictive protest policies faced their first test Thursday when administrators informed a group of students they could not have a table on the schools grassy, central Lawn and at one point threatened to call police. The incident occurred during a planned Makers Space, where the group constructed signs demanding UVa cut its financial ties to Israel part of the divestment movement championed by many students and faculty since the Israel-Hamas war began nearly a year ago. The schools financial arm, the UVa Investment Management Company, made clear Friday that the school has no plans whatsoever to disclose or divest those financial ties. It was noon Thursday when the UVa makers gathered on the Lawn, convening under a tree in the northern corner of the quadrangle. Roughly an hour into their sign-making, UVa Associate Vice President for Student Affairs Marsh Pattie approached the group. He let us know we were in violation of school policy, a graduate student told The Daily Progress, declining to provide her name, citing fear of retaliation from administration. The policy in question is PRM-017, which prohibits structures of any kind including tents and tables from being within the schools Academical Village, the historical heart of UVa that includes the Lawn. The policy is one of 11 that UVa President Jim Ryan updated days before the start of the fall semester, which limit the time, place and manner under which protests are permitted on Grounds. UVa announced the updates on Aug. 26. In a summary list of key changes, the first bullet point reads that the Academical Village is subject to restrictions, including a prohibition on structures (including tents and tables). According to UVa spokesman Brian Coy, structures, including tables, have long been prohibited on the Lawn. The change, he told The Daily Progress, was not that tables are now outlawed, but rather that the definition of the Academical Village and therefore the area where structures are prohibited was expanded. Ryans policy updates drew criticism from faculty and free speech advocates who believe the changes limit the rights of students to protest. Some believe he made the decision in response to political pressure from Gov. Glenn Younkin and other conservatives who disapproved of last springs pro-Palestine encampments at UVa and other universities across the commonwealth. Mere weeks into the fall semester, the new policies are still in their infancy. Thursday was their first test. The Makers Space had been planned for roughly a month, prior to the policy updates. It was when the university was informed the group had brought a table to the Lawn that Pattie approached the students to investigate. In asking the group to remove the table, Pattie also noted an updated Concealment of Identity policy; anyone on Grounds wearing a face covering must present identification if requested by a university official. Multiple students were wearing masks, and Pattie singled out one of them, asking for the individuals identification. When the individual refused, Pattie threatened to contact UVa police, a permissible response under the updated policy for those who dont comply. The last thing we want to do is call the police. We just want to make sure everyone knows the policy, the graduate student recalled Pattie saying. Rather than provide identification, the individual left the Lawn and the group took down their folding table. While the graduate student expected administration may have an issue with the Makers Space, she did not expect the possibility of a police response. I have a lot of problems with the new policies, she said. I thought they might push back, but I didnt think theyd escalate the threat so quickly. I honestly didnt. Pattie left the scene after the group took down the table. The students then moved to the other side of the Lawn, reerecting the table outside the room of a resident who had granted them permission. The group believed this to be a workaround, as the policy makes an exception for structures deemed necessary by the University to accommodate residents. Pattie returned with Deputy Provost Brie Gertler and again asked the group to take down the table. When they informed Pattie they had permission from a Lawn resident, he asked for that residents contact information. They declined to provide it, and the group took down its table again. By the time The Daily Progress reached the Lawn on Thursday afternoon, what remained of the group was sitting on the grass, talking among each other and still painting their signs. The folded table was lying against a nearby column. Steps away, UVas Board of Visitors had gathered for one of its regular meetings inside the schools iconic Rotunda. Some believe its that board which operates as the schools governing body and has the power to fire the president that pressured Ryan into changing the policies. Thirteen of the boards 17 members are Youngkin appointments. Youngkin and others in his administration have been critical of the pro-Palestine protests at Virginias universities, taking issue with tents erected on campuses and raising concerns of antisemitism. At UVa, protesters attempted to pressure the university into divesting from Israel. The series of protests culminated on May 4 when Virginia State Police were called in to break up an encampment, using pepper spray on protesters, bystanders and the press like. There were 27 people arrested on May 4, many of them students. While criminal charges against the students have been dismissed by the courts, they still face potential repercussions from the University Judiciary Committee, a student-run disciplinary body. Graduating students who were arrested have had their diplomas withheld. Not only have criminal charges against the arrested students been dropped, but last week the university agreed to end disciplinary proceedings against the students, freeing them from a University Judiciary Committee trial and making it possible for graduated students to receive their diplomas and current students to stay at school. Were here because the genocide in Gaza hasnt stopped, she told The Daily Progress, also declining to provide her name out of fear of retaliation. Sitting next to her were multiple handmade signs. Grieving students cant learn, read one sign. No rest until we divest, read another. Cows are the deadliest animal in the UK, attacking between three and four thousand people each year. An expert has revealed that this number could continue to rise. More than 30 people were killed by cows between 2018 and 2022, according to the UK governments Health and Safety Executive (HSE). The news comes after a woman was trampled and died by a herd of cows in Wales on September 1. Experts have previously called for new laws to protect the public from killer cows and lamented an increase in aggressive cattle behaviour. David Clarke of Cattle on Walkers Safety (COWS) told a news portal: Death figures vary from year to year and there are often peaks, a few years ago there were three deaths in a short period of months. The deaths can be differentiated between farmers and citizens, with typically three times more farmers killed than citizens. In the long term, there are around five deaths a year. With such a low number, any increase is significant. It seems to be trending upwards. It is also important to remember that the deaths are just the extreme result of many attacks/incidents. It is said to be three to four thousand a year, the official further stated. The outcomes range from lucky escapes, trauma, minor injuries and increasingly serious injuries to death. About 35% of incidents result in injury, it is just a matter of luck and circumstance. According to a recent HSE paper, 25% of farmers are injured by their livestock each year. A man was killed and his wife left paralysed when they were trampled by a herd of cows, while walking their daughters whippets in September 2020. Micheal Holmes, 57, was pronounced dead at the scene while his wife Teresa was airlifted to hospital. The couple were attacked after being trampled 20ft from the end of the footpath in a public right of way. Micheal suffered 35 broken ribs and his pericardium was shredded. A pathologist found the injuries in his chest alone were not survivable. Teresa, who was rendered unconscious in the incident, suffered injuries including spinal fractures, spinal cord injuries and broken ribs. In another incident, pensioner Huw Evans was attacked and killed by a cow that escaped from the Whitland Mart livestock market in Carmarthenshire, Wales. He was injured in the city centre on November 19 last year and was airlifted to hospital, where he sadly died six days later. In a statement, his family said: Huw was a beloved father, brother, uncle and friend to many. We would like to thank the community for their support and well wishes whilst Huw was in hospital and since his death. We now know how much he will be missed. The chief of the Ansarullah Bangla Team (ABT), an al-Qaida-affiliated terrorist outfit in Bangladesh, has made highly inflammatory remarks against India in a viral video, threatening the stability of its neighbouring country. Jashimuddin Rahmani, recently released from prison by the interim government led by Nobel laureate Muhammad Yunus, is seen in the video asking for help from Pakistan and Afghanistan to liberate Kashmir. In the video, likely shot in a hospital ward in early September, Rahmani warned India against associating with former Bangladesh Prime Minister Sheikh Hasina. He also urged West Bengal Chief Minister Mamata Banerjee to free Bengal from Modis rule and declare independence, according to multiple news outlets. Declare Bengals independence from Modi rule, Bangladesh Islamist tells Mamata In a video, Islamist Jashimuddin Rahmani Hafi, the chief of al-Qaida affiliate, Ansarullah Bangla Team (ABT), asked West Bengal Chief Minister Mamata Banerjee to declare independence from Modis rule pic.twitter.com/Czbq0guX4R (@subhadiproy4u) September 13, 2024 Released on Parole Rahmani, who was jailed for five years for murdering a blogger, was released on parole in August, weeks after the military-backed interim government took charge in Bangladesh. His release raised alarms for India, given ABTs strong ties to al-Qaida in the Indian Subcontinent (AQIS), which is banned in India. Read More: New Bangladesh Government Releases ABT Chief & Terrorist Rehmani Known For Anti-India Activities | Exclusive The Islamic terrorist warned India against any aggressive actions towards Bangladesh, saying, the country is not like Sikkim or Bhutan. It is a country of 18 crore Muslims. He threatened to enlist Chinas help in cutting off Indias northeastern states by blocking the Siliguri corridor, often referred to as the chickens neck. Rahmanis message to Bengal CM comes at a sensitive time when her government is facing public outrage following the rape and murder of a trainee doctor in Kolkata. The ABT chief, who has openly supported al-Qaida and its branch in the Indian subcontinent (AQIS), sought help from Pakistan and Afghanistan to liberate Kashmir and advocated for Khalistan. Tell Kashmir to get ready for freedom. Pakistan and Afghanistan together will help Kashmir gain independence. We will work for the freedom of Kashmir, he said. Jashimuddin Rahmani poses a serious threat to Indias security, given ABTs strong ties to AQIS and its efforts to establish a jihadi network within India through sleeper cells. Donald Trump has defended his ties with Laura Loomer, who has drawn criticism from some of his Republican allies for embracing conspiracy theories and making racist comments about US Vice President Kamala Harris. Laura has been a supporter of mine. Just like a lot of people are supporters, and shes been a supporter of mine. She speaks very positively of the campaign, Trump, the former president, said on Friday at a news conference in California. He was responding to a question from a reporter about his allies expressing concern about his close ties with Loomer. Laura shes a free spirit I dont control Laura. Laura shes a free spirit. Well, I dont know. I mean, look, I cant tell Laura what to do, Trump said. She is a strong person, shes got strong opinions, and I dont know what she said, but thats not up to me, he added. Several of Loomers posts on social media this week came under fire, including one where she nodded to a conspiracy theory about the 9/11 attacks. 23 years later, and theres still a lot of unanswered questions, Loomer posted on Friday, alongside a video of Trump in 2001 questioning whether airplanes could cause explosions like the ones that happened at the Twin Towers on 9/11, Loomer wrote. After her post was picked up by several news outlets, Loomer, in a subsequent post, defended herself saying, I am not a 9/11 truther. This is the tweet I posted about 9/11 last year that the media is going crazy over. In fact, in my book, theres an entire section about 9/11 in which I talk about how it was carried out by Islamic terrorists. I am not a 9/11 truther. This is the tweet I posted about 9/11 last year that the media is going crazy over.In fact, in my book, theres an entire section about 9/11 in which I talk about how it was carried out by Islamic terrorists. The media is lying about me and so is https://t.co/hgiNopSywb pic.twitter.com/lQXPpbhMAI Laura Loomer (@LauraLoomer) September 14, 2024 Conspiracy theories Loomer, often seen as a right-wing conspiracy theorist, in another post on Sunday, said the White House will smell like curry & White House speeches will be facilitated via a call centre if Harris wins the November 5 presidential election. Harris, a Democrat, will take on Trump in the November election. NBC News asked Trump whether hed been informed of Loomers conspiracy theories. I dont know that much about it. No, I dont, he said, adding, I know shes a big fan of the campaign, but I really dont know. Trump later sought to distance himself from Loomers statements, though without specifying which ones. In a Truth Social post, Trump said that Loomer doesnt work for the Campaign. Shes a private citizen and longtime supporter. Even in that post, Trump defended Loomer and said: Like the many millions of people who support me, she is tired of watching the Radical Left Marxists and Fascists violently attack and smear me. Several Republicans denounced Loomer for her remarks. I exposed lawfare against Trump In her defence, Loomer claimed that Democrats are angry because I exposed the lawfare against Donald Trump. I have done some serious damage to her campaign, and so clearly there is a coordinated effort by the media, Kamala Harris, Fani Willis, probably judge Merchan and his daughters company, and some RINO members of Congress to attack me because they dont like how effective I am, she wrote on X. They have devoted three whole days of nonstop media coverage to me on every single news network. I have received over 875 phone calls from reporters. I am getting death threats, And all I did was say the White House was going to smell like curry because Kamala spends more time cooking than talking policy. Its a bit overblow!That is why they are attacking me, she added. Former US President and Republican nominee Donald Trump appears to be leaving the door open for a second debate with Democratic candidate Kamala Harris after declaring another one-on-one with the current US Vice President was off the table. I wouldnt need anything. I could do it tomorrow, Trump said, as he responded to a question from Fox News about the possibility of another debate following a presser in California. However, Trump insisted that Everything was crooked in the second one, and suggested he might reconsider if he were in the right mood. There Will Be No Third Debate This statement marks a shift from his earlier post on Truth Social, where he had emphatically stated, THERE WILL BE NO THIRD DEBATE! Trump claimed he had decisively won the debate against Harris, which took place on Tuesday and slammed her for not attending a previous debate hosted by Fox. He also dismissed the idea of a rematch, asserting that Harris should focus on her record as vice president instead. READ MORE: There Will Be No Third: Trump Declines Another Kamala Harris Debate As Polls Show Growing Democratic Lead Trumps comments come after a heated 90-minute debate in Philadelphia, where many viewers believed Harris outperformed him. A CNN poll indicated that 63% of debate watchers felt Harris had the stronger performance, while only 37% favored Trump. Despite this, Trump maintained that he was leading in post-debate polls and had performed well. Chicken In response to Trumps refusal for another debate, Harriss campaign has taken a jab at him, labeling him chicken. David Plouffe, Harriss campaign chairman, mocked Trump on social media, suggesting that he was avoiding further confrontation. Harris herself has stated that both candidates owe it to the voters to engage in another debate. Another top US news network has offered to host another debate, with proposed dates in October, but it remains unclear if Trump will reconsider his stance. As the November election nears, Trumps decision not to debate again may affect his campaign strategy, especially with polls showing a tight race between him and Harris on key issues like the economy and immigration. Iran-backed Lebanese faction Hezbollah fired some 55 rockets toward the northern Israeli city of Safed and surrounding areas on Saturday morning, according to the Israel Defense Forces (IDF). The attack from Lebanon consisted of two barrages, with around 20 rockets launched in the first wave and about 35 in the second, The Times Of Israel newspaper reported. While many of the rockets were intercepted, some landed in open areas, sparking fires but causing no reported injuries. The IDF confirmed that they responded shortly after the attacks by targeting the rocket launchers in southern Lebanon. Rocket sirens sounded in Safed and multiple nearby communities, alerting residents to the incoming fire. The area has experienced ongoing rocket fire throughout the morning. Some 55 rockets were launched from Lebanon at the Safed area this morning.The IDF says several rockets were intercepted by air defenses, while many others struck open areas. There were no injuries in the attack. One launcher used in this mornings rocket fire was destroyed in pic.twitter.com/i1z9OGY8J0 Emanuel (Mannie) Fabian (@manniefabian) September 14, 2024 Terrorists fired over 50 rockets at civilians in the Upper Galilee region this morning, Israeli media report.The @IDF responded by striking rocket launchers in southern Lebanon. https://t.co/TU6XE8F2Zn Israel War Room (@IsraelWarRoom) September 14, 2024 Southern Gaza On Friday, the Israeli army took reporters to tunnels uncovered by troops in southern Gaza, including the entrance to the underground chamber where the bodies of six Israeli hostages killed by Hamas were recovered on Sept. 1. The military did not allow reporters into the tunnel, in the Tel al-Sultan area of Rafah for security reasons. But it has released footage showing a cramped and airless passage it said was some 20 metres below ground where it said the hostages had been held possibly for weeks. There is a complete maze of tunnels here in Tel al-Sultan, Israeli military spokesperson Daniel Hagari told reporters, standing next to the shaft leading down to the tunnel, located in what appears to have been a childs room in a destroyed house. We need to do everything we can, by all means, to bring them back home, he said, referring to the 101 hostages still being held in Gaza. Six Hostages Killed The Israeli military has said the six hostages were killed on the night of Aug. 29 and their bodies were recovered by troops around two days later. The Tel al-Sultan tunnel is part of what the military has said is a large network uncovered by Israeli forces operating around Rafah, near the border with Egypt. Troops have uncovered around 13 kilometres of underground tunnel routes over the past few months, the army said this week. Apart from rare visits escorted by the military, foreign media outlets have not been allowed to enter Gaza since Israel invaded the enclave after the Hamas-led attack on Israel on Oct. 7 that killed some 1,200 people, according to Israeli tallies, and saw more than 250 taken hostage. Much of Gaza has been destroyed in the Israeli campaign and most of the 2.3 million population have been driven from their homes. More than 41,000 people have been killed, according to Palestinian health ministry figures. (With agency inputs) A Pakistan court awarded a death sentence to a man from the Punjab province for insulting the Prophet Muhammad on social media, a court official said on Saturday. Blasphemy, especially insult to the Prophet, is an offense punishable by death in Pakistan. Additional Sessions Court Judge Shahbaz Iqbal Tarar of Sarai Alamgir on Friday handed down the death sentence to Irfan (who goes by a single name) on the charges of insulting the Prophet, the court official told PTI. He said the court convicted the suspect and also imposed a fine of PKR 1,00,000 on him. Irfan, a resident of Sarai Alamgir, some 200 km from Lahore, was arrested this year for allegedly committing blasphemy by making insulting remarks against the Prophet. The managers of the University of Virginias multibillion dollar endowment announced on Friday that it will not be cutting financial ties with Israel, a blow to students and faculty who have waged a divestment campaign since last fall. The University of Virginia Investment Management Company is charged with generating long-term returns from the schools endowment and other assets, a pool of money that, according to a Friday presentation, consists of more than $14 billion. Some of that money may be invested in companies with ties to Israels military offensive in the Palestinian territory of Gaza, which has created a humanitarian crisis and, according to the local health ministry, killed more than 40,000 people. The toll does not distinguish between civilians and militants as Israel continues its war with the Palestinian terror group of Hamas. In February, UVa students passed a referendum calling on the school to disclose its financial ties to Israel and divest. Eight thousand students voted in the referendum, which called for UVa to undergo an auditing process to determine the extent to which endowment funds are invested in companies engaging in or profiting from the State of Israels apartheid regime and acute violence against Palestinians and to immediately divest all funds so identified. The referendum passed with 67.87% of the vote, but it had no real power. Neither the schools governing Board of Visitors nor the UVa Investment Management Company were required to abide by it. That was made clear on Friday afternoon when company representatives met at a Board of Visitors meeting in the schools iconic Rotunda. Before presenting the board with an investment report, Chief Operating Officer Kristina Alimard said she wanted to briefly address an issue thats probably top of mind for a couple folks in the room. We are not divesting from any investments in response to the student referendum that was passed earlier this year, Alimard said. Our primary objective is to generate strong adjusted returns for the University of Virginia. We do not utilize divestment or negative screens for nonfinancial reasons. The better the companys investments perform, the more money goes to the schools Strategic Investment Fund. Totaling nearly $2 billion, that fund is used for UVas long-term investment projects, with a recent focus on creating student scholarships and professorships. Scholarships were adopted in 2019 as part of the universitys mission to be both great and good. We should strive not simply to be great, but also to be good, recognizing that in the not-too-distant future, it will likely be impossible for a university to be truly great if it is not also good, UVa President Jim Ryan said in a statement at the time. The very best faculty, students, and staff are going to want to live, work, and study at institutions in which they can believe wholeheartedly. Alimards Friday announcement including that the companys primary objective is to generate strong adjusted returns for UVa made at least one faculty member question UVas commitment to its great and good mission. Ashon Crawley is a religion professor and president of the UVa chapter of the American Association of University Professors. While not speaking for the chapter, he told The Daily Progress that disregarding the overwhelming vote in favor of the referendum is an indictment on the universitys transparency. And it is an abdication of responsibility and great and good leadership when a university that is supposed to be a place where learning happens names as its primary objective strong adjusted returns, Crawley wrote in an email. What is the purpose of universities? Is it to generate wealth without any consideration for how that wealth is created? Neither students nor faculty had been told before the Board of Visitors meeting that the company would not be divesting. After hearing the news, faculty representative Michael Kennedy asked for further clarification on how the management company reached its decision. I represent many of the faculty who think we should divest. Could you talk really briefly, is this something you just wont do, you cant do, some combo of both? Kennedy asked. The companys CEO, Robert Durden, responded that it was indeed a combination of both. The investment company works with 85 external managers, and it would in some cases be very difficult to renegotiate investment management agreements with them to add a screen like this, Durden said. Durden also received a question about any investments in certain companies that have direct ties to Chinas communist government. What if the federal government were to ban TikTok and Byte Dance? asked board member Porter Wilkinson. How are you thinking about exposure in those instances? Durden responded that the company has reduced its exposure to Chinese companies by about 5%, although partly because Chinese markets have not performed as well as U.S. and other markets in recent years. Weve been actively reducing our exposure on the liquid side, Durden said. Assistant professor Laura Goldblatt told The Daily Progress that while it may be difficult to divest, that is not a reason not to do it. And she questioned the opacity around managements decisions and holdings; as Durden and Alimard made clear, the company has not only declined to divest, but also declined to disclose investments. Why is a public university that receives almost a third of its operating budget from public sources federal and state tax revenues unwilling to make its finances public? Goldblatt asked. In addition to the logistics of divestment, Durden offered another explanation. We do not like using our investment strategy as a means of expressing a moral or political opinion, he said. But associate professor Anne Garland Mahler points out that in March of 2022, the company authored a document titled Investor responsibility framework. Mahler argues its evidence that the investment team has considered politics when making previous decisions. At the bottom of that document the company says it will continue investing with activist managers who promote positive change in the oil and gas industry and have a heightened focus on environmental stewardship. The document also references a socially conscious version of investing known as ESG, or environmental, social and governance. The investor responsibility framework clearly reflects an understanding that investment is inherently political, Mahler told The Daily Progress. Investment is taking a political stance in favor of one side. Divestment would be refusing to choose one side over another. Durden also hinted that Virginia politics may have played a role in the decision not to divest. Its questionable whether or not were even allowed to under Virginia state law, and theres been an opinion provided by I think Virginias attorney general this summer as to the question of whether that would even be legal to consider nonpecuniary factors in our investment policy, Durden said. Attorney General Jason Miyares has been a vocal critic of the BDS, or Boycott, Divest, Sanction movement. Following the student referendum, Miyares wrote a letter to UVas Board of Visitors and called the vote shocking. My earnest desire is for the Board of Visitors to explicitly reject and definitively repudiate the misguided attempt by the UVA student body to undermine the legitimacy of Israel, he wrote. Goldblatt said she was disturbed by Durdens comment that it may somehow be illegal for a public university to have criteria for its own investments. Surely, we have requirements about returns and risk, she said. Saying, We dont get involved in politics is a political decision in that it supports current policies with political ramifications, such as the decision to profit off of a genocide. The choice not to divest is also a political decision with political consequences. 5 of the best dog breeds for people with allergies and anxiety There are 63 vehicles, including three buses procured and advance payments of US$2, 3 million and ZWL$1, 7 billion made by various public entities in the last three years that are yet to be delivered as bad governance rocks government entities, a top official in the Auditor Generals office has said. In addition to the vehicles, public institutes also paid for 167 laptops and various office furniture items which are yet to be delivered and some of them since 2020, Maxwell Makotore, the director of audit in the Auditor Generals office has said. He wondered why provisions of contracts on non-performance by the supplies were not being invoked. Makotore said this as he raised issues of bad governance in public entities including local authorities during a two-day Procurement Regulatory Authority of Zimbabwe (PRAZ) organised workshop held at a local lodge at Mutirikwi Dam near Masvingo last week. His presentation was on the Auditor Generals public procurement audit findings for 2023. He expressed grave concern at governance issues, management of assets, revenue collection and debt recovery, employment, service delivery and procurement of goods and services as the issues that rock local authorities and other government entities. Audits showed that tender procurement procedures are not followed and payment vouchers, delivery notes and issue vouchers were in some cases not availed for audit. Supporting documents such as requisitions, receipts and goods received notes were also not there, said Makotore. Suppliers missed delivery dates, breached contracts by not delivering the agreed number of vehicles and varied the approved model of motor vehicles. Contract management was not effectively done as provisions of contracts on non-performance by the suppliers were not invoked. The other anomaly that he noted was the use of wrong procurement methods and direct procurement method without justification. The objective of the workshop was to entrench a culture of good governance in procurement. The workshop was attended by 90% of public entities represented by CEOs and boards of state entities under the theme, Collaborative Governance: Building Partnerships for Effective Public Procurement and Corporate Governance. In the local authorities report, there were 21 findings reported in the current year covering non-delivery of goods, failure to reflect bids as non-responsive where the bidder has failed to comply with obligations to pay taxes or social security contributions in Zimbabwe. It was also noted that there were unsupported procurement with no quotation, invoice, delivery note, receipts and payment voucher, said Makotore. Makotore added that in other instances, the suppliers missed the delivery dates, breached the contracts by not delivering the agreed number of vehicles and varied the approved model of motor vehicles. Contract management was not effectively done as provisions of contracts on non-performance by the supplies were not invoked. In the local authorities report, there were 21 findings reported in the current year covering issues which include non-delivery of goods, failure to reflect bids as non-responsive where the bidder has failed to comply with obligations to pay taxes or social security contributions in Zimbabwe. It was also noted that there was unsupported procurement with no quotation, invoice, delivery note, receipts and payment voucher, said Makotore. Masvingo Mirror UPDATE Sep 14, 2024 2:30 PM CDT More than 44 years after a nursing student was slain in her home in Kansas, her neighbor at the time has been sentenced to 10 to 25 years in prison for killing her. After the investigation of the shooting death of Mary Robin Walter, 23, was reopened in 2022, new evidence developed with recent technology pointed investigators to Steven Hanks. Now 70, Hanks was sentenced for second-degree murder on Thursday, after Barton County District Judge Steve Johnson broke with the plea agreement, the AP reports. It had called for five to 25 years in prison. Sheriff Brian Bellendir said he thinks it's the state's oldest cold case ever to result in a conviction. "It bothers me that many of the people who were so affected by this tragic crime have since passed away prior to bringing the suspect to justice," Bellendir said Friday. Dec 11, 2022 9:20 AM CST A Kansas man has been charged in the 1980 shooting death of a 23-year-old neighbor after investigators who reexamined her death turned up new evidence, authorities said. Kansas Bureau of Investigation officers arrested 68-year-old Steven Hanks, of Burden, on a charge of second-degree murder in the death of Mary Robin Walter, who was a wife, mother, and nursing school student when she was killed, said Barton County Sheriff Brian Bellendir, per the AP. Hanks is jailed on $500,000 bond, according to court records. Jalil Muyeke was the victim of Internet scammers. But as Tara Siegel Bernard writes for the New York Times , "the masterminds behind these schemes didn't drain his bank account. ... They stole seven months of his life." The 32-year-old from Uganda is one of thousands of such victims: People who travel great distances to take what they believe to be a great job offer in Southeast Asia, only to end up forced to work at a so-called fraud farm. Siegel Bernard writes that many have popped up in casinos that closed during the lockdown, and often house "trafficked workers laboring under the threat of severe beatings, electric shock, or worse." In telling Muyeke's story, she focuses on his suffering, rather than that of his victims. He thought he had landed a six-month contract job in Bangkok paying $2,500 a month but realized something was wrong after landing at the airport. Bangkok was minutes away; instead he was driven more than eight hours to the Moei River. He saw a canoe in the water and Myanmar on the other side, along with armed men. He ended up at a compound called Dong Feng and was told his pay would be $400 a month. He started work at 8pm seven days a week, logging into dating apps and posing as a female fashion designer living in San Francisco. For 17 hours a day he used a script to try to chat up American and Canadian men, ideally over 40. The goal was to get phone numbers from at least two a day; once he succeeded, a "higher-level scammer" would take over the conversation. If his productivity sank, he was forced to do hundreds of push-ups. (Read the full story, which details how he managed to get out seven months later.) If you're a Lord of the Rings super fan, you surely know of Anduril , the sword billed as the "Flame of the West" that pops up periodically in the film franchise. It's also now the name of a $14 billion defense tech startup that's boasting a new missile line it vows will "rebuild America's arsenal of air-breathing precision-guided munitions and air vehicles," per Quartz . The new missile lineup features three different Barracuda models, and according to the company, they're all able to be manufactured with 50% fewer parts and 95% fewer tools than its competitors requiremeaning the missiles can be mass-produced in half the time. "As a result, the Barracuda family ... is 30% cheaper on average than other solutions," Anduril says in a statement. The streamlined missiles will also allow the United States to "bring mass to the fight," the company tells Business Insider, which notes concerns that the nation may not be able to keep churning out advanced munitions quickly enough if the US were to ever become embroiled in a war with a historically strong foe like Russia or China. Chris Brose, Anduril's chief strategy officer, tells Air & Space Forces that recently conducted war games depict the US running out of key munitions in just weeks were a big conflict to begin. "Then we struggle, or theoretically would struggle, for a period of years to replenish all the weapons that we expended," he says, citing Ukraine as an example of where this is currently happening. "We need an order of magnitude more missilesand we need them to be simple and affordable enough to produce at scale to meet both peacetime and wartime demands," Anduril says in its statement. All three variantsBarracuda-100, Barracuda-250, and Barracuda-500are currently flying, a company exec tells Defense One. The company, founded by Oculus founder Palmer Luckey, raised $1.5 billion last month to "rebuild the arsenal of Democracy." (More missile stories.) A Colorado paramedic sentenced in March to five years behind bars for his role in the 2019 death of Elijah McClain will see freedom earlier than anticipated. Per the AP , Adams County District Judge Mark Warner on Friday vacated Peter Cichuniec's sentence and instead reduced it to four years of probation, meaning Cichuniec will now be released from prison after serving about 10 months of his original sentence. In his decision, Warner said Cichuniecconvicted of criminally negligent homicide and second-degree assault for injecting McClain, a 23-year-old massage therapist, with ketamine to help subdue him after a 911 call reporting a "sketchy" personhad had to make quick decisions the night McClain was detained. Warner, who handed down the original sentence in March, added that Cichuniec, 51, who had 18 years under his belt as a paramedic and firefighter, had no previous criminal history and was a person of good character. Warner also cited the "unusual and extenuating" circumstances of the case. The Washington Post notes that when such circumstances exist, the state's mandatory sentencing law permits judges to slash prison sentences, once a prisoner has put in 119 days behind bars and undergone a risk assessment by Colorado's corrections department. Cichuniec had filed a motion to modify his sentence in June. Colorado Attorney General Phil Weiser said he was disappointed in Warner's decision, while a local police-reform advocate called it "disturbing," reports the AP. The head of the International Association of Fire Fighters, meanwhile, said he was "relieved" to hear the news. Sheneen McClain, Elijah McClain's mother, wasn't at Friday's hearing, but state prosecutor Jason Slothouber spoke on her behalf, per Colorado Public Radio. She "does want the court to know that she strongly objects to any modification of the sentence and believes that prison is the most just sentence for the death of her son," Slothouber said. The other Aurora paramedic convicted in the case, Jeremy Cooper, was sentenced earlier this year to four years of probation, 14 months of work release, and community service. (More Elijah McClain stories.) Donald Trump has declined to put much distance between himself from Laura Loomer, saying that while he doesn't agree with every statement she's made, the conspiracy theorist is "a free spirit" who "has to say what she wants." Some of his Republican supporters, including Sen. Lindsay Graham and Rep. Marjorie Taylor Greene , evidently were hoping for more of a break. Sen. Thom Tillis joined that chorus with a post on Friday, after Loomer was seen at Trump's side several times this week, Politico reports. A "DNC plant couldn't do a better job than she is doing to hurt President Trump's chances of winning re-election," Tillis wrote. When asked about Loomer on Friday by reporters, Trump first said he wasn't aware of her positions. "She's got strong opinions, and I don't know what she said, but that's not up to me," he said. "I'm not sure why you asked that question," he added, per NBC News. Loomer has called herself "pro-white nationalism" and a "proud Islamophobe." In addition to comments that Greene called, in at least one instance, "extremely racist," Loomer has aired a host of conspiracy theories. They include claims that President Biden was behind the attempt to assassinate Trump in July, per the New York Times, and that Democrats had signed up Taylor Swift for an "election interference psyop." In addition, Loomer has said the 9/11 attacks were an "inside job"; Trump took her with him to memorial events on Wednesday. He apparently had brushed up on her positions by Friday night, posting that he disagrees "with the statements she made" on Truth Social while defending the messenger. "Laura's a supporter. I don't control Laura, Laura has to say what she wants. She's a free spirit," he wrote. (More Donald Trump 2024 stories.) Iran launched a satellite into space on Saturday with a rocket built by the country's paramilitary Revolutionary Guard, state-run media reported, the latest for a program the West fears helps Tehran advance its ballistic missile program. Iran described the launch as a success, which would be the second such launch to put a satellite into orbit with the rocket. There was no immediate independent confirmation of the launch's success, per the AP . Footage later released by Iranian media showed the rocket blast off from a mobile launcher. The video suggested the launch happened at the Guard's launchpad on the outskirts of the city of Shahroud, some 215 miles east of the capital, Tehran. The launch comes amid heightened tensions gripping the wider Middle East over the ongoing Israel-Hamas war in the Gaza Strip, during which Tehran launched an unprecedented direct missile-and-drone attack on Israel. Meanwhile, Iran continues to enrich uranium to nearly weapons-grade levels, raising concerns among nonproliferation experts about Tehran's program. Iran identified the satellite-carrying rocket as the Qaem-100, which the Guard used in January for another successful launch. "Qaem" means "upright" in Iran's Farsi language. The solid-fuel rocket put the Chamran-1 satellite, weighing 132 pounds, into a 340-mile orbit, state media reported. The US State Department and the American military didn't immediately respond to requests for comment over the Iranian launch. The US had previously said Iran's satellite launches defy a UN Security Council resolution and called on Tehran to undertake no activity involving ballistic missiles capable of delivering nuclear weapons. UN sanctions related to Iran's ballistic missile program expired last October. Under Iran's relatively moderate former President Hassan Rouhani, the Islamic Republic of Iran slowed its space program for fear of raising tensions with the West. Hard-line President Ebrahim Raisi, a protege of Supreme Leader Ayatollah Ali Khamenei who came to power in 2021, had pushed the program forward. Raisi died in a helicopter crash in May. It's unclear what Iran's new president, the reformist Masoud Pezeshkian, wants for the program, as he was silent on the issue while campaigning. More here. (More Iran stories.) President Azali Assoumani of Comorosan island nation in the Indian Oceanwas "slightly injured" in a knife attack while attending a funeral on Friday, his office reported. A civilian attempting to protect him was also hurt. Security forces arrested the attacker, though details about the assailant remain undisclosed. The incident occurred in Salimani, near the capital Moroni, during the funeral of a religious leader. The president's office confirmed Assoumani's injuries are not severe, and he has returned home. Government minister Aboubacar Said Anli stated that there is no immediate information on the extent of the civilian's injuries. Ukraine made a new call Saturday on the West to allow it to strike deeper into Russia after a meeting between US and British leaders a day earlier produced no visible shift in policy on the use of long-range weapons. "Russian terror begins at weapons depots, airfields, and military bases inside the Russian Federation," Ukrainian presidential adviser Andriy Yermak said Saturday. "Permission to strike deep into Russia will speed up the solution." Ukrainian officials have repeatedly called on allies to greenlight the use of Western-provided long-range weapons to strike targets deep in Russian territory, the AP reports. So far, the US has allowed Kyiv to use American-provided weapons only in a limited area inside Russia's border with Ukraine. Discussions on long-range strikes were believed to be on the table when President Biden and British Prime Minister Keir Starmer met in Washington on Friday, but no decision was announced immediately. Ukrainian President Volodymyr Zelensky has been pressing the US and other allies to allow his forces to use Western weapons to target air bases and launch sites farther afield as Russia has stepped up assaults on Ukraine's electricity grid and utilities before winter. "We need to boost our air defense and long-range capabilities to protect our people," Zelensky wrote Saturday on social media. "We are working on this with all of Ukraine's partners." Other developments included: Overnight attacks : More than 70 Russian drones were launched into Ukraine overnight, Zelensky said. The Ukrainian air force later said that 76 Russian drones had been sighted, of which 72 were shot down. In another attack in Ukraine's Sumy region, one person killed by Russian artillery fire as energy infrastructure was targeted. Other people were hospitalized, Ukraine's Ministry of Energy said. : More than 70 Russian drones were launched into Ukraine overnight, Zelensky said. The Ukrainian air force later said that 76 Russian drones had been sighted, of which 72 were shot down. In another attack in Ukraine's Sumy region, one person killed by Russian artillery fire as energy infrastructure was targeted. Other people were hospitalized, Ukraine's Ministry of Energy said. Warning to West : Officials in Moscow again said long-range strikes would provoke further escalation between Russia and the West. Deputy Foreign Minister Sergei Ryabkov told state news agency Tass on Saturday that the US and British governments were pushing the conflict, which began with Russia's full-scale invasion of Ukraine in February 2022, toward "poorly controlled escalation." Biden on Friday brushed off similar comments by Russian President Vladimir Putin. : Officials in Moscow again said long-range strikes would provoke further escalation between Russia and the West. Deputy Foreign Minister Sergei Ryabkov told state news agency Tass on Saturday that the US and British governments were pushing the conflict, which began with Russia's full-scale invasion of Ukraine in February 2022, toward "poorly controlled escalation." Biden on Friday brushed off similar comments by Russian President Vladimir Putin. POW exchange: Russian and Ukrainian officials announced on Saturday a prisoner swap brokered by the United Arab Emirates. It included 206 prisoners on both sides, including Russians captured in Ukraine's incursion in the Kursk region. The total number of POWs exchanged this year stands at 1,994. Both sides released images of soldiers traveling to meet friends and family, with Zelensky commenting, "Our people are home." (More Russia-Ukraine war stories.) TDT | Manama The Daily Tribune - www.newsofbahrain.com Email: editor@newsofbahrain.com Bahrain has clinched a top position in the 2024 Global Cybersecurity Index (GCI), earning a Tier 1 classification from the International Telecommunication Union (ITU). Out of 194 nations evaluated, Bahrain stands as a leader in digital security, a status that speaks volumes about its evolving role on the global stage. HE Shaikh Salman bin Mohammed Al Khalifa, CEO of the National Cyber Security Centre, attributed this notable achievement to the robust support for Bahrains cybersecurity system under the leadership of HM King Hamad bin Isa Al Khalifa, and the proactive efforts of HRH Prince Salman bin Hamad Al Khalifa, Crown Prince and Prime Minister. Shaikh Salman also acknowledged the contributions of His Highness Major General Shaikh Nasser bin Hamad Al Khalifa, National Security Advisor and Commander of the Royal Guard, in strengthening the Kingdoms digital infrastructure and enhancing cybersecurity readiness. The CEO emphasised that Bahrain's advanced position reflects its strong data protection, network security, and sophisticated infrastructure. This aligns with the National Cybersecurity Strategys objectives, which provide a comprehensive framework for ensuring a secure and reliable cyber environment, thus reinforcing Bahrains position in technological and data protection advancements. Bahrains successful initiatives and plans promote continuous innovation by developing specialised national talent and optimally leveraging emerging opportunities, supporting the country's alignment with the Bahrain Economic Vision 2030. The Global Cybersecurity Index measures countries across five areas: legal, technical, regulatory, capacity development, and cooperation. Bahrain ranked in the top tier internationally with a score between 95 and 100 and achieved perfect scores in four out of the five categories. TDT | Manama The Daily Tribune - www.newsofbahrain.com Email: ashen@newsofbahrain.com The Bahraini government, represented by the Ministry of Interior, has reaffirmed its commitment to combating any potential threats to the Kingdom's security, particularly regarding the legal status of foreign visitors and residents. In a statement to the parliament, the government outlined its efforts to tighten controls on all visas and residencies, including tourist visas and work permits. This includes stricter regulations for obtaining or converting visas, preventing the conversion of business visitor visas to work permits, and other similar practices. "The government has implemented a new policy requiring guarantors to pledge not to convert visitor visas to work permits, in accordance with Article 4 of the Bahrain Entry Visa Decision. This allows immigration officials to require a Bahraini guarantor before issuing a visa if deemed necessary," the statement read. The government also highlighted its commitment to a new decision issued by the Minister of Interior earlier this year regarding fees for services provided by the General Directorate of Nationality, Passports, and Residence. This decision aims to prevent the conversion of visitor visas without a guarantor into work permits or family reunification visas, while allowing the conversion of visitor visas to work permits or family reunification visas for the same guarantor for a fee of 250 Bahraini dinars. Therefore, the government urged the parlament to reconsider a draft law that would prohibit the conversion of entry visas to Bahrain granted for visiting purposes into work permits. The government argued that the objectives of the draft law are already being achieved through existing regulations. The government highlighted that existing laws and regulations governing the entry and residence of foreigners in Bahrain, including the Foreigners (Immigration and Residence) Law, provide a framework for managing these matters. "Article 18 of this law allows for the issuance of residency permits to foreigners who can support themselves and their dependents in Bahrain, or who wish to work in the country. The article also outlines regulations for the residence of non-Bahrainis and grants the government discretionary authority to issue and determine the validity of residency permits or renewals, as well as to issue permits in specific cases at its discretion, with periodic reviews of the beneficiary's legal status," the statement explained. Moreover, the government expressed its view that the draft law contradicts the established legislative approach in the Foreigners Law, emphasising the importance of adhering to the existing legislative framework when enacting new laws. It argued that the general framework should be established in the law, with details left to executive regulations and ministerial decisions. This allows for greater flexibility and ease of amendment in response to evolving circumstances, unlike laws that require more time for amendment according to constitutional procedures. China fines PwC over illegal audit services for Evergrande 15:00, September 14, 2024 By Tu Lei and Zhang Weilan ( Global Times China's Ministry of Finance (MOF) and the China Securities Regulatory Commission (CSRC) on Friday announced "maximum" penalties on global auditing firm PwC over its failure to perform due diligence in its audit of Evergrande's annual reports and bond issuances, including a total fine of 441 million yuan ($62.16 million) and a six-month business suspension on PwC's auditing unit. Chinese experts said the move reflects the country's firm determination to enhance regulatory supervision to ensure market fairness and stability, and such an individual case will not affect China's continuous efforts to open up to foreign businesses that abide by Chinese laws and regulations. In a statement, the CSRC said that an investigation found that PwC failed to perform due diligence in its audit of Evergrande's annual reports and bond issuances in 2019 and 2020, violated multiple auditing standards, and failed in many audit procedures. Specifically, the CSRC said audit working papers were distorted, and 88 percent of real estate project observation records were inconsistent with the actual implementation. On-site visit procedures also did not fulfill their purpose, as most of the real estate projects that were considered to have met delivery conditions during the visits were actually not completed or delivered, and some were just "pieces of vacant land." PwC's behaviors are not just a simple audit dereliction and failure. It has, to a certain extent, covered up and even condoned Evergrande's financial falsification and fraudulent issuance of corporate bonds. It seriously eroded the foundation of law and integrity, seriously damaged the legitimate rights and interests of investors, seriously undermined market confidence, and should be severely punished in accordance with the law, the CSRC said. The CSRC imposed a "maximum fine" of 297 million yuan and confiscated a total of 27.74 million yuan in PwC's financial gains from the related business. In a separate announcement, the MOF also said that an investigation found that PwC knew misstatements in Evergrande's financial reports but failed to identify them, and issued inappropriate audit opinions and false audit reports between 2018 and 2020. The ministry imposed a fine of 116 million yuan and suspended PwC's operations in China for six months. The MOF and the CSRC vowed to enhance regulatory supervision and ramp up crackdown on illegal activities to ensure stable market operations. Strengthened regulatory supervision is conducive to maintaining fairness, ensuring market order, and promoting high-quality development, Cao Heping, an economist at Peking University, told the Global Times on Friday, adding that relevant efforts will also further improve the environment for businesses, including foreign companies. China's business environment for multinational companies is becoming increasingly optimized, which will help attract more foreign investment, promote sustainable economic development, and provide a more standardized and secure environment for multinational companies to expand their businesses in China, Cao said. China has been continuously opening up its market for global businesses, and the Chinese market welcomes foreign businesses as long as they abide by Chinese laws and regulations, experts said. The case against PwC will serve as an example that China regulates market entities in accordance with the law and aims to build a fair and just business environment for all types of businesses, Dong Shaopeng, a senior research fellow with the Chongyang Institute for Financial Studies at the Renmin University of China, told the Global Times on Friday. Meanwhile, foreign businesses continue to flock to the Chinese market. In the first seven months of 2023, nearly 32,000 new foreign-invested enterprises were established in China, an increase of 11.4 percent year-on-year, according to official data. (Web editor: Tian Yi, Xian Jiangnan) Prosecutors in New Jersey can now make their case that some sex offenders deserve a higher Megans Law classification when aspects of their case do not affect the current tier system. The development comes from a recent appeal in a Burlington County case of a paroled sex killer, Mark Luciana, who prosecutors argued should have been the subject of Tier 3, door-to-door community notification following his parole in April 2021. Lucianas lawyers in the state Public Defenders Office objected, arguing that the sex offenders tier system is set and that sex offenders themselves or judges can offer information to depart from the tier system, including psychological reports not prosecutors. Martha Stewart is a Kamala Harris voter. The home and lifestyle guru from New Jersey made her choice known in a recent public appearance. Stewart was at the 2024 Retail Influencer CEO Forum Tuesday ahead of the presidential debate when the subject came up. In an onstage conversation with Joanna Coles, chief creative and content officer of the Daily Beast, Stewart said shes voting for Harris because shed like to support a candidate who doesnt hate New York and doesnt hate democracy, the Daily Beast reports. However, Stewart, 83, who was born in Jersey City and grew up in Nutley, was wary of saying much more. She didnt want to make too much noise with an endorsement, she explained, because giving one could risk losing 50% of your viewers and your fans. Im not allowed to talk (about politics), Stewart said. I cant post anything about politics because first of all, the eyeballs of a parent company come and (Ill) get a call right away cant do that. You cant talk (about that), so keep it quiet. The Daily Beast points out that Stewart refrained from endorsing a candidate for the same reason in 2020, when Donald Trump ran for reelection against Joe Biden. Donald Trump and Martha Stewart collaborating for an NBC promotion in their "Apprentice" days. That relationship would later sour. NBCUniversal | WireImage She did endorse Hillary Clinton in the 2016 election, calling Trump totally unprepared. As for Stewarts history with Trump, she was speaking out against him back in her Apprentice days. In 2006, placed the blame on Trump after her NBC spinoff of The Apprentice, The Apprentice: Martha Stewart (which ran for one season in 2005), drew low ratings. She said Trump reneged on a deal with her to have her show be the only Apprentice on TV when it was airing. The idea was to have her fire Trump on TV, she said. Instead, Trumps Apprentice remained on TV during her shows debut. Trump, an executive producer of Stewarts series, hit back with a public letter, saying Stewart had lied and telling media the failure of the spinoff was her fault. Later, when Trump won the 2016 election, Stewart no longer seemed to be feuding with her old Apprentice rival. Thank you for reading. Please consider supporting NJ.com with a subscription. Amy Kuperinsky may be reached at akuperinsky@njadvancemedia.com and followed at @AmyKup. Hudson Countys largest hospital system, which has been hemorrhaging cash for the past two years, is considering Chapter 11 bankruptcy or a merger to keep its three hospitals from closing, officials and sources told The Jersey Journal. At the same time, CarePoint Health informed its employees that layoffs are a distinct possibility. A special full moon will be rising in the night sky in September, because it feature a rare combination of a supermoon as well as a key player in a partial lunar eclipse. The so-called harvest moon will be turning full on Tuesday, Sept. 17 as the Earth moves into a position between the sun and the moon causing part of our planets dark shadow to appear on the moons surface for about an hour. As the eclipse progresses, you should notice a dark shading on the moon (Earths penumbral shadow), followed by the barest of dark bites (Earths dark umbral shadow) taken from one edge of the moon, the EarthSky astronomy website noted. Septembers full moon will also be the second of four consecutive supermoons in 2024, so it will look slightly bigger and brighter than an average full moon. The next two supermoons after Sept. 17 will appear on Oct. 17 and Nov. 15. The so-called harvest moon will be turning full on Sept. 17 as the Earth moves into a position between the sun and the moon causing part of our planets dark shadow to appear on the moons surface for about an hour.NJ Advance Media | Canva When to see the September supermoon and lunar eclipse The September moon will officially reach its fullest phase at 10:34 p.m. on Tuesday, Sept. 17. But the eclipse will start about 20 minutes before that. In the New York City region and other places in the eastern time zone, the partial eclipse will begin at 10:13 p.m., reach its maximum coverage point at 10:44 p.m. and end at 11:16 p.m., according to TimeAndDate.com. The partial lunar eclipse will be visible from most of North America, all of South America, Europe, all but the easternmost parts of Africa, western portions of Asia and Russia, and parts of Antarctica, Space.com notes. A quick reminder: Safety glasses are not needed to view any part of a lunar eclipse. They are needed to look at a solar eclipse, because the bright rays from the sun can cause serious eye damage. While the moon will be 100% illuminated on Sept. 17, it will look close to full the night before and the night after, assuming the sky is clear enough for stargazing. A lunar eclipse occurs when the sun, Earth and moon are closely aligned, and the Earth's shadow makes the moon look dark. During a partial lunar eclipse, only a small portion of the moon will look dark.AccuWeather The most popular nickname of the September full moon is related to the growing season. During most years, it is called the harvest moon, but sometimes that nickname is reserved for the October full moon. It all depends on which of those two full moons appears closest to date of the autumn equinox when the fall season officially begins. Because this years full moon will appear five days before autumn arrives on Sept. 22, it will be called a harvest moon. Some Native American tribes have called the September full moon the barley moon, because it is the time to harvest and thresh the ripened barley, the Old Farmers Almanac says. Space.com says the September full moon was known as the falling leaves moon among the Ojibwe tribe in the Great Lakes region, while the Cree of Ontario referred to this moon as the rutting moon because September was the time when many animals, particularly deer, started mating. These are the dates, times and nicknames of the 12 full moons that will be shining in the sky in 2024. The times are listed in the Eastern time zone.Len Melisurgo | NJ Advance Media for NJ.com When is the first day of fall? The first official day of fall known as the autumn equinox or autumnal equinox will arrive at 9:04 p.m. on Sept. 22 in New Jersey, New York, Pennsylvania and the rest of the northern hemisphere. The equinox occurs when the sun rises directly over the equator, bringing an almost equal amount of daylight and darkness hours in the northern and southern hemispheres on that calendar day. In the weather world, meteorologists consider Sept. 1 to be the start of the fall season in the northern hemisphere, based on annual temperature cycles. So weather statistics for the fall cover all of September, October and November. Thank you for relying on us to provide the local news you can trust. Please consider supporting NJ.com with a voluntary subscription. Len Melisurgo may be reached at LMelisurgo@njadvancemedia.com or on X at @LensReality. An Ocean County resident who once taught in West Virginia was arrested Thursday after he was accused of sexually assaulting two students at a high school there in the early 2000s, authorities said. Brian Kain, 50 of Brick, turned himself in at the Monongalia County Sheriffs Office in West Virginia and was arraigned and released on a $25,000 bond, according to a release from the office. In May, the office was contacted about allegations of sexual assaults by a former teacher, identified as Kain, of two students at Morgantown High School between 2000 and 2004, investigators said. He was employed as a substitute teacher at the school. Kain also worked in the same capacity in the Wheeling, West Virginia area and the Myrtle Beach, South Carolina area, police said. Following an investigation, Kain was charged with two counts of sexual abuse by a person in a position of trust to a child, the office said. His employment status in New Jersey was unclear. His attorney information was no immediately clear, either. Anyone with information regarding Kain during his time as a teacher was asked to contact the Monongalia County Detective Division at 304-291-7218. Please consider supporting NJ.com with a voluntary subscription. Chris Sheldon may be reached at csheldon@njadvancemedia.com. Thank you for reading! To read this article and more, subscribe now for as little as $1.99. Six-day (Tuesday through Sunday) print subscribers of the Watertown Daily Times are eligible for full access to NNY360, the NNY360 mobile app, and the Watertown Daily Times e-edition, all at no additional cost. If you have an existing six-day print subscription to the Watertown Daily Times, please make sure your email address on file matches your NNY360 account email. You can sign up or manage your print subscription using the options below. You have permission to edit this article. Edit Close Hindu stories are full of demons hidden behind veils of religious piety. Ravana disguises himself as an ascetic in order to kidnap Sita. Tarakasura and Hiranyakashipu performed severe penances in worship of Lord Brahma, who granted them boons of near-immortality, only for the two to become violent autocrats. When a daydreaming Shakuntala fails to properly greet Sage Durvasa, he curses Shakuntala that her husband will forget who she is. Unfortunately, awful people continue to hide behind veils of religious piety, whether that is through Christian nationalism, neo-Zionism, Islamic fundamentalism, Buddhist nationalism, and, in my context, Hindu nationalism. Over the last 10 years, India and the Indian diaspora have experienced a scourge of Islamophobia and casteism rooted in the spread of Hindu nationalism, an ideology that argues that India ought to be a Hindu nation that relegates religious minorities to a second-class status. In India, Hindu nationalism has led to hundreds of lynchings against religious minorities, the destruction of Muslim neighborhoods and policies that threaten Muslim citizenship and economic survival in India. In the U.S., Hindu nationalism has led to an increase in Islamophobia and exclusion of Muslims in Indian American community spaces, caste discrimination in workplaces, and attempts to block free discourse on human rights in India, including here in Oregon. I am a board member of Hindus for Human Rights, an organization that advocates against Hindu nationalism and caste discrimination. I have recently been alarmed at the extent to which many well-meaning non-South Asian groups have platformed Hindu nationalists as representatives of the Hindu community, failing to see the cruel ideologies behind the people who purport to represent Hindus. In the last year alone, I have seen members of the far-right Hindu supremacist group Hindu Swayamsevak Sangh the American affiliate of the Rashtriya Swayamsevak Sangh, the leading Hindu nationalist organization in India gain prominent positions in political parties and government committees, win awards and receive adulating media coverage in The Oregonian, in spite of the groups long history of promoting Islamophobia and casteism. Civic and interfaith groups often aim to bring people of different backgrounds together to promote tolerance and use their moral authority to organize for positive change in a community. Ensuring representation of diverse communities is critical to any successful organizing effort but inclusion should not come at the exclusion of those who face marginalization within marginalized communities. Blinded by the worthwhile goal of increasing religious and cultural diversity, well-meaning people in power often inadvertently give a platform to people who have an agenda of promoting themselves at the expense of others. While we certainly should not hold religious minorities to a higher standard or interrogate their personal beliefs before giving them a platform, having truly inclusive civic spaces does require us to do our research, speak to community members and ensure that people we invite to join civic organizations are truly committed to equality and social justice for all people, including other minorities, women and LGBTQ+ folks. Civic and faith leaders must also address internal barriers to social justice such as misogyny, racism and religious supremacy within their own organizations and traditions. One of the most powerful forms of authority people of faith have is being able to critically examine ones own community and use their moral authority to challenge elements within their tradition that create barriers to interfaith respect and social justice. Interfaith work is given much more attention than intrafaith work, but the latter is often more important and necessary to make the former effective. Dismantling religious nationalism requires us to take a stand within our faith communities against bigotry while educating ourselves so we can combat religious nationalism from other communities when it appears in civic spaces. As the Guru Stotram says, we must educate others while educating ourselves. Ajnyaana timira andhasya jnyaana-aanjana-shaalaakaya. Thanks be to the one who removes the darkness of ignorance by applying the light of knowledge to our eyes. A Lake County child neglect prosecution appears to be over after the Indiana Supreme Court unanimously agreed Thursday not to disturb an unusual Court of Appeals ruling. The decision by the state's high court to deny transfer immediately after hearing oral arguments was the latest twist in the criminal case stemming from the death of 8-month-old Maci Moor, of Hammond, after the infant struggled to wake up from a nap at the Calumet Township home of her caregiver more than eight years ago. Records show the caregiver, Trisha Woodworth, 34, promptly contacted the baby's mother when the child wouldn't wake up, and then called 911 for emergency assistance at the mother's direction less than 10 minutes later. Nevertheless, Woodworth was charged with one count of neglect of a dependent, a level 1 felony; aggravated battery, a level 1 felony; and battery resulting in the death of a person younger than 14, a level 2 felony, according to court records. Experts disagreed on the cause of Moor's death. Prosecutors claimed it was due to a violent shaking incident at Woodworth's home, while doctors supporting Woodworth attributed it to Moor falling at her own home a few days earlier, which resulted in a blood clot growing in her brain that caused her brain to swell and block her blood flow, triggering a stroke. In 2022, a Lake County jury convicted Woodworth of neglect of a dependent and acquitted her on the other charges, according to court records. However, Lake Superior Judge Samuel Cappas took the rare step of setting aside the jury's verdict on his own initiative after he concluded it would be a manifest injustice to sentence Woodworth to a minimum of 20 years in prison for Woodworth waiting less than 10 minutes to call an ambulance after she found the child unresponsive, records show. The Indiana Court of Appeals reversed that ruling in January after it determined Cappas abused his discretion by vacating Woodworth's conviction on his own motion and granting her a new trial due to the alleged ineffectiveness of her attorney. But the appeals court simultaneously granted Woodworth's cross-appeal and reversed the conviction it just reinstated by finding insufficient evidence to support her conviction for neglect of a dependent. Appeals Judge Rudolph Pyle III said prosecutors presented no evidence at trial to prove beyond a reasonable doubt that Moor's death would not have occurred but for Woodworth's alleged failure to obtain immediate medical care. Woodworth likely cannot be retried on the same charge of neglect of a dependent since the appellate court found the evidence insufficient to sustain the jury's verdict. Gallery: Get to know these new Indiana laws that took effect July 1 Beekeeping Biomarker testing Bobcat hunting Boundary marker Contraceptives Digital currency Dog sales Drink server Driver safety Drones Food and beverage tax Foreigner land acquisition Gary gun lawsuit Green alert Guardian ad litem Happy hour Intellectual diversity Lake Michigan Mixed beverages Penal facilities Phones in schools Psilocybin Public access counselor Reading Regional planning Religious instruction Sanctuary cities State superintendent Thirteenth check Virtual revenge porn Website age verification Wetlands Xylazine Israel struck a former school in Gaza that it said Hamas used as a command post. Which international relief group said six of its workers had been killed in the strike? Tracking Charges and Investigations in Eric Adamss Orbit Oct. 7: The exodus of mayoral aides continue: Philip Banks, the deputy mayor for public safety, and Winnie Greco, the mayors director of Asian affairs, resigned and Rana Abbasova, an aide in his international affairs office, was fired. Mayor Eric Adams of New York has been charged with bribery and fraud in a federal corruption investigation, one of several reaching people in the mayors orbit. The Charges That Eric Adams Faces 1 count Conspiracy to commit wire fraud, solicit foreign contributions and accept bribes Related to accusations that Adams illegally accepted travel and gifts through the Turkish government, solicited the illegal foreign contributions into his campaign from Turkish businessmen and improperly influenced the approval of the Turkish Consulate in New York City. 1 count Wire fraud Related to accusations that Adams fraudulently accepted public matching funds for his campaign by improperly certifying contributions that were made via straw donors, concealing the true sources of the donations. 2 counts Solicitation of a contribution by a foreign national Related to accusations that Adams solicited and received improper campaign contributions through foreign citizens. 1 count Bribery Related to accusations that Adams solicited free and heavily discounted foreign luxury travel in exchange for helping to obtain approval by Fire Department officials of a new Turkish Consulate. The Eric Adams Indictment, Annotated 57 pages His inner circle has been engulfed by the investigations, which have targeted the highest officials in city government, some of whom have recently resigned or left their post. Mr. Adams and his associates face: An inquiry into the mayor, his campaign and ties to several nations An inquiry into senior City Hall aides and associates An inquiry into a former police commissioners twin brother Other legal matters Eric Adams Charged Ingrid Lewis-Martin Timothy Pearson Resigned Philip Banks III Resigned David C. Banks Resigning Sheena Wright Edward A. Caban Resigned Winnie Greco Resigned Brianna Suggs Reassigned Eric Ulrich Charged Rana Abbasova Fired Raul Pintos Retiring James Caban Terence Banks Thomas G. Donlon Cenk Ocal Reyhan Ozgur Arda Sayiner Dwayne Montgomery Pleaded guilty Queens precinct commander Queens precinct commander A five-count indictment against Mr. Adams details accusations of bribery, fraud and soliciting illegal foreign campaign donations that prosecutors said began when he was a top elected official in Brooklyn and continued after he became mayor. The indictment, which was unsealed in late September, follows an investigation that started in 2021 and has focused at least in part on whether he conspired with the Turkish government to receive illegal foreign campaign contributions and whether he took official actions on its behalf. Officials with the U.S. attorneys office for the Southern District of New York in Manhattan which is conducting three of four federal investigations, including the one in which Mr. Adams was charged had declined to answer questions about the scope, and their full scope was unclear. Mr. Adams, a Democrat who faces re-election next year, pleaded not guilty to the charges, and has insisted he was innocent: I ask New Yorkers to wait to hear our defense. Others from whom information has been sought are not known to have been charged with a crime, and it is possible some of those targeted for searches are only witnesses. The citys Department of Investigation is involved in the four inquiries. Federal inquiry into Adams, his campaign and ties to several nations Eric Adams Mayor Charged and official residence searched after devices seized and subpoenaed Ingrid Lewis-Martin Chief adviser to the mayor Served a subpoena Brianna Suggs Former chief fund-raiser Reassigned after home search and devices seizure Rana Abbasova Former aide Fired after cooperating with inquiry, put on leave and home searched Cenk Ocal Former Turkish Airlines executive Home searched Reyhan Ozgur Former Turkish consul general in New York Named in a subpoena Arda Sayiner Self-described brand adviser and influencer Named in a subpoena The investigation has led to charges against Mr. Adams but no one else. It was focused in part on whether Mr. Adamss 2021 mayoral campaign conspired with Turkeys government to receive illegal foreign donations, and whether Mr. Adams pressured the Fire Department to approve a new high-rise Turkish consulate, despite safety concerns. Prosecutors had also recently sought information related to interactions with five other countries Israel, China, Qatar, South Korea and Uzbekistan people with knowledge of the matter said. This focus had stemmed from a round of grand jury subpoenas issued in July to Mr. Adams, his office and his campaign. These subpoenas came after F.B.I. agents stopped Mr. Adams outside an event and seized his electronic devices in November 2023. In September, federal agents searched the official residence of Mr. Adams, hours before prosecutors announced the details of a federal indictment against him. A day later, federal investigators in Manhattan served Ingrid Lewis-Martin, Mayor Eric Adamss chief adviser and considered his second-in-command, with a grand jury subpoena, which sought information related to the investigation that produced the corruption charges against the mayor, said one person with knowledge of the matter. Her lawyer said she was not the target of any case of which we are aware. Just days before the seizure of Mr. Adamss devices in 2023, the Brooklyn home of Brianna Suggs, Mr. Adamss chief fund-raiser at the time, was searched by federal agents, as were the New Jersey homes of Rana Abbasova, an aide in the mayors international affairs office who previously was an Adams liaison to the Turkish community, and Cenk Ocal, a former Turkish Airlines executive who served on the mayors transition team. Weeks later, Mr. Adams said Ms. Suggs was no longer in that role. Ms. Abbasova, who had been on unpaid leave and cooperated with the investigation, was fired. Investigators had also sought information about the former Turkish consul general in New York, Reyhan Ozgur, whom Mr. Adams, during a flag-raising ceremony for Turkey in 2022, described as a good friend he had known for years. Another person named in the July subpoenas was Arda Sayiner, a self-described brand adviser, influencer and journalist who does business in Turkey and whose website cites an interview he once conducted with Mr. Adams. Federal inquiry into senior City Hall aides and associates Timothy Pearson Former senior adviser to the mayor Resigned after phones seizure Philip Banks III Former deputy mayor for public safety Resigned after phone seizure David C. Banks Schools chancellor Resigning after phones seizure Sheena Wright First deputy mayor Phone seized Terence Banks Consultant Home searched and phone seized This investigation, by federal prosecutors in Manhattan, appears to center on a possible bribery scheme involving a government-relations consulting company run by Terence Banks, a brother of Philip Banks III, the deputy mayor for public safety before he resigned, and of David C. Banks, the schools chancellor. Terence Banks also helped raise funds for Mr. Adamss 2021 campaign and was on his transition committee. The investigation appears to be focused at least partly on city contracts issued under programs geared toward small companies owned by women and members of minority groups. Federal agents seized the phones in early September of several top City Hall aides: the first deputy mayor, Sheena Wright; David Banks, her husband; Philip Banks; and Timothy Pearson, a senior adviser and one of the mayors closest confidants before he resigned weeks later. On Wednesday, City Hall said David Banks would step down as schools chancellor on Oct. 16, instead of at the end of December, as he originally said. A lawyer for Terence Banks said he and his client had been assured by the government Mr. Banks was not the target of the investigation. A lawyer for Philip Banks said his client had done nothing wrong. David Banks has said he was cooperating with a federal inquiry, and that his lawyer had been informed Mr. Banks was absolutely not a target in whatever this investigation is about. Federal inquiry into ex-police commissioners twin brother Edward A. Caban Former police commissioner Resigned after phone seizure Raul Pintos Chief of staff under Caban Retiring after commissioner resignation and phone seizure James Caban Former police officer Phone seized Queens precinct commander Phone seized Queens precinct commander Phone seized At the request of City Hall, Edward A. Caban resigned as police commissioner in September, just days after federal agents seized his phone and that of Raul Pintos, who served as chief of staff under Mr. Caban, and the commanders of two Queens precincts. Mr. Pintos is scheduled to retire in October. This investigation, by federal prosecutors in Manhattan, appears to be focused on the dealings of Mr. Caban and his twin brother, James Caban, with nightclubs. A former police officer who was fired in 2001, James Caban also had his phone seized. Lawyers for Edward Caban have said prosecutors told them he was not a target of the investigation. Other investigations and legal matters Winnie Greco Former senior adviser to the mayor Resigned after multiple homes searched Eric Ulrich Former buildings commissioner Charged with taking bribes Ingrid Lewis-Martin Chief adviser to the mayor Home searched and phones seized Thomas G. Donlon Interim police commissioner Homes searched and material seized Dwayne Montgomery Former police inspector Pleaded guilty to conspiracy Timothy Pearson Former senior adviser to the mayor Resigned Eric Adams Mayor The fourth federal investigation, run by the U.S. attorneys office for the Eastern District of New York in Brooklyn, led to a search in February of homes owned by Winnie Greco, a senior adviser to Mr. Adams who was also his director of Asian affairs and a prominent campaign fund-raiser. City officials said at the time Ms. Greco would be placed on unpaid leave during the inquiry, but she later returned to her job. An attorney for her said in October that she resigned. The prosecutors office declined to answer questions. In addition, the interim police commissioner, Thomas G. Donlon whom Mr. Adams had chosen to replace Mr. Caban said in September that F.B.I. agents had searched his homes and seized material from them. According to two federal officials with knowledge of the matter, the materials were classified documents that had been in his possession for years, and the searches were not related to any of the four federal investigations. Last year, Eric Ulrich, a one-time Department of Buildings commissioner appointed to the post by Mr. Adams, was indicted by a Manhattan grand jury on 16 felonies, including conspiracy and taking bribes. He had previously resigned after news of the investigation became public. According to court records, Mr. Ulrich has pleaded not guilty. In late September, state investigators searched the Brooklyn home of Ms. Lewis-Martin and seized her phones in an investigation that apparently grew out of an inquiry by the Manhattan district attorneys office that led to Mr. Ulrichs indictment. Her lawyer said she was not the target of any case of which we are aware. In February, a retired police inspector, Dwayne Montgomery, pleaded guilty to misdemeanor state charges in Manhattan, admitting that he had directed so-called straw donors people who make campaign donations with someone elses money to contribute to the mayors 2021 campaign. Mr. Pearson also faces several lawsuits involving sexual harassment accusations. A lawyer representing him denied wrongdoing on his behalf. Recently, two security guards, whom Mr. Pearson was seen physically attacking at a Midtown migrant shelter last fall, said that they planned to sue him and the city for false arrest and malicious prosecution. A lawyer representing him had not responded for comment. Earlier this year, a woman sued Mr. Adams, accusing him of asking for oral sex in exchange for career help in 1993 and sexually assaulting her when she refused. Mr. Adams has denied assaulting the woman. Councils ruling was arbitrary The Moscow city zoning code administrator determined that the premises at 414 S. Main St. in Moscow may be used for an art gallery, but may not house the offices needed to administer the gallery. This decision, disputed by the building owners (Rootforest LLC) and the tenants (New Saint Andrews College), was appealed to the City Board of Adjustment. The board heard the appeal and discussed its merits in depth. The members struggled with the intricacies of the case, but reached the conclusion that they agreed with the appellants. They did, however, feel that the final decision in an important case like this should be made by an elected body, i.e. the city council. The board overturned the code administrators ruling, with the explicit expectation that the city council would make the final determination upon further appeal. Ken Saro Wiwa (Image by Magdalene Idiang) Details DMCA The Niger Delta in Nigeria is a potent symbol of the broader legacy of imperialism across Africa. For over 67 years, multinational corporations - particularly the Royal Dutch Shell Company - in partnership with successive Nigerian regimes, have waged economic and ecological warfare against the people of the Niger Delta. This exploitation of the Delta is a reflection of Africa's broader experience with imperialism which stretches back at least 500 years into history. Over this period, foreign powers have, in their pursuit of wealth, inflicted untold harm on our land and her people, leaving behind a devastating trail of socio-economic and environmental ruin. Nigeria produced almost all of her food at independence in 1960, with agricultural exports providing 97% of the nation's income. Neoliberal political theorists optimistically predicted that Nigeria, under the direction of capable and imaginative leadership, would see rapid economic growth. They said that liberal democracy and a free-market economy would lead Nigeria into prosperity and that there wouldn't be any major ideological obstacles to slow down Nigeria's 'leap' into the future. They believed that Nigeria had entered a "post-historical" stage of its development, an epoch during which the effects of colonialism and imperialism would become less significant. This optimism was however, short-lived, if not illusionary. The discovery of crude oil dramatically shifted Nigeria's economic trajectory, turning oil into the country's largest foreign exchange earner. By 1976, Nigeria was the seventh-largest producer of crude oil in the world and had joined the Organization of Petroleum Exporting Countries (OPEC) - exporting over two million barrels of oil on a daily basis. Despite the enormous wealth generated by this 'black gold', the people of the Niger Delta, who bore the brunt of the environmental destruction caused by oil production, saw little benefit. While Nigeria's elites squandered the nation's riches abroad, the Delta's inhabitants continued to languish in poverty - deprived of basic infrastructure, education, healthcare and other necessities of life. Hitherto productive lands like the Delta have, over the years, been affected by oil spills that have destroyed farmlands and contaminated water sources, making the country a net importer of basic food items. The persistence of nationalism and a resultant ethnic conflict in Nigeria, often viewed as relics of the colonial past, exposed progressive struggles from below to the legacy of imperialism. In this scheme of things, popular struggles exposed a political and economic elite that had accumulated vast wealth from oil revenues and manipulated government machinery so as to control Nigeria's internal and foreign policies. These elites had only catered to international demands and prioritized their selfish interests, further deepening the exploitation of the country's resources and people. Their modus operandi echoed colonial patterns of economics and domination, where wealth generated from Africa's natural resources was extracted by a few at the expense of the masses. These patterns continue to exist and evolve today. Today's colonial patterns of economics. As Kwame Nkrumah argued more than sixty years ago, colonialism had not been entirely abolished; it had simply been replaced by neo-colonialism. Under neo-colonialism, countries like Nigeria appear to be independent with all the outward symbols of sovereignty. Reality, however, is that their economic systems and political policies are still dictated by foreign powers and interests. This is precisely the situation in today's Nigeria where multinational corporations like Shell maintain significant control over the country's resources, governance, and even the military apparatus - an arrangement that arises out of the colonial experience and its legacies, and which continues to buttress colonial patterns of economics to date. Oil production activities and resultant effects in the Niger Delta, such as gas flaring, canal construction, oil spills and waste dumping have caused widespread environmental devastation over the decades. The delicate ecosystem of the Delta is today nearing collapse, and the livelihoods of local communities, which once depended on fishing and farming, have been decimated. Despite this, affected communities have received little to no support or compensation for the damage occasioned on their lands. That is why, as outlined in the previous section, this country that was once food-suacient is today a net-importer of food. Furthermore, these communities do not receive a fair share of the oil royalties that are only distributed among individuals in the Nigerian government, Shell and other oil companies. The economic base, in this instance, exists in the service of a few individuals and corporations. Shell's operations in Nigeria are solely facilitated by its close ties to military oacers and politicians who share a mutual interest in controlling the region's oil wealth. Shell, in fact, maintains its own private police force, imports arms and ammunition, and has even admitted to making payments to the Nigerian military to ensure the continued exploitation of the Niger Delta. This continuing exploitation of natural resources in the Niger Delta is part of a broader pattern across Africa and the Global South. Wars over oil, forest lands, rare earth minerals, and water sources are today at the front lines of our shared global struggle against imperialism and neo-colonialism. It is more than clear that the aggressive pursuit of profit by multinational corporations, backed by right-wing governments from across the ocean, has contributed to the global environmental crisis that we are witnessing today. This crisis has led to climate change, water scarcity, the destruction of ecosystems, and the disruption of life - putting the future of the entire planet and its people at risk. 500 years after their initial assault on Africa, imperial powers and transnational corporations have again intensified their offensive against workers and indigenous peoples around the world. They have today advanced their control over oil, land, mining, water, and biodiversity. They now use various political and ideological tools to wage hybrid wars in regions rich in natural resources, where they set up military bases to maintain corporate dominance. These corporations take possession of territories, extract raw materials, and force local populations to rely on their goods and services. In this context, our struggle for self-determination, ecological justice, and national sovereignty becomes even more urgent. The people of the Niger Delta, like many others across the Global South, continue to resist the destructive forces of imperialism and transnational corporations. They do this out of their historical experience and transmitted indigenous knowledge which makes them aware that they must defend their land, water, air and biodiversity against a relentless drive for profit that threatens to annihilate both the environment and future generations. In the words of my comrade Machel Nawenzake, we must strive for a balance between human needs and the natural rhythms of the earth. We must resist the annihilating forces of capitalism and imperialism, and instead fight for a future where the people and the planet can coexist in harmony. Only through collective action can we reclaim our sovereignty, protect our natural resources, and build a just and sustainable future for all. Dear reader, if you must remember anything from this essay, please remember that Ken Saro Wiwa, who was executed on the 10th of November 1995 by the Nigerian military, did not die for today's prevailing state of affairs. He paid the ultimate price for a world of freedom, justice, dignity and ecological sovereignty. We must win! Magdalene Idiang' is a 2024 Toussaint L'Ouverture Fellow at Mwamko and a graduate student at the MS Training Centre for Development Cooperation (MS-TCDC) in Arusha, Tanzania, where she specializes in Leadership and Governance. Her research interests draw from the historical and current development of extractive capitalism and how it infuences youth in building peace across the region. Posted originally here Are the nations of the world doomed to go on fighting the brutal, horrifying wars that have long characterized human history? We might well wonder about that as we watch, aghast, while Israeli armed forces slaughter thousands of Palestinian civilians, Russian military might relentlessly pounds Ukrainian towns and cities into rubble, and new, bloody wars erupt in numerous other lands. Why does such widespread destruction and human suffering persist in the modern, ostensibly "civilized," world? A variety of explanations have been advanced. Some observers point to capitalism, others blame dictatorial rule, while still others place the onus on xenophobia, religious differences, racism, and toxic masculinity. Each of these factors has some weight. Certainly greed, authoritarian arrogance, inflamed nationalism, religious and racial animosities, and male violence have played some role in dividing people and, thereby, fostering wars among them over the course of history. But are these factors sufficient to explain the stubborn persistence of war? After all, wars existed long before the advent of capitalism and, furthermore, since then, non-capitalist (for example, Communist) nations have repeatedly waged wars, even against one another. Similarly, democratic nations have plunged regularly into numerous wars, some against their fellow democracies. Moreover, even countries whose populations have friendly ties, have the same racial composition and religion, and have taken major strides toward gender equality (including admission of women to the armed forces and top posts in government) seem quite willing to prepare for and engage in war with each other. Something is clearly missing from these explanations of widespread international violence-- something fundamental. Could it be the structure of international relations? International relations specialists have long argued that the driving force behind international war is global anarchy. Humanity, like war, has existed for thousands of years. But, although humans have gradually created governments to establish effective laws regulating behavior within their territories-- regions, cities, states, and, ultimately, nations-- they have failed to do so for the world. Thus, on the global level, nations have been left largely to their own devices. The resulting situation resembles the American Wild West, characterized by the absence of law enforcement and the prevalence of heavily armed gangs. For centuries, scholars have pointed to the need for creating transnational structures to end this global nightmare. The theologian and diplomat Hugo Grotius helped develop the concept of international law, while writers such as Dante Alighieri, Immanuel Kant, and H.G. Wells promoted the idea of global governance. After the atomic bombing of Japan and the ensuing scramble for nuclear weapons starkly revealed the peril of nuclear annihilation, the call for a full-scale transformation of international relations became even sharper. Albert Einstein, the chair of the Emergency Committee of Atomic Scientists, stated bluntly: "Mankind's desire for peace can be realized only by the creation of a world government." Norman Cousins, editor of one of America's major magazines of the era (the Saturday Review), played a key role in channeling Einstein's call into a postwar campaign for a global federation of nations. "The only security for Americans today, or for any people," Cousins contended, is "a system of world order that enables nations to retain sovereignty over their own cultures and institutions but that creates a workable authority for regulating the behavior of nations in their relationships with one another." Cousins served as president of United World Federalists (which morphed into the World Federalist Association and, eventually, Citizens for Global Solutions), the U.S. branch of the World Federalist Movement. Benjamin Ferencz, the U.S. prosecutor at the Nuremberg War Crimes Trials of the late 1940s, became an important popularizer of this world federalist approach. In his widely-read book, PlanetHood, Ferencz told Americans that, in the United States, "we have four layers of government: city, county, state, and national," created "to avoid anarchy within our nation." Thus, adding "one more layer of government will enable us to have an abundant future on this planet." Indeed, "international governance-- something like a United Nations of the World-- will rescue us from our deadly predicament." Critics, of course, might argue that a United Nations already exists, and has often proved unable to prevent the recurrence of war. But Ferencz's answer-- and, usually, the answer of the world federalist movement-- was that, although the United Nations had significant accomplishments to its credit, the UN Charter "was deliberately made weak" by the major powers. As a result, it "did not give the United Nations the binding strength needed to get rid of international lawlessness." Ultimately, "the only way to permanently solve the problem of war is to replace the Law of Force with the Force of Law." World federalists can also point to a dramatic decline in war when independent nations accepted limitations on their sovereignty. In the late eighteenth century, as 13 British North American colonies gained their independence, they could have followed the usual global pattern of war with one another. But, instead, they gradually created a federal union (the United States) and fought only one war within their ranks during the following 235 years. Similarly, although European nations had undergone centuries of war with each other before they went at it again in World War II, members of the European Union, formed in the aftermath of that devastating war (and now encompassing 27 nations), succeeded in ending war among them. The issue of transcending the ages-old practice of international war certainly remains relevant today. Indeed, the United Nations is moving forward with plans for a Summit of the Future in late September. Designed to address "global governance," among other issues, the Summit provides yet another opportunity for nations to empower the world organization to maintain international peace through the enforcement of world law. Is that goal realistic? Perhaps so, perhaps not. But how realistic is it to continue the anarchy of nations, which today threatens universal death and destruction? Lawrence S. Wittner (https://www.lawrenceswittner.com/ ) is Professor of History Emeritus at SUNY/Albany and the author of Confronting the Bomb (Stanford University Press). Oh, come on folks, I can't believe we've lost all of our humanitarian impulses-- the very foundation of our democracy. The essence of our nation lies in the belief that "We hold these truths to be self-evident, that all men are created equal, that they are endowed by their Creator with certain unalienable Rights, that among these are Life, Liberty and the pursuit of Happiness." While we've never fully lived up to these ideals, they remain central to what democracy represents. These principles were meant to apply to all of humanity, not just a select few, and that's what democracy offers-- a system of governance that values every individual. Yet here we are, witnessing Netanyahu's destruction of an entire people in Gaza, what much of the world now sees as genocide. Not only are we aware of what's happening, but we are also underwriting it with our support, logistics, and weapons-- actions that would make even Attila the Hun blush. And this is all being done with our money and in our name, making each of us complicit-- a heavy moral burden for any caring person. Meanwhile, protests and even vocal support for the Palestinians, are suppressed, often harshly, or worse, are labeled as anti-Semitic, despite the fact that Palestinians are as Semitic as Jews. This situation reflects a disturbing mindset-- one where we believe our friends can do no wrong and our enemies, by their very nature, are evil and incapable of decency. It's a dangerous deification of our allies and demonization of our adversaries. We must remember that much of the Jewish population was brought in, to what was then Palestine, after WWII, and with terror tactics often supported by the British, they displaced the indigenous Palestinian population, forcing them into enclaves during the Nakba. Gaza and the West Bank, both under Israeli control and occupation, became their confinement. Eventually, the Palestinians developed some means of self-defense, with Hamas being democratically elected in Gaza-- an election initially pushed by the U.S. and Western Europe. But when the results didn't align with their expectations, those same powers rejected them, essentially telling the Palestinians to try again until they produced the "correct" outcome. Hamas, no longer recognized as Gaza's official representative, went underground, becoming the home guard of an oppressed and occupied people, much like the Resistance in occupied Europe during WWII. As always, occupiers see resistance as terrorism and seek to crush it, leaving the oppressed populations vulnerable to further abuse and enforced submission. We must acknowledge the harsh realities of Gaza: completely walled off, with access controlled by Israel. Israel dictates the flow of food, supplies, medical materials, and humanitarian aid, while the people of Gaza are subjected to systematic destruction. The cruel game of shunting them from one area to another, promising safety only to bring death, is barbaric. So, let's examine the justifications for this genocide. The rules of occupation apparently demand that there be no resistance, no matter the level of abuse. Breaking this rule gives the occupiers the right to exterminate-- reminiscent of German tactics in WWII. Then there's the argument of Israel's right to protect its national existence. But what about the Palestinians' right to exist in security? In October, Hamas retaliated against ongoing abuses, killing some Israelis and taking hostages to leverage better treatment for Palestinians. Yet, we are expected to forget decades of Israeli abuses in Gaza and the hundreds of Palestinians languishing in Israeli jails, often held as hostages themselves, tortured, and brutalized. From a U.S. and humanitarian standpoint, are we really to believe that any loss of a Jewish life justifies this kind of barbarity? Where is the balance, the equivalency? Israel, our "favorite son", now represented by Netanyahu, and the Palestinians, painted as our evil enemies-- are we truly expected to accept this as our government's policy without question? At this point, we are being forced to act like an occupied people ourselves, stripped of the right to protest, our voices silenced, while we continue to fund this barbarity with our tax dollars. Is this what we worked so hard for? I think not. The Biden administration prolongs the cruel farce of seeking a negotiated end to the conflict, allowing Netanyahu to stonewall while we encourage his aggression. Israel even went so far as to assassinate the Palestinian negotiator. Meanwhile, the genocide continues apace. We feign horror at the plight of the Gazan population, continuing the pretense that there is nothing we can do to stop the carnage. But the entire world knows there are only two ways this ends: either Netanyahu exterminates as many Gazans as he deems necessary, or we cut off weapons and aid, demand a permanent ceasefire, and open the borders to let people out and humanitarian aid in. We could be heroes. Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). Backs Kathy Dawes We in Latah County need a representative who will defend our libraries, our teachers and our freedom to make our own health care decisions. We need a represenative who believes in fully supporting public education. We need a representive who will work across the aisle for all the people in our community. Kathy Dawes will be such a representative. Please join me this fall in supporting Kathy for Idaho House Seat 6B. Walter Hesford Moscow Back to the middle, Idaho Proposition 1 Open Primaries Initiative is not confusing to understand. Ask any of the 97,000 registered Idaho voters who signed the petition to get open primaries and ranked choice voting for the general election on the ballot. You can vote for one candidate or you can rank your choices from one to four in the general election. Those of us who vote for conservative candidates on some issues and more inclusive candidates on other issues should not have to join a political party to remain issue voters. Those of us who witnessed the Republican Party bosses publically chastise Lori McCann for her votes representing her constituents rather than fealty to the party was a sad demonstration of what the Idaho Republican Party has morphed into. As a lifelong Idaho resident, these are not the political leaders I want running the Legislature of Idaho. I should not be required to join this Republican Party as suggested by Bob Hassoldt in the Lewiston Tribune (Sept. 1) to vote for reasonable conservative candidates. We should all be able to vote for candidates that represent our values not the Idaho Values as defined by the current Idaho Republican Party. The web site Idahoans for Open Primaries has details and explanations. We are smart enough to figure this out. Lets move back to the middle, Idaho. Vote Yes on 1 for Open Primaries. Dee Blair Moscow Change of venue arguments insulting How insulting to the local community to use as a reason for change of venue for the Kohberger trial that violence and destruction would happen. If the defense attorneys present lawful evidence to acquit the defendant, including a credible alibi, potential other suspects or alternative reasons for the killings of the students, of course the jury and community would be convinced according to instructions they are sworn to follow. It sort of seems the defense feels that their evidence is not credible enough to convince an educated college town through a lawfully chosen jury, interviewed and sworn to make decisions based on truthful, well-documented facts that the defendant should be released. The onus is on the defense team to reveal facts that they can defend to a jury no matter where the jury hears them. Violence and courthouse burning would never be acceptable with or without facts the defense will present. Even if their case was presented credibly in a different venue leading to an acquittal that disappoints the community in Moscow, whatever the response is (and as a 60-year resident of the Palouse, I dont believe it would be violent destruction of courthouse or lives), why would we not expect the same response here to the verdict to convict or acquit, no matter where it is reached? If an appeal is demanded, that will be far more credible in the venue where the trial takes place. I dont believe the defense team knows any of the venues they suggest well enough to guess at the response to evidence that is truly lawfully presented. The expense, logistics of changing venues, and and unproven assumptions of response to a legally defensible verdict, convince me we should continue the proceedings where both prosecution and defense have been building their case. Kathy Warren Moscow Back to League event next week Salem police shot and wounded a man suspected of trying to kidnap a woman Friday, Oregon State Police said. Daniel Lewis Garcia, 34, was being treated at Salem Health, state police said. The shooting occurred around 4:30 a.m. when Salem officers responded to a call from a woman who said she was being kidnapped at a Northwest Salem address. They found the suspects car with the woman inside and tried to stop it, and the driver pulled into an apartment complex parking lot, state police said in a statement. The woman got out of the car as police approached it and the man got out of the car, too, holding a knife, state police said. Thats when two of the officers shot him, according to the statement. State police provided the names of three officers at the scene: Nathan Bush, Colten Ruyle and Faith Hovenden. Fedor Zarkhin is a breaking news and enterprise reporter. Do you have a story? Reach him by phone or text at 971-373-2905 or by email at fzarkhin@oregonian. Our journalism needs your support. Subscribe today to OregonLive.com. Staying safe when walking a leashed dog takes diligence and focus.Graham Hughes | The Canadian Press NEW YORK The cuddles. The loyalty. The worshipful eyes. Theres a lot of joy in having a dog, not the least of which is heading out for a brisk walk. And therein lies a peril some dog people should pay more attention to. Over the past 20 years, injuries related to dog walking have been on the rise among adults and children in the U.S., according to Johns Hopkins University researchers. Fractures, sprains and head trauma are among the most common. From 2001 to 2020, the estimated number of adults seen at emergency departments for dog-walking injuries increased significantly, from 7,300 to 32,300 a year, lead researcher Ridge Maxson told The Associated Press. Most patients were women (75%). Adults between ages 40 and 64 amounted to 47%. And thats just emergency room visits. We know that a significant number of people might seek treatment at primary care, specialty or urgent care clinics for their injuries, Maxson said. Dog ownership has become increasingly common, he noted, with about half of U.S. households having at least one dog. The pandemic contributed to the spike. How to protect yourself Staying safe when walking a leashed dog takes diligence, focus and, in inclement weather, extra precautions. Multitasking can be hazardous. Put your phone away. You cant really afford to relax when you walk a powerfully built dog with the torque of a small tractor. You have to pay attention, said Noel Holston, a dog owner in Athens, Georgia. In the early 2000s, Holston was walking his 65-pound pit bull in a park near home when a goose flapped and squawked. The dog bolted down an embankment, jerking the now 76-year-old Holston off the sidewalk. Off balance and struggling to keep my footing, I stepped into a hole and heard my left ankle snap. The pain was so intense. I almost passed out. My wife, Marty, had to hail a jogger to help get me back to our car. My left foot was dangling like a big wet noodle, he said. Susannah Johnston, 64, is a yoga instructor who runs a 40,000-member Facebook group for women aimed at improving balance, strength and a bodys ability to absorb impact. Shes been injured three times while dog walking over the years. Susannah Johnston, of Croton-on-Hudson, N.Y., walks her dog Ellie on Sept. 8, 2024 in Norfolk, Conn. Vincent Cohan About five years ago, her 50-pound lab mix went after a squirrel while Johnston was kneeling to tuck a sweatshirt into her backpack, the leash wrapped around one hand. She fractured a finger. That was the worst because it was twisted and pulled and I had to have surgery and rehab and everything else, said Johnston, who lives in New Yorks Croton-on-Hudson. Running with a leashed dog is another hazard no matter how well trained you think a dog is. Its especially dangerous with a dog thats easily spooked, very young or prone to the zoomies. Thats what happened to Robert Godosky in Manhattan. We used to be in a routine of sort of running the last block home, he said. There was a section of sidewalk that had scaffolding up. My dog is a rescue dog and was relatively new to us. He got spooked and got in front of me, and I went flying over the dog and smacked into the scaffolding. I ended up breaking two ribs. There are other hazards in rural areas, said Steven Haywood, an ER doctor in Corinth, Mississippi. Getting struck by vehicles, he said. Thats definitely the most life-threatening injury when people are walking their dogs. Areas like his have many roads without sidewalks or wide shoulders. Thats especially hazardous when people wear dark clothes with no reflectors or lights on human or animal. Its something we see on a regular basis, Haywood said. The right shoes, leashes and more In addition to lights and reflectors, there is other gear that can minimize dog-walking risks: Wear appropriate footwear with decent treads in snow and ice. Consider wearing footwear with spikes or studs. Maxson suggests using a non-retractable leash of 6 to 8 feet. Longer leashes are more likely to get tangled around your legs and cause falls. Retractable leashes can sometimes make your dog more difficult to control. In San Francisco, dog trainer Shoshi Parks recommends a no-pull harness with a leash clipped to a dogs chest rather than their back, she said. It gives the walker more control and puts less strain on the dog. Parks suggests holding a leash at your center of gravity, near your torso, hip or thigh. Slip your hand through the loop of a leash and grab it a little lower down to hold on. She calls retractable leashes a no go. Period. They can cause burns when held too close to the body if they lengthen or retract quickly. Dog walkers and dog trainers For people with mobility or balance issues, experts suggest seeking help walking a dog, especially in inclement weather. A neighbor, an older child or a professional dog walker, for instance. Johnston, Haywood and Maxson agreed that balance and strength-training exercises, especially for older adults, can help decrease the risk of falls and fractures. And they said working with a dog trainer helps not only the dog but the walker, who can learn to read their pets body language better. Even young, healthy, strong people may have difficulty controlling larger breeds that arent used to walking on a leash. Any exercise to give strength, give balance, is going to help, Haywood said. Make sure you can control the dog that youre walking. LEANNE ITALIE, AP Lifestyles Writer Dr. Maxine Dexter plans to carry the stories of her patients with her to Congress. There was the patient last Tuesday who couldnt afford his inhaler anymore, so Dexter, a longtime pulmonologist at Kaiser Permanente, had to switch him to an inferior medication. If you are heading to Portlands Polish Festival today, be prepared for the possibility of showers. Theres a 20% chance of showers before 9 a.m. and a 50% chance after 2 p.m., according to the National Weather Service. The day is starting off cloudy but will gradually become mostly sunny with a high around 72 degrees. Sunday will bring another slight chance of showers. The skies will be mostly sunny with a high around 69. Monday is expected to be sunny with a high of about 74 before showers move in Tuesday. The Oregonian/OregonLive BIRMINGHAM, Ala. An Alabama university was ordered to pay millions to an Iranian-born cancer researcher in a discrimination lawsuit that said she was was repeatedly called a racial epithet by a colleague, who at one point brandished a gun at her. A federal jury on Monday decided the University of Alabama at Birmingham should pay Fariba Moeinpour, a naturalized citizen from Iran, $3 million and ordered the colleague to pay her nearly $1 million in compensatory and punitive damages. Moeinpour said that the harassment began almost immediately after she started working in a cancer research lab at the university in 2011. The lawsuit said employee Mary Jo Cagle was the primary perpetrator of the harassment. The lawsuit also named the University of Alabama at Birmingham and the director of employee relations in the human resources department as defendants, alleging that the school ignored repeated reports of harassment. I believe that a person cannot be American if they dont value human being regardless of race and nationality, Moeinpour told The Associated Press. But she said that she felt the university and Mary Jo Cagle did not value that throughout her employment. The lawsuit depicted consistent harassment for the nine years that Moeinpour was employed with the university before she was terminated in 2020. Witness accounts and audio recordings that corroborated Moeinpours account were presented to the jury throughout the four-year trial. On one occasion, the lawsuit alleges, Cagle approached Moeinpour and Moeinpours daughter in a university parking lot, brandished a pistol and threateningly called her a racial epithet. At least one audio recording presented to the jury included Cagle calling Moeinpour that same slur on a separate occasion. One witness, a mall security guard, described a similar encounter where Cagle followed Moeinpour and her daughter around the mall and again called them racial epithets. There were numerous similar other encounters between Cagle and Moeinpour described in the lawsuit. Lawyers for Moeinpour provided the jury with documentation of Moeinpours repeated attempts to flag her harassment with human resources over the years. The lawsuit said the harassment culminated in 2020 when Moeinpour told the head of the lab, Clinton Grubbs, that she was going to report Cagle to the department chair. In his office, Grubbs implored Moeinpour not to report Cagle again, according to the suit, and told her that Cagle was dangerous and that he feared for his own life if he were to have her fired. The lawsuit said that Grubbs physically restrained Moeinpour and to get him off of her, Ms. Moeinpour slapped him. Grubbs then called the police, who arrested Moeinpour and detained her overnight, according to Moeinpour and the complaint. Five days later, Moeinpour was terminated. Grubbs and attorneys for Cagle did not respond to emailed requests for comment on Thursday morning. The jury determined that Cagle acted with malice and reckless indifference to Moeinpours federally protected rights on the basis of her nationality. The jury also ruled that the universitys decision to arrest Moeinpour constituted adverse employment action and prevented her from filing a complaint against Cagle with human resources, which is a federally protected activity. A campus spokesperson said the University of Alabama at Birmingham is committed to our values, which include integrity, respect and collaboration, and work to cultivate an environment where all members of our community feel welcome, safe and supported, but that the school respectfully disagreed with the verdict and is considering next steps. Grubbs was not named as a defendant in the federal lawsuit, but Moeinpour filed separate assault charges against Grubbs in Jefferson County state court in June. Moeinpour also filed a separate civil case against Cagle in state court. Both cases are still pending. Small and Medium Enterprises (SMEs) are, without question, the backbone of Ghanas economy. Representing over 90% of businesses in the country, SMEs contribute roughly 70% to the nations Gross Domestic Product (GDP) and account for about 85% of manufacturing employment. They drive over 80% of the total workforce employed in this sector, particularly in rural and peri-urban areas, where they foster economic inclusion and resilience. However, despite their critical role, SMEs continue to face significant hurdles that hinder their growth and sustainability. Chief among these is access to finance. The International Finance Corporation (IFC) indicates that there is a financing gap of over $331 billion for formal SMEs in sub-Saharan Africa, with Ghanas SMEs contributing a significant share to this figure. The financing gap for SMEs in Ghana is estimated to be over $5 billion, leaving many businesses struggling to access the credit and capital needed to thrive. For women-led businesses, the situation is even more dire. Despite the fact that women-owned businesses represent about 44% of all SMEs in Ghana, they face a staggering financing gap. Research shows that women entrepreneurs in Ghana are 20% less likely to obtain formal financing than their male counterparts. In sub-Saharan Africa as a whole, women-led SMEs face an estimated $42 billion financing deficit. This financing gap has significant implications for economic development. Women entrepreneurs, for example, tend to reinvest up to 90% of their earnings in their families and communities, compared to 40% for men. This means that when women-led businesses are underfunded, the broader social and economic benefits of their success are also constrained. This not only stifles the potential for economic growth but also hinders progress toward greater social equity and improved livelihoods across Ghana. As a leading financial institution in Ghana, Stanbic Bank recognizes the urgency of addressing these challenges. Our mission to "drive Africas growth" is not just a commitment but a call to action. We understand that the growth of our continent is inextricably linked to the success of its SMEs. To this end, we are dedicated to bridging the financing gap that constrains SMEs, especially those led by women. To bridge this gap, it is imperative to explore and implement innovative financing solutions tailored to the unique needs of SMEs. Traditional banking models often fall short when it comes to serving SMEs, primarily due to the perceived risks associated with these enterprises. However, new financial products, such as invoice discounting, supply chain financing, and fintech-driven lending platforms, are emerging as viable alternatives to traditional bank loans. These products allow SMEs to leverage their receivables or digital payment histories to access much-needed capital. In addition, blended finance, which combines public and private sector funding, can play a pivotal role in de-risking SME investments and making them more attractive to investors. Development finance institutions (DFIs) and impact investors are increasingly looking at ways to support SMEs through blended finance models, providing a mix of grants, concessional loans, and equity investments. At Stanbic Bank, we are particularly focused on supporting women-led SMEs. We believe that empowering women entrepreneurs is crucial not only for gender equality but also for the overall economic health of the country. Our programs are designed to provide women entrepreneurs with not just access to finance, but also with the training, mentorship, and networks they need to succeed. Through partnerships with international organizations, we have launched several initiatives aimed at closing the gender financing gap. These initiatives include tailored loan products for women, capacity-building workshops, and financial literacy programs. By providing women entrepreneurs with the tools and resources they need to succeed, we are helping to unlock the full potential of Ghanas economy. Our efforts are also aligned with the United Nations Sustainable Development Goals (SDGs), particularly Goal 5 on gender equality and Goal 8 on decent work and economic growth. By empowering SMEs, and particularly women-led enterprises, we are not only fostering economic growth but also promoting social equity and improving livelihoods across the country. Bridging the finance gap for SMEs is not just the responsibility of financial institutions like Stanbic Bank; it requires a concerted effort from all stakeholders, including government, private sector, and international partners. We must work together to create an enabling environment for SMEs to thrive, by improving access to finance, enhancing financial literacy, and providing the necessary infrastructure and support systems. As we look to the future, it is clear that the success of Ghanas economy will depend on the success of its SMEs. By closing the financing gap, particularly for women-led businesses, we can unlock new opportunities for growth, job creation, and social development. At Stanbic Bank, we are committed to playing our part in this journey, and we invite others to join us in driving Africas growth. Source: Farihan Alhassan, Head, Business and Commercial Banking, Stanbic Bank Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Dettol Cool Soap, a leading brand in the personal care industry, has been honored with the prestigious Emerging Brand of the Year award at the 2024 Chartered Institute of Marketing, Ghana (CIMG) Awards. The recently launched soap, featuring a 5C cooling sensation designed to keep Ghanaians cool and fresh, has quickly won the hearts of many Ghanaians. This award from CIMG highlights Dettol Cool Soap's strong market presence and its commitment to promoting hygiene and health across Ghana. The CIMG Awards, a hallmark of excellence in marketing and brand development, recognizes brands that have made significant strides in their respective industries. Dettol Cool Soaps recognition as the Emerging Brand of the Year is an affirmation to its innovative approach, consumer-focused strategies, and relentless pursuit of quality. Commenting on the award, Ali Tariq, Country Manager, Ghana at Reckitt, the manufacturers of Dettol range of products said, We introduced Dettol Cool Soap to offer Ghanaians a product that not only keeps them protected against odor causing germs but also helps them stay cool and fresh, no matter the weather. This recognition by CIMG is a significant milestone for us. It reflects our dedication to understanding the needs of our consumers and delivering a product that not only meets but exceeds their expectations. We are committed to continuing our journey of innovation, ensuring that Dettol Cool Soap remains a trusted brand in every Ghanaian home." The award highlights Dettols broader mission to promote public health through high-quality hygiene products. Dettol Cool Soaps success is built on the brands global legacy of trust, with a specific focus on meeting the local needs of the Ghanaian market. This achievement is not just a win for Dettol Cool Soap, but for every Ghanaian who has made the brand a part of their daily routine. Dettol Cool Soap, launched to address the rising demand for freshness combined with germ protection, has quickly gained popularity in Ghana. The product highlights Dettol's ongoing commitment to protecting Ghanaian families and communities from germs and infections The CIMG Awards ceremony, held over the weekend, brought together industry leaders, marketers, and brand strategists to celebrate excellence in marketing across various sectors in Ghana. Available at leading retail shops nationwide, Dettol Cool Soap invites Ghanaians to experience unparalleled freshness and cleanliness. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Over 600 universities introduce cybersecurity-related majors: report 15:05, September 14, 2024 By Zhang Wanshi ( Global Times China unveiled on Wednesday a report on the development of talent in the cybersecurity industry for 2024, highlighting the strong demand for cybersecurity professionals, as younger individuals are increasingly becoming the backbone of the industry, with over 600 universities in China offering related majors. Against the backdrop of a shortage of cybersecurity talent, the report, entitled Cybersecurity Industry Talent Development Report 2024, pointed out that small and medium-sized companies are generally entering the digital transformation stage, with their cybersecurity operations in a phase of expansion, according to China Central Television (CCTV). As industries continue to digitalize and rely more on the internet, cybersecurity risks for both companies and individuals are on the rise. This situation has led to the intrinsic demand for cybersecurity, Wang Sixin, a professor of law at the Communication University of China, told the Global Times on Thursday. The report reveals that China has accelerated the development of cybersecurity talent. As of June, a total of 626 universities in China have established majors related to cybersecurity, reflecting that cybersecurity education is gradually occupying an important position within China's higher education system. Undergraduate students majoring in cyberspace security at the University of Electronic Science and Technology of China mainly study courses including cryptography, and computer operating systems. Their career paths include the cyberspace security industry, internet industry, computer industry, financial sector, as well as IT departments within government agencies, research institutes, and the defense industry, according to the university website. A student from the School of Cyber Science and Technology at Beihang University told the Global Times that their curriculum covers web security, privacy, and computer networks and security, with a particular emphasis on cryptography. According to the talent development report, comparative data also found that the proportion of cybersecurity professionals under the age of 30 is gradually increasing, becoming the backbone of the industry. The trend indicates that young talent is now becoming the driving force in cybersecurity, said Duan Pingxia, executive director of the China Cybersecurity Industry Alliance, as reported by CCTV. This influx of youthful professionals is bringing significant momentum to the development of cybersecurity expertise. The report also shows that cybersecurity positions account for the highest proportion of job postings. Compared to previous years, the recruitment of data security talent shows the characteristics of "many positions with high requirements." (Web editor: Tian Yi, Xian Jiangnan) To the people of Ghana, this flyer is a big achievement of the NPP and we thank Samuel Okudzeto Ablakwa who instead of thinking he is exposing the government, have made me appreciate how he, his Manifestoless Party and the media house lack knowledge on matters of mining and its ripple effects. Small-Scale Mining is backed by law as outlined in sections 82-99 of the Minerals and Mining Act 2006, Act 703, replacing repeal Small-Scale Gold Mining Law, 1989, PNDCL 218. #POV 1. The figures down here is technically inaccurate in terms of definite numbers... 2. Even when adjusted to the appropriate figures, it still very true that, the current scale factor 1503/56 = 27, will and may still hold or even more. 3. What this flyer means is that, under the mills/mahama administration, it was difficult to acquire a license to undertake small-scale mining, hence, themed in Ghanas mining literature as the period which saw the huge influx of Chinese and other foreign nationals into our mining space and commercialized illegal mining. As a matter of fact, it was under the NDC that, the High Level Mechanization of Illegal Mining begun... 4. A system where permitting becomes tedious creates the foundation of illegal mining and now clear that, the small-scale mining sector was highly unregulated under the mills-mahama era per Okudzeto's figures which I am hypothetically assuming it is even right; 5. As a Student of Engineering and Mathematics and knowing how to analyze figures, the scale factor of 27 above means that, the NPP have Significantly improved upon the licensing procedures by 27 times.. Significantly open up the legal business of small-scale 27 times Leverage on the production of small-scale by 27 times, to feed lot of downstream industries Initiated the Community Mining Schemes which is impacting and changing lives and taking people away from illegalities. Developed a Minerals Cadastral Administration System (MCAS) Taken steps to protect the environment 6. From 1993 when Minerals Commission was established by Act 450, 1993 to 2017, when NPP assumed office, there were a total of 12 district and regional minerals Commission office across the Country, Today, Nana Addo has added over 20 new districts with the intention to depeen licensing of small-scale mining comcessions and inspection activities towards sustainable mining... This is leadership.. Theory, Practical and Natural Resources Governance Experts will tell you that, ease in granting licenses for Artisanal Small-Scale Mining is one major step towards the regularization of the Sector. Concerns of Environmental Footprints cannot be equated to, and not be blamed on a particular government, grating miners legal means to contribute their quota towards the socio-economic development of Ghana. Yes, since NPP assumed office in 2017, Small-Scale Mining has been a great anchor of the economy contributing an average of 40% of total gold produced in-country. But for the Small-Scale Mining Sector, Ghana's economy would have collapsed, 5 million Ghanaians would have been marginalized. It is a disgrace to Okudzeto Ablakwa with no knowledge in Mining to equate a licensing regime to a Mere Propaganda Tool. However, we thank him, he has shown that, NPP has regularized the Space very well. NPP COMMUNICATORS MUST BE UP AND PROPAGATE THIS. NB:Pardon Typos *Ing. Wisdom Edem Gomashie* Mining Engineer & Special Assistant to Hon. Duker Source: #Ing. Wisdom Gomashie writes, Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video A leading Ghanaian fashion, cosmetology and catering institute, Abrantie College, and the Accra Technical University (ATU) have signed a Memorandum of Understanding (MoU), forming a strategic partnership to train and equip artisans of Abrantie College with expert knowledge on the use of modern technologies in the fields of fashion and cosmetology. The Strategic partnership between the two premier learning institutions aims to foster collaboration in academic, advanced training and capacity-building initiatives. Together, ATU and Abrantie College are to drive innovation, enhance quality education, and empower the next generation of leaders in fashion design, cosmetology and catering with knowledge about the use of modern technologies in their respective fields. The MoU was signed in Accra on Thursday, September 12, 2024, ushering in a new era of teaching and learning at Abrantie College. Mr. King David Thompson, Director of Abrantie College, and Mr. Brian Agyei Obempong, Marketing Manager of Abrantie College, signed the MoU on behalf of their institution, while the Acting Vice-Chancellor of Accra Technical University, Prof. Amevi Acakpovi, and the Registrar of ATU, Dr. (Mrs.) Sylvia B. Oppong-Mensah signed on behalf of the outfit. Under the partnership, students of Abrantie College who pass their Certificate 1 and Certificate 2 will have the opportunity to further their studies at ATU where Abrantie College is currently helping to set up a new cosmetology department. The fashion industry is one of the fastest-growing sectors in the world, with modern technologies like 3D printing, computer-aided design (CAD), and laser cutting revolutionizing how clothes and accessories are made. These technologies allow for precision, efficiency, and creativity that are often difficult to achieve with manual methods. For African artisans, the adoption of these technologies could offer a significant advantage, allowing them to enhance their craftsmanship and compete on a global scale. The integration of modern technologies into the cosmetics and beauty industry has gained significant attention around the world. Recent innovations in artificial intelligence (AI), augmented reality (AR) and the internet of things have all contributed to a noticeable surge in the industry, with some of the most recent technology trends such as: Virtual try-ons using AI/VR tools, AI-powered skin analysis and lip care, Wearable beauty devices, 3D-printed makeup, and Automatic hair analysis, all influencing the way things are now done in the cosmetology industry globally. Prof. Acakpovi speaking at the brief signing ceremony, says "as the fashion industry is growing, there is the need to train people on the modern equipment and technology that have come to stay within the industry." He added that "Accra Technical University, we are at the forefront of technology, and we have experienced lecturers capable of delivering with this modern equipment. So our marriage with Abrantie College today is to partner together and handle the modern equipment they are likely to acquire in this area, to train artisans and give them these new skills that are required to strive in the world of work. On his part, Mr. Thompson lauded the partnership between Abrantie College and Accra Technical University, describing it as a "giant step" in the right direction. He promised that Abrantie College would do its best to move the fashion and cosmetology industry in Ghana to the next level. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video South Africa's President Cyril Ramaphosa has tried to head off a major row within his uneasy governing coalition by delaying the implementation of the most controversial part of a new education law. A row over language policy had threatened the stability of the 10-party government created after the African National Congress (ANC) lost its parliamentary majority for the first time in May's election. Before signing the new measures into law at a public ceremony, Mr Ramaphosa said there would now be a three-month consultation period. John Steenhuisen, the leader of the coalition's second largest party, the Democratic Alliance (DA), had previously said that if the signing went ahead, the party would have to consider all of our options on the way forward. Prior to the election the ANC and the DA had been at loggerheads over the Basic Education Laws Amendment (Bela) Bill. The president says he now wants the parties in government to find ways for "different views [to] be accommodated". What is in the Bela law? The disputed law, passed by the previous ANC-dominated parliament, proposes controversial and significant reforms to existing education law. The major changes include: School admissions and the language of instruction will be regulated by government Homeschooling will be regulated Parents who fail to ensure their child is in school may face jail Grade R, for four and five-year-olds, will be the new compulsory school starting level a year earlier than currently The abolition of corporal punishment will be backed by fines and possibly jail time for those who administer it The ANC says the changes are necessary in order to transform the education system and address continued inequalities. Source: BBC Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Presidential candidate of the opposition National Democratic Congress (NDC), John Dramani Mahama, has stated that a new NDC government will take up the District Road Improvement Programme (DRIP) initiated by the New Patriotic Party (NPP) government and do it properly than what is being done presently. The former president indicated that the government has failed to execute the initiative properly. According to him, the DRIP initiative is good but not well thought through. The government launched the initiative as part of efforts aimed at improving road infrastructure in various districts across Ghana, but Mr. Mahama says it lacks proper planning and implementation. He said this while speaking at a media engagement in Sunyani, Bono region, on Wednesday, 11th September, 2024. He also spoke about the quality of roads in the region, pointing out that some roads were poorly constructed or left incomplete. You just dont go and bring that number of road equipment; you havent employed operators, so many of the districts do not have grader operators or roller operators to operate the machines, so the machines are still standing there. Aside from that, they didnt make a budget for fuel, he said. "It is a good idea but not well thought through. They hurriedly brought in the equipment for political gimmicks without doing the necessary organisation, so when we come we will take the concept, but we will advertise and recruit the operators, we will train them on how to maintain it, and we will make sure that the district assemblies have the budget to run those equipment. ...We will identify the roads; every district will have to identify the emergency roads to be worked on, and the government will budget for it so that we can give them the money to go out and work on those roads, he emphasised. Source: rainbow radio Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Presidential candidate of the National Democratic Congress (NDC), John Dramani Mahama, says that some cocoa farmers have sold their farmlands to illegal miners because the government is not giving them a fair price. He asserted that cocoa farmers have not seen any major improvement in their lives since this government took over. He said for the first four years of this NPP government, they refused to increase the price of cocoa for the farmers. Several farmers who feel cheated have sold their farms to illegal miners as a means of survival, he noted. Because the cocoa farmers are not making profits and their efforts seem to go down the drain, the majority of the farmers have sold their farms to galamseyers. Why should this happen? The farmers had hopes that every year they would make good returns on their cocoa; however, for the first four years of the Nana Addo-led administration, he failed to increase the price of cocoa." The law also requires that when cocoa is sold on the international market, 70 percent of what is sold is what should be given to the farmers. If the farmers were being paid 70% of the international market price, no cocoa farmer would sell a cocoa farm to galamseyers, he said. Meanwhile, he has promised to shake up the management of the Ghana Cocoa Board (COCOBOD) if elected president. The former President expressed concern that the cocoa sector was plagued by challenges, with the government lacking a clear understanding of how to manage it. Mr. Mahama made the remarks during his engagement with the cocoa farmers in the Bono region as part of his campaign tour. I will cause a massive shakeup at COCOBOD. Those who have supervised the mess in the cocoa sector dont have to continue managing the affairs of the sector. All these individuals have to be removed to pave the way for more competent people to take over. Source: rainbow radio Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Youth Employment Agency (YEA) has signed a cooperation agreement with German Development Agency (GIZ) to launch the "Make Fashion Inclusive" program. This initiative aims to empower people with Disabilities (PWDs) by providing them with skills training and economic opportunities in the fashion industry. According to the YEA CEO, Mr. Kofi Baah Agyepong, the agency has already made significant strides in supporting PWDs including the creation of disability desks in all 266 YEA offices across the country and the recruitment of 282 PWDs as district disability officers. The "Make Fashion Inclusive" program will provide training and resources to 50 beneficiaries who will receive a guaranteed market for their products and a shop to facilitate sales. Existing fashion entrepreneurs and start-ups will also receive support with skills training, business development and financial literacy. This partnership demonstrates YEA's commitment to creating decent jobs and promoting inclusivity for all Ghanaian youth, particularly those with disabilities. With the support of GIZ and other collaborators, YEA is poised to make a significant impact in the lives of PWDs and contribute to a more inclusive and sustainable economy. Empowering People With Disabilities Through Fashion The "Make Fashion Inclusive" program is a testament to the power of collaboration and innovation in addressing social and economic challenges. By providing PWDs with skills training and economic opportunities, YEA and GIZ are helping to break down barriers and promote inclusivity in the fashion industry. With the distribution of modern electric sewing machines and the establishment of a Skills and Fashion Hub, beneficiaries will have the tools and resources they need to succeed. This program is not only empowering PWDs but also contributing to the growth and development of the fashion industry in Ghana. A New Dawn for Youth Employment The partnership between YEA and GIZ marks a new dawn for youth employment in Ghana. By working together, they are creating decent jobs and promoting inclusivity for all Ghanaian youth. As YEA CEO, Mr. Kofi Baah Agyepong noted; "This is a new dawn and a further growth in the cap of the agency's mission to create decent jobs." With initiatives like the "Make Fashion Inclusive" program, YEA is solidifying its position as a leader in youth employment and empowerment. On his part, Mr Wilhelm Hugo, Head of Programme for the German Development Corporation's Special Initiative "Decent Work for a Just Transition", announced a groundbreaking partnership with the Youth Employment Agency (YEA) and other local stakeholders to launch the "Make Fashion Inclusive" project in Ghana. The initiative aims to promote inclusive economic development and sustainable employability in the fashion and textile sector with focus on innovation and job creation for all citizens. Hugo emphasized the importance of collaboration and inclusive economic development, stating "we want to work together...for inclusive economic development...We stand by ability...We want to create jobs for all persons, for all citizens". The two-year project will kick off with a pilot training program with plans to expand to other sectors and participants in the future. Hugo expressed enthusiasm over the project's innovative approach, saying "the project is very innovative...We want to kick off this innovative development in the sector...for a bigger impact". This partnership demonstrates the German Development Corporation's commitment to supporting sustainable economic growth and inclusive development in Ghana with focus on empowering marginalized communities and promoting decent work for all. Source: Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Ghanaian health practitioner Dr. Esther Yesutor has made a shocking revelation about the lack of legal protection for men who are sexually assaulted. According to her, men who are raped or are subjected to sexual assault do not have any legal backing and thus cannot seek justice. She further stated that under the law in Ghana, when a lady has sex with a man without his approval, the law considers the act as sexual assault but not rape. The unfortunate thing is in our parts of the world, especially in Ghana. I think in our first episode, when we were talking about general sexual health issues, we mentioned rape. I left the episode, and one of my legal friends called me and said actually men in Ghana cannot be raped. So, if youre a man in Ghana and somebody has sex with you without your consent, it is still not regarded as rape, she said. Speaking on a health programme on GBC, she disclosed that a sexual assault is considered rape when the deed is performed by a man, adding that the law recognizes rape as a crime committed by a man. She disclosed how men are sexually abused every day, but without any legal protection, they are unable to seek the needed justice. Dr. Yesutor therefore called for the amendment and review of the law to provide abused men with legal recourse. They said rape can only be perpetrated by people who have a penis. That is what the lawyers are telling me. They just believe it is sexual assault, so you cant term it rape. You may not get the justice you actually need just because you are a man and you have a penis. I think the lawyers need to look at it again because a lot of men are being taken advantage of as well; its not just about us the women, she added.
Source: ghanaweb.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video NPP parliamentary candidate for Klottey Korley constituency in the Greater Accra Region, Valentino Nii Noi Nortey has appealed to the electorates to vote massively for him and Dr. Mahamudu Bawumia to continue President Akufo-Addos good works. He said this yesterday at an outdoor event at the Odawna Market in Accra which was part of his ongoing campaign tour. The NDC presidential candidate, John Dramani Mahama has only one term to be President if he wins the election because he had been a President before and so, would not be truly committed to fulfilling his promises, Nii Noi Nortey cautioned the citizenry. He described former President John Mahamas comeback as a risky investment saying with the coming elections, remember that the other person (John Mahama, NDC) has only one more term (4 years) if he wins. He is the same person who told us that 4 years is not enough to run an effective government. So, my question is, what is he going to do with the remaining four years that he is fighting for? He continued; But, if Dr. Bawumia is elected, he can go for two terms and so, this will give us a chance to assess him on the promises he is making today when he comes to seek our votes again for the second term. But the other man (John Mahama) will not return to you if he wins. So, lets really think about that. Valentino Nii Noi Nortey further reminded Ghanaians about their hardships under the Mahama regime. On December 7th, two people will be contesting the elections, our former President and our current Vice President. One ruled and we werent happy with his governance. For instance, today, we would have required at least 3 generators to have this programme because our lights would have been out by now. Also, do you remember those times when your child in Senior High School could be sacked for not paying his or her school fees? But today, Free SHS has stopped that and become a common thing that some people have even forgotten that it was a particular government that thought about this and implemented itPresident Nana Akufo Addos government has done it. He therefore solicited votes from the electorates stating we want you to put in your best because we dont want to win with a small margin but a very big one. At the Odawna Market rally were prominent names from the New Patriotic Party which included the Vice President and NPP flagbearer, Dr. Mahamudu Bawumia, STC Chief Executive Officer and Deputy Chairman of the Bawumia campaign team; Nana Akomea, Member of Parliament for Dome-Kwabenya; Sarah Adwoa Safo, former General Secretary of the NPP; Kwabena Agyepong and former National Treasurer, Kwabena Abankwah Yeboah among others. Source: Josephine Acheampomaa/[email protected] Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The National Democratic Congress (NDC) has reacted to the Electoral Commission of Ghanas response to its demand for a forensic audit into the Provisional Voters Register for the pending December 7, 2024, general elections. The Electoral Commission (EC), during a press briefing in Accra on Thursday, September 12, 2024, while assuring the NDC that their concerns about the voter register would be resolved, said there was no need for a forensic audit of the register. A Deputy Director of the EC, Samuel Tetteh, explained that it has the expertise to handle all the issues raised about the register, just as it has done in the past. He added that the demonstration which has been announced by the NDC and is scheduled for Tuesday, September 17, 2024, was not needed and would only heighten the tension in the country. Reacting to this, the Director of Elections and IT at the NDC, Dr. Edward Omane Boamah, said that his partys position remains unchanged. He indicated that the demonstration would come off, accusing the EC of trying to manipulate the data on the voter register. He said that the commission, at a recent meeting, informed the NDC that the irregularities found in the voter register had been resolved and took the hard drive it (the EC) gave to the party to update the register on it, but to date, the drive has not been returned. The NDC elections director added that the demands of his party, including a forensic audit of the register and it being exhibited for the second time, remain unchanged. After our meeting last week with the EC about the unfit-for-purpose voters register, three (3) INTERCONNECTEDLY DEPENDENT/CONDITIONAL issues stood out: 1. Our non-negotiable demand for an independent forensic audit, 2. Submission of our findings for the forensic audit, and 3. RE-EXHIBITION of the register after the forensic audit. The 4th issue is the UNDENIABLE fact that we (NDC) left our hard drive with the EC, and requested that they provide us with their so-called updated register, Dr. Omane Boamah wrote on Facebook on September 13, 2024. He also indicated that the refusal of the commission for a forensic audit of the register has eroded the trust and cooperation that was seen at the recent meeting. He added that the EC has also admitted to the errors the NDC has pointed out and its updated register, which was showcased at the meeting, still had the errors. Never mind that we started punching holes in their so-called updated register at the meeting when Dr. Yaw Ofori Adjei, one of their IT Consultants, unsuccessfully tried to rely on it to answer a question. The Electoral Commission must understand that on the basis of their refusal to accept our request for a forensic audit and also by refusing to provide us with their so-called updated register, they have eliminated the trust and the spirit of cooperation that characterised last Friday's meeting. The immutable truth is that the EC has always admitted to the errors we (NDC) point out! Including their infamous CORELDRAW arithmetic errors admission. Never have they been able to prove our #EAGLEEYES wrong! Read his post below: Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video His Spiritual Holiness Sheikh Alhaji Abdulai Maikano Jalloo (Khalifatul-Akbar), the Spiritual Leader and President of the Tijania Muslim Council, has expressed his heartfelt gratitude to the Akufo-Addo/Bawumia government for spearheading all the developmental projects within Prang which is the spiritual home of the Tajania Muslim Council and its environs. He described the NPP as a development-conscious party, hence deserves the full support of all Ghanaians. Khalifatul-Akbar made these remarks on Friday, September 13, 2024, when Dr. Matthew Opoku Prempeh, NPP Vice Presidential Candidate, paid a courtesy call on him in Prang, the capital of Pru West constituency of the Bono East Region, as part of his constituency campaign tours. According to the Spiritual Leader, the real essence of politics is to bring about development and make life easy for people. Unfortunately, despite the spiritual significance of Prang and its socioeconomic potentials, the town was virtually neglected by all previous governments until the reign of President Akufo-Addo and Bawumia". He stressed; All the developments you see in Prang were championed by the NPP, most of which were done through the current MP and immediate past DCE for this area, Hon. Stephen Jalulah. Prang is forever grateful to the NPP and will always support you. He also eulogized the NPP presidential candidate, Alhaji Dr. Mahamudu Bawumia, describing him as not only their son but a source of pride for the Muslim community (Ummah) and Ghanaians at large. The spiritual leader said prayers for Dr. Mahamudu Bawumia and Dr. Matthew Opoku Prempeh and blessed them. He made prophetic declarations that they emerge victors in the general elections. On his part, Dr. Matthew Opoku Prempeh thanked Khalifa for his continuous prayers and support for the NPP. He highlighted the historical role Muslims played in the formation of the UP tradition which undergirds the NPP, particularly through the Muslim Association Party which is one of the parties that came together to form the UP, now known as the New Patriotic Party. He assured the Muslim community and all Ghanaians that the next NPP government under H.E Alhaji Mahamudu Bawumia will deepen religious tolerance and harmony in the country. Source: Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Students and staff safely returned to school two days after someone emailed an administrator Eufaula City Schools on Wednesday and threatened violence to Eufaula High. The juvenile suspect who was accused of making the threat was located on Thursday and brought in for questioning, along with one other suspect, authorities said. No further details about the suspects or any possible charges were immediately released. "We appreciate everyones patience and understanding during this time and apologize for any inconvenience it may have caused. A special thanks to the Eufaula Police Department for their continued support of our schools," police said in a news release. Law enforcement and the school district worked together to protect students after the administrator received the threat Wednesday evening. ECS cancelled school and activities on Thursday to ensure everyone remained safe. The safety of the students is paramount, Eufaula Police Chief Danny Christ said on Wednesday. ECS Superintendent Joey Brannan said the school district was in constant communication with the police department during the incident. Eufaula police said its Criminal Investigations Division will continue to investigate the incident. Anyone with information can call the Criminal Investigations Division at 334-687-1200 or the anonymous tip line at 334-687-7100. He advised music stakeholders to create special platforms to celebrate legendary highlife artistes and preserve the Ghanaian highlife music. Every country has its own music, so why do we have to leave highlife and chase other genres which do not promote our culture. It is quite sad that we are supporting the promotion of other genres, which is a total deviation, he said. He claims that highlife music is a beautiful genre that, when pushed, will earn international recognition to support the music business in the country. The former president of MUSIGA from 1999 to 2007, stated in an interview with BEATWAVES at the Erata Hotel in Accra that one of the reasons highlifes appeal is dwindling is the lack of a concerted effort by Ghanaian music industry leaders to promote the genre. I think this is the time we have to work as a team to bring back highlife music and inspire the next generation of musicians to perform more highlife, he stressed. According to him, highlife music could be used as a developmental tool to transform the mindset of people due to its good lyrics, even though he thinks highlife music is uniquely Ghanaian and better captures the spirit of the country than other genres. Alhaji Sidiku, who is also former Vice President of the International Federation of Musicians (FIM), said it was important for the youth and up-and-coming artistes to follow the good steps of veteran musicians to project highlife globally. The veteran filmmaker, musician, sportsman and businessman has won over 62 awards in sports, music and film. He has over 16 films which include Jealousy, Meba, Who Is To Blame, Man Woman, among others to his credit. A former national athlete, he won silver and gold medals during the All African Games held in Senegal and West African Games, all in 1963. In 1965, he won bronze medal in the 4400 metres relay in All African Games held in Congo Brazzaville. Source: dailyguidenetwork.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video A 38-year-old man was shot and killed in Harrisburg on Friday, authorities confirmed with PennLive. Thomas Davenport, of Harrisburg, was shot at his home on the first block of North 14th Street just before 5 p.m., according to District Attorney Fran Chardo. Police said they arrived at the scene and found Davenports body surrounded by family members. The shooting prompted an hours-long search for the shooter inside 58 North 14th St., the duplex where Davenport was found, as well as at the next-door home. The shooter remained on the loose Saturday. I dont know what happened. It was my son. He got killed, a woman named Mary told PennLive when reached Saturday. Thats all I know. Other than that, I dont know. Only thing I know is my son is gone. She said her son lived in the duplex with his grandmother, but declined to provide additional details or further identify herself. Its unclear how long Davenport had been living there. The Dauphin County Crisis Response Team (CRT) responded to the shooting Friday night, as well as officers with the Harrisburg Bureau of Police, Pennsylvania Capitol Police and Susquehanna Township Police Department. The victims family members were evacuated from 58 North 14th St. The CRT assisted police with clearing the homes second and third floors and the neighboring house. Neighbors said they were kept out of their homes for about five hours. Officers with the CRT slowly and methodically searched the two homes, but nobody was inside. Officers could be seen going in and out of the two homes until after 9 p.m. Friday. Several marksmen were posted around the home while police confirmed the shooter was not inside. A drone, less-lethal ammunition and smoke were used by the CRT during their search efforts. Harrisburg Police Commissioner Thomas Carter confirmed the situation was not a stand-off and police were taking precautions because they were unsure if the shooter was still in the house. The shooter has not been publicly identified as of Saturday. Davenports homicide was the 17th in Harrisburg in 2024. Jan Murphy contributed to this report. Benji Gregory, the actor who starred as Brian Tanner on the popular 1980s show Alf, was found dead in his car alongside his service dog back in June. Both Gregory, 46, and his service dog, Hans, were dead inside the car when police discovered them on June 13 in a Chase Bank parking lot. Now we know why Gregory died. According to a report from Deadline, the Maricopa County Medical Examiner says the cause of death was heat exposure in the setting of hepatic cirrhosis. The death was ruled accidental, according to the report. Arizona was going through a heat wave at the time of Gregorys death, seeing multiple days with temperatures at more than 100 degrees. Alf was a popular sitcom that aired on NBC from September 22, 1986 until March 24, 1990. Alf stood for Alien Life Form, and focused on an alien which crash landed in the garage of the Tanner family and ends up staying with them. Benji Gregory played the young son, Brian, in that show. His list of credits also included Jumpin Jack Flash, Once Upon a Forest, and Amazing Stories. Rock fans were pretty excited when Janes Addiction announced back in July that its entire original lineup had reunited and was performing together for the first time in 15 years. Then the group produced its first new song in 34 years. And now well, now it looks like the reunion might be short-lived because things went off the rails during the groups show in Boston on Friday. Video of the performance, which can be seen below, shows frontman Perry Farrell approaching guitarist Dave Navarro onstage during a song and engaging him in a physical confrontation. Farrell walks over to Navarro and roughly throws a shoulder into him as he plays. He then stares down Navarro and takes several steps forward into his face and can be seen saying something. Navarro extends his hand to hold Farrell back, and Farrell appears to become more incensed. He then appears to throw a short punch at Navarro as a crew member scrambles on stage to hold him back. Navarro appears stunned by it all. Several more members of the crew scramble onto the stage and restrain Farrell before taken him to the back. As that was occurring, Navarro took off his guitar and appeared to say something to fans in the front row. CANT SEE THE VIDEO ABOVE? CLICK HERE. Variety reported that the incident took place during Ocean Size, which was the 11th song of what the site says is usually a set that goes for 14 or 15 songs. It said some fans said that tension looked to have been brewing for several songs. Janes Addiction has yet to address the incident. The band is slated to play against on Sept. 15 in Bridgeport, Connecticut so fans will find out soon enough if they were able to work things out. The conservancy that oversees a storied but aging ocean liner and its landlord are headed to mediation as they attempt to resolve a years-old rent dispute that could force the historic ship out of its berth on the Delaware River in Philadelphia. A federal judge had ruled in June that the conservancy had until Thursday to present plans to move the SS United States, a 1,000-foot ocean liner that still holds the transatlantic speed record it set more than 70 years ago. That deadline, though, came and went after the conservancy filed a lawsuit Wednesday that accused Penn Warehousing of sabotaging its efforts to sell the vessel. The group also asked U.S. District Judge Anita B. Brody to extend the plan deadline to Dec. 5. During a hearing Friday, Brody agreed with a lawyer for Penn Warehousing who suggested the mediation, which will be led by a federal magistrate judge. She also agreed to suspend the deadline for now. A timetable for the mediation has not yet been determined. The conservancy welcomed the mediation proposal, saying it would continue to work in good faith to resolve this dispute and relocate the vessel safely. The conservancy has been in talks with a Florida county that wants to acquire the ship and turn it into the largest artificial reef in the world. Those plans were put on hold earlier this month when Penn Warehousing asked Okaloosa County for a $3 million payment to stay past the deadline. Speaking at Fridays court hearing, an attorney for Penn Warehousing described the request as negotiation 101, t he Philadelphia Inquirer reported. Craig Mills also said the payment had been made public in past court hearings, had been asked of the conservancy before and should be taken as a starting point for negotiations. The rent dispute stems from an August 2021 decision by Penn Warehousing to double the ships daily dockage to $1,700, an increase the conservancy refused to accept. The firm has said through its attorneys that it wants to regain access to the berth so it can replace the ship with a commercial customer that will provide jobs and tax revenues to the city. When the conservancy continued to pay its previous rate, set in 2011, Penn Warehousing terminated the lease in March 2022. After much legal wrangling, Brody held a bench trial in January but also encouraged the two sides to reach a settlement instead of leaving it up to her. She ultimately ruled that the conservancys failure to pay the new rate did not amount to a contract breach or entitle Penn Warehousing to damages. However, she found that under Pennsylvania contract law, the berthing agreement is terminable at will with reasonable notice. Christened in 1952, the SS United States was once considered a beacon of American engineering, doubling as a military vessel that could carry thousands of troops. On its maiden voyage in 1952, it shattered the transatlantic speed record in both directions, when it reached an average speed of 36 knots, or just over 41 mph (66 kph), The Associated Press reported from aboard the ship. On that voyage, the ship crossed the Atlantic in three days, 10 hours and 40 minutes, besting the RMS Queen Marys time by 10 hours. To this day, the SS United States holds the transatlantic speed record for an ocean liner. It became a reserve ship in 1969 and later bounced to various private owners who hoped to redevelop it but eventually found their plans to be too expensive or poorly timed. It has loomed for years on south Philadelphias Delaware waterfront. SUNBURY The public will be permitted to attend the preliminary hearing for two men charged in the Aug. 15 fatal shooting near the courthouse in Sunbury. President Judge Paige Rosini on Friday denied the motion of District Attorney Michael C. ODonnell to ban the public except for the media. A 1-year-old boy died Friday morning in a fire in a Lebanon City home, Mayor Sherry Capello announced in a press release. The boy, along with three other children and 59-year-old Barbara Miller, were in the home on the 1300 block of Brandywine Street when the fire was reported shortly before 10 a.m. Gov. Josh Shapiro and voting rights groups castigated the Pennsylvania Supreme Court for ruling Friday that counties can throw out mail-in ballots if voters fail to put accurate dates on the envelopes. The high court ruled that the lower court that found the mandate unenforceable erred in taking the case because it only sued two counties Philadelphia and Allegheny and did not include all 67 election boards. Its unfortunate that the PA Supreme Court did not side with the voters of our Commonwealth today, wrote Shapiro in an email statement. It should be clear that voters who make an inconsequential dating error deserve to still have their valid vote counted. My Administration will continue to fight for voter enfranchisement and ensure Pennsylvanians right to a free, secure, and fair election. The Supreme Courts 4-3 decision came two weeks after Commonwealth Court had halted enforcement of the handwritten dates on the outside envelopes envelopes, raising fresh concerns that thousands of ballots could be invalidated. Thousands of voters are at risk of having their ballots rejected in November for making a meaningless mistake, said Mimi McKenzie, legal director of the Public Interest Law Center. Its more important now than ever for every voter to carefully read and follow the instructions for submitting a mail-in ballot. More than 10,000 voters cast mail-in ballots in recent elections with inaccurate or missing dates. Mail-in ballots are favored by many more Democrats than Republicans in Pennsylvania. The Black Political Empowerment Project and other community organizations sued the Department of State earlier ths year to end the disqualification of mail-in ballots based on what it said was a common trivial error on the external envelopes. This procedural ruling is a deeply unfortunate and unnecessary setback for voters, whose fundamental right to vote is at stake, ACLU Voting Rights Project Senior Staff Attorney Ari Savitzky, who represented the plaintiffs. It is time to end once and for all the practice of disenfranchising thousands of eligible voters based on a totally meaningless paperwork mistake. We will not stop fighting to make sure that all votes are counted. ACLU Voting Rights Project Senior Staff Attorney Ari Savitzky made the following statement: This procedural ruling is a deeply unfortunate and unnecessary setback for voters, whose fundamental right to vote is at stake. It is time to end once and for all the practice of disenfranchising thousands of eligible voters based on a totally meaningless paperwork mistake. We will not stop fighting to make sure that all votes are counted. A statewide coalition of ten nonpartisan community organizations sued Pennsylvania Secretary of State Al Schmidt and election officials in Philadelphia and Allegheny County in state court, demanding an end to the disqualification of mail-in ballots for inconsequential date errors on the declaration envelope. The petitioners argued that this practice violates the fundamental right to vote in free and equal elections guaranteed by the Pennsylvania Constitution. Cumberland Valley High School student Anish Koka deserves high praise for publicly writing about his experience with bullying (As I See It, Sept. 10). We often hear about bullying in the abstract, but it is rare that we hear from a victim. Under the threat of being beaten up, Anish was frequently forced to do the bullys homework, often at the expense of completing his own homework. He did the right thing by reporting the bully to the principal, but after listening to the bully depict his actions as just a joke, Anish had to endure the humiliation of apologizing to his oppressor. He would continue to be bullied for another two months until the bully left for another school. The school administrators failed Anish. And they doubtlessly have failed other students who have been victimized by the bullies they have to deal with, in many instances on a daily basis. A posed photo of Donald Trump with Pennsylvania State Police troopers at a 9/11 memorial in Shanksville was used by the Republican presidential candidates campaign this week without the agencys knowledge, a spokesperson said. It marked the second time Trump has posed with Pennsylvania State Troopers as a personal keepsake for them, but then used the photos on his social media campaign platforms. The former president posed with eight uniformed troopers in front of a Flight 93 memorial version of the American flag while visiting Shanksville on Wednesday, the 23rd anniversary of the plane crash. The photo, posted to Trumps Facebook and Instagram campaign accounts, had no caption. Trump and several troopers posed with the former presidents signature thumbs-up gesture. The troopers reposted Trumps campaign post on their police union Facebook account with the words: #GrayNation. The state police have a policy against officers appearing in uniform at non-department events without permission from commanders. Another department policy says troopers: shall not use their position for political influence. Experts say the photo could convey the appearance of an endorsement in the way the photo is being used by Trump. State Police spokesman Myles Snyder said troopers posing with visiting dignitaries is customary for keepsakes when they are working to provide extra security at events. This is common across the country, but the photos typically remain private mementos. Trumps campaign previously posted a photo with officers from Nebraska and Iowa in October in front of his Trump plane. The Democratic nominee for president, Kamala Harris Facebook page did not contain any posed photos with officers. But there was one image with members of the military from July 4, when she visited troops as vice president, and it explicitly said it was not an endorsement. These words were watermarked across the photo: Use of military rank, job titles, and photographs of individuals in uniform does not imply endorsement by any military department or the defense department. Pennsylvania State Police came under scrutiny in 2022 when Trump posted a photo to Truth Social of himself standing in the middle of 31 uniformed troopers, and five men in plainclothes. The group was posed in front of the state police banner for Troop P Wilkes-Barre. Trump made the photo his masthead on his Facebook page. The 2022 photo sparked outrage among people questioning the propriety of uniformed officers posing for a photo posted to a presidential campaigns social media accounts. The plainclothes officers were believed to be working undercover. You should have seen the look on my husbands, a retired member of the PSP, face, one woman posted in 2022. This is not allowed for a reason and all should be publicly reprimanded! This is not ok. If they want to pose out of uniform their business but as representatives of a state agency &LE (law enforcement) this sends the wrong message to the people of the state of PA. read another Twitter post. Trump later removed the 2022 photo, without comment. The Shanksville photo was still on his social media campaign sites as of Friday. In 2022, former Gov. Tom Wolfs office described the photo as a private photo opportunity with the former president. State police said Trump did not ask for the agencys permission to post the photo, either in 2022 or this week. The photograph was not officially sanctioned or disseminated by the Pennsylvania State Police, nor was PSP asked permission to use the photo opportunity for anything other than a private photo with the former president, Snyder said on Friday. The Pennsylvania State Police does not endorse any political candidate or campaign. The Trump campaign did not respond to requests for comment ahead of Fridays publication. Christine Vendel contributed to this report. It was not until nearing my 40s that I truly understood that whether one is employed or an entrepreneur, a university degree is universally respected. People at a graduation ceremony. Illustration photo by Pexels I am puzzled that the debate over the value of university education still persists. Those who deem university unnecessary might encourage their children to exit academic schooling early or to opt for vocational training instead. Personally, as someone born into poverty in the 1980s, my university degree enabled me to purchase an apartment in Ho Chi Minh City within a decade and settle my familys debts. Consequently, I firmly plan to guide my descendants toward obtaining university education. University education primarily molds ones thinking for the professional world, not merely equipping them with job-specific skills. Hence, I believe it is essential for everyone to undergo higher education. It equips an 18-year-old with a robust mindset after four years, readying them for continuous learning throughout their career. Statistical evidence shows a correlation between a countrys development and its rate of university education. Notably, the OECDs 2018 data lists the top ten most educated countries as Canada, Japan, Israel, South Korea, the U.K., the U.S., Australia, Finland, Norway, and Luxembourg. This ranking reflects the proportion of residents aged 25-64 in each country who have attained some level of tertiary education. Thus, education fundamentally underpins any society, and if Vietnam aims to progress, we must prioritize fostering a well-educated populace capable of driving national advancement. Countries that have flourished post-World War II, starting from conditions akin to Vietnams, such as South Korea, China, Singapore, and Japan, have consistently prioritized education in their national policies. Addressing how to make higher education accessible to all citizens is a challenge that requires a concerted effort from both society and the government. Data from the U.S. Bureau of Labor Statistics in 2022 revealed that individuals with a bachelors degree earned 56.6% more than those with an associate degree. Meanwhile, associate degree holders made 14.6% more than those with only a high school diploma, who in turn earned 25% more than unskilled laborers. The General Statistics Office of Vietnam reported that in 2023, the university and college enrollment rate was 28.6%, merely half of the global average, positioning Vietnam among Southeast Asian nations with the lowest rates of higher education enrollment. In 2020, just 11.1% of Vietnams workforce had a university degree, a stark contrast to the leading countries in Southeast Asia. Research indicates that without an increase in these rates, Vietnam will lag in the 4.0 industrial revolution, essential for breaking free from the middle-income trap. Growing up in poverty, my family and I had to borrow money for my education, while 80% - 90% of my peers from my hometown discontinued their studies after middle or high school. After a decade, those investments in education paid dividends. For me, a university degree marked a pivotal turning point that led to better job opportunities, a positive environment, and enriching relationships. Unless financial or academic challenges are prohibitive, completing ones education should be a priority. For young individuals not facing such obstacles, fully pursuing education is invaluable. Knowledge is pivotal for a developing nation like Vietnam to transition into a developed one. We should not trivialize the pursuit of proper education with flimsy excuses. This society thrives on the expertise of the qualified. A review of the educational qualifications of major corporate leaders reveals a commonality: few lack at least a bachelors degree, let alone advanced degrees like doctorates or professorships. The comforts and utilities we enjoy in modern society are the fruits of labor from countless engineers and scientists. Its time we cease underestimating the importance of education and degrees for Vietnams future prosperity. What are your thoughts on my perspective? We're always interested in hearing about news in our community. Let us know what's going on! Go to form Donald Trump melted down in Las Vegas as he alternated between screaming rage and incoherence as Kamala Harris increases her polling lead. Trump claimed that according to one right wing poll he is up by six points: An out of his mind Trump is citing an unreliable right wing poll to claim that he is up six points in the general election. All other polls have him trailing nationally by 4-5 points. pic.twitter.com/9XPBpxKCsC Sarah Reese Jones (@PoliticusSarah) September 14, 2024 Trump later screamed at his fans and ranted about Biden leaving the race: Trump is literally screaming at the audience, and then rants and raves about Joe Biden leaving the race. Someone is clearly not doing well. pic.twitter.com/UsNhW3R3M3 Sarah Reese Jones (@PoliticusSarah) September 14, 2024 Trump claimed that his pollsters are cheating him and making up numbers: This must be what a nervous breakdown looks like as Trump claims his own pollsters are making up numbers and cheating him, I just saved $200,000 with these phony pollsters. They dont even go out intact, they just give you a number. pic.twitter.com/Db7yBVmvsw Sarah Reese Jones (@PoliticusSarah) September 14, 2024 Trump also claimed that the jobs and crime numbers that he promoted when he was president are now all fake: Trump claims that all of the crime and jobs numbers are fake from the same people whose numbers he touted when he was president. pic.twitter.com/cBfllg54Qa Sarah Reese Jones (@PoliticusSarah) September 14, 2024 The nation is witnessing the ex-president trying to change the reality that he is losing the election through lies and fantasies. Instead of accepting the fact that he is losing, Donald Trump has mentally retreated to a place where he is actually winning the election and everything is fine. Trumps frantic behavior and increasing paranoia tell a much different story than the words that were coming out of his mouth. At some level, Donald Trump knows that he is losing this election. Trump knows that he list the debate, and if Trump doesnt go back to the White House, he could end up in jail. Donald Trump is losing. He is running out of time. The latest polls show Kamala Harris leading by 4-5 points. He has not been able to stop her momentum. Trump is cracking up. The last time we saw Donald Trump this desperate, he incited an insurrection and tried to overthrow the government. Trump is losing and it is causing him to melt down. To comment on this story, join us on Reddit. A Japanese man was arrested for making over 100 silent phone calls a day to his wife using a hidden number feature, claiming he did it "out of love." Police in Hyogo Prefecture last week arrested the 38-year-old man from Amagasaki City on charges of "stalking," a criminal offense in Japan that is punishable by imprisonment and fines. The man was found to have made repeated silent phone calls to his wife, who lives with him. The suspect reportedly made these calls to his wife's smartphone from his own, between July 10 and Aug. 4, as reported by Kobe Shimbun. According to the police, he made approximately 100 calls a day, all from an anonymous number. The calls only occurred when the man was not in bed or when his wife was not using his phone to play games, which led her to become suspicious. On July 24, she consulted the police. The 31-year-old woman told authorities that each time, the caller remained completely silent until she ended the call. The calls came from a number that could not be displayed on her phone, making it impossible to block it. During the investigation, it was discovered that the husband had been making the anonymous calls. There had been no confirmed troubles between the couple before this incident, according to Japan Today. When questioned by investigators, the man stated, "I love my wife and made silent calls to her." Charlestons largest lodging just wrapped up the first phase of its $150 million renovation that added new amenities and a fresh modern look to its event and ballroom spaces. The Charleston Place has big plans to enhance every space within the next two years. More than 40,000 square feet of banquet space were updated and expanded to better cater to the demand for event space that can handle meetings, conferences, weddings and other events. New additions on the second floor include an 1,100-square-foot bridal suite, two 1,350-square-foot meeting rooms, an expanded pre-function space, a second set of restrooms and a new banquet kitchen. Becky Hubbard, managing director of the hotel, described the first phase work to elevate the meeting and event spaces as spectacular. Our vision was to craft a versatile and refined environment that caters to the diverse needs of all groups. With these enhancements, The Charleston Place is poised to be the citys foremost downtown locale for hosting unforgettable events, Hubbard said. The Charleston Place has events on the books as far out as 2027. Demand for conferences, meetings, weddings and special events continues to be strong, particularly for late-2025 and early-2026 timeframe, Hubbard said. The Charleston Place has already started work on the rooftop pool, rooftop bar and fitness center on the 4th floor, which is slated for completion in spring 2025. In the early part of 2025, the hotel will start work on all of the guest rooms starting on the 7th & 8th floors, the Club Lounge and redesigning the drive-up entry area. Once completed, guests will drive through an iron gate lined with lush landscaping to a grand porte-cochere, where they will be greeted by a valet service. Dont forget about the grand staircase, which will get a new iron railing. State regulators are giving the public more time to weigh in on a key environmental permit that tech giant Google will need before building one of two data centers in Dorchester County. The S.C. Department of Environmental Services also said this week it will hold a public hearing on Google's proposal to build emergency generators to keep its $510 million data center planned for the Pine Hill Business Campus operating in case of a power shutdown. That meeting will be held at 5:30 p.m. Oct 15 at the Rollins Edwards Community Center at 301 N. Hickory St. in Summerville. Comments will also be accepted by mail and email through Oct. 17. The cut-off date previously was set at Aug. 21. The generators, which are part of a larger construction permit for the entire data center, need an air permit because they will emit, among other things, nitrogen oxides and carbon monoxide. Those emissions would be less than the 250 tons per year that would cause them to be considered a regulated pollutant but more than the 100 tons per year that require a permit and annual emission fees. Documents outlining Google's plans are available at the DES website. The agency said it will consider recommendations and comments from the public in determining whether to issue the air permit. Google, a subsidiary of Alphabet Inc., has provided few details about the project, which has received historic tax breaks from Dorchester County and a discount electricity deal from Dominion Energy. Today's Top Headlines Story continues below Google is using the alias Autumn Timber LLC in permit applications for the data center off U.S. 17-A west of Summerville. The search engine leader is planning a second data center off U.S. 78 near St. George. They will join an existing Google data center at the Mount Holly Commerce Park near Mount Pleasant. Elected officials have said the Pine Hill data center is expected to generate about $2 million a year in tax revenue and they have touted Google's community involvement, including providing free laptops to local schools. Google has not said how many people the data centers will employ, but such facilities typically aren't big job creators. South Carolinas legislators once believed, as USC law professor James Underwood explained in his authoritative four-volume history on the state's constitution, that Promiscuous state fiscal aid to all forms of private endeavor, whether religious or not, was to be viewed with deep skepticism. Hence the provision that prohibits spending public funds for the direct benefit of any religious or other private educational institution. On Wednesday, a divided S.C. Supreme Court embraced that interpretation of our states constitution, in a surprising ruling that struck down the Legislatures plan to throw our tax money at private schools. Those of us who share our former leaders wisdom should enjoy this moment. It wont last long. Im not even sure the 3-2 majority in Eidson v. S.C. Department of Education got it right. But Chief Justice John Kittredge is certainly right when he notes in his dissent that the majority defines a direct benefit to private schools very differently than the unanimous Supreme Court did in 2020 when it correctly if awkwardly struck down Gov. Henry McMasters voucher plan. The 2020 ruling in Adams v. McMaster as I always believed and as Justice Kittredge finally spelled out in a way the court did not at the time rejected Mr. McMasters plan to spend federal COVID funds on vouchers because federal law required the money to be paid directly to the private schools. Thus, a direct benefit. Last year, the Legislature used that ruling as a roadmap to craft its "education scholarship trust fund" law to get around the "direct aid" problem by allowing parents to direct state funds to the private school of their choice. Its true, as Associate Justice Gary Hill suggests in the majority opinion, that this is a distinction without a difference. But all indications were that the distinction would suffice. After all, the state constitution had been amended in 1972 to delete a ban on indirect aid to private schools for the express purpose of allowing the state to provide scholarships to students attending private colleges. By Huang Fang, Wu Jiaju The 2024 China International Fair for Trade in Services (CIFTIS), themed "Global Services, Shared Prosperity," is being held at the China National Convention Center and the Shougang Park in Beijing from September 12 to 16, featuring participation from over 80 countries and international organizations. What impressions does the 2024 CIFTIS leave on participants? And what are the event's highlights that have won widespread praise from them? Kazakh minister: service trade becomes a key driver of global economy Arman Shakkaliyev, Kazakhstan's minister of Trade and Integration, delivers a speech at the opening ceremony of the national pavilion of Kazakhstan at the China National Convention Center in Beijing, on Sep. 12, 2024. (Photo provided by the interviewee) "Kazakhstan places great importance on opportunities to discuss service trade, as it is becoming one of the key drivers of the global economy," said Arman Shakkaliyev, Kazakhstan's minister of Trade and Integration. He highlighted the broad scope of the strategic partnership between Kazakhstan and China, where services play a special role. "Both countries have achieved significant success in sectors such as transportation, logistics, information technology, education, healthcare, and tourism," Shakkaliyev noted, adding that transportation and logistics have become the foundation for success of many other industries. He also emphasized the potential for growth and cooperation in digital services and artificial intelligence. "We are keen to exchange experiences with China and are ready to collaborate on various initiatives and projects." Additionally, Shakkaliyev also expressed interest in the education and tourism sectors, noting their role in strengthening multinational culture exchanges. Italian governor: Western people should experience China's development firsthand Dott. Maurizio Rasero, the governor of Province Asti, Italy, talks to China News Network at the China National Convention Center in Beijing, on Sep. 12, 2024. (Photo: China News Network / Wu Jiaju) "I hope to have enough time to attend all the forums at CIFTIS," said Dott. Maurizio Rasero, the governor of Province Asti, Italy. After attending the conference organized by the World Tourism Cities Federation (WTCF), a key forum at the 2024 CIFTIS, Rasero remarked that the conferences mission aligns closely with Asti Provinces plans for cultural and tourism development. Having visited nearly 30 cities in China, Rasero emphasized the unique culture of each one and expressed admiration for the diligence and indomitable spirit of the Chinese people. "I hope that more Western politicians and citizens will come to China and experience its development firsthand," Rasero added. Zimbabwean diplomat: China's development is astounding Thuresi Ndarama, a Third Secretary at the Zimbabwean Embassy in Beijing, gives an interview with China News Network at the Shougang Park in Beijing, on Sep. 12, 2024. (Photo: China News Network / Huang Fang) "China is an amazing country with amazing people who are very friendly!" said Thuresi Ndarama, a Third Secretary at Zimbabwe's Embassy. At the Belt and Road Initiative cultural showcase in Shougang Park, the Zimbabwe Embassys pavilion featured an array of animal-shaped handicrafts. "This is a colorful world, and it is nice to meet so many people at CIFTIS," said Ndarama, expressing her hope for more Chinese people to visit Zimbabwe to further economic and cultural exchanges between the two countries. Slovak diplomat: shared prosperity fosters understanding and cooperation Tomas Kudlacak, a Third Secretary at the Embassy of the Slovak Republic in Beijing, talks to China News Network at the China National Convention Center in Beijing, on Sep. 12, 2024. (Photo: China News Network / Huang Fang) Commenting on CIFTIS' theme, Tomas Kudlacak, a Third Secretary at the Embassy of the Slovak Republic in Beijing, emphasized that despite the cultural differences, efforts to understand each other help overcome obstacles. "When you want to communicate, you just do what you can. If somebody wants to understand, then he will understand," he said. Kudlacak highlighted efforts to promote cultural exchanges between the people of Slovakia and China, noting that it was an honor to experience diverse cultures while working at the embassy. International exhibitors praise CIFTIS as an excellent exchange platform Rwandan exhibitor Mutijima Emma participates in an interview with China News Network at the Shougang Park in Beijing, on Sep. 12, 2024. (Photo: China News Network / Huang Fang) "CIFTIS is the world's largest comprehensive fair and a good platform for exchanging experience and business ideas, showcasing our countrys goods, and facilitating cultural exchanges," said the Yemeni exhibitor Al-Hababi Rashad Ali Hussein at the Yemen Embassys pavilion in Shougang Park. Thanks to the Belt and Road Initiative, Yemeni economy is progressing steadily, and cultural exchanges between Yemen and China are increasing, further deepening bilateral relations, he noted. Rwandan exhibitor Mutijima Emma, who has lived in China for seven years, remarked on the country's rapid development. "China is a country that has been developing so fast. I think a group of countries should learn from China and cooperate with China," Emma said. PR-Inside.com: 2024-09-14 02:25:15 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 997 Words ACCESSWIRE News Network888.952.4446 VANCOUVER, BC / ACCESSWIRE / September 13, 2024 / bettermoo(d) Food Corporation (CSE:MOOO)(OTCQB:MOOOF)(Frankfurt:0I5A)(WKN:A3D8PP) (the "Company" or "bettermoo(d)") is pleased to announce it has received initial purchase orders for its newly launched Moodrink Barista Edition ( Moodrink Barista ) from United Natural Foods Canada, ("UNFI Canada" or the "Distribution Partner"), the largest publicly traded wholesale distributor in North America delivering healthier food options to people throughout the United States and Canada[1], and an established coffee shop distributor (the "West Coast Coffee ShopDistributor"), serving the West Coast of Canada for over 16 years. Both distributors placed initial order soon after the product's official launch in late August, which management believes reflects the strong demand and enthusiasm for bettermoo(d)'s innovative plant-based Moodrink Barista.bettermoo(d) intends to leverage its distribution partners to target coffee shops and food service establishments, while also utilizing its existing networks to work on placing Moodrink Barista in retail chains across Canada. By offering a product tailored for baristas and coffee enthusiasts, the Company is positioning itself to serve an expanding segment of the beverage industry.The coffee industry has demonstrated consistent growth, with North America being a major player in its expansion. On average, individuals in this region consume about three cups of coffee daily, highlighting the role coffee plays in their daily routines. In 2023, the North American coffee market was valued at US$ 18.23 billion and is projected to reach US$ 27.06 billion by 2030, growing at a compound annual growth rate (CAGR) of 5.8%.[2]"As the coffee industry continues to grow and evolve, the demand for high-quality plant-based alternatives has never been greater. Launching Moodrink Barista is a strategic move that allows bettermoo(d) to not only meet this rising demand but also to expand our market presence in a key segment. This is a fantastic opportunity for us to introduce our innovative products to a wider audience, with the goal of creating new revenue streams that align with our vision of sustainable and health-conscious living," stated Nima Bahrami, CEO of bettermoo(d).About United Natural Foods, Inc. (UNFI):As one of the most influential companies in its industry, United Natural Foods, Inc. ("UNFI"), the parent company of UNFI Canada, operates 50 distribution centers across North America and serves a diverse array of approximately 30,000 retail partners, including natural product superstores, independent retailers, conventional supermarket chains, e-commerce platforms, and food service providers.About the West Coast Coffee Shop Distributor: The West Coast Coffee Shop Distributor, a trusted name in the industry for over 16 years, specializes in providing premium bubble tea ingredients, coffee products, and food packaging solutions. With a strong presence across three locations in lower BC and Calgary, Local Distributor has been serving the West Coast of Canada since 2007. Committed to the principles of integrity, professionalism, and quality, they offer not only high-quality products but also a broad spectrum of integrated services. These include expert recommendations for store planning, innovative packaging design, and essential equipment, ensuring that customers receive comprehensive support tailored to their needs.With 1,149 coffee and snack shops in British Columbia [3] and 970 in Alberta [4], bettermoo(d)'s newly launched Moodrink Barista has the potential to secure placement in a large number of these locations through the Local Distributors. This opportunity can position bettermoo(d) as an integral part of the lucrative coffee industry in Western Canada, while also enhancing brand visibility and driving growth through expanded reach.ABOUT BETTERMOO(D) FOOD CORPORATION bettermoo(d) Food Corporation is an innovative plant-based dairy alternative food and beverage company based in Vancouver, British Columbia Canada, launching Moodrink, a nutritious dairy-alternative beverage with a revolutionary flavour. Moodrink includes a blend of herbs and flowers similar to what cows ate, before the time of mass livestock production. Like rich dairy products, Moodrink contains added healthy plant fats and vitamins, so consumers don't miss out. The "Moodrink" is just the beginning of the revolution for the Vancouver based dairy-alternative company, bettermoo(d).Driven by the motto "What A Cow Eats and A Human Needs" bettermoo(d) seeks to produce dairy alternative products that are good for both people and the planet - ensuring that all products are nutritious and sustainably sourced, and that also emulate the great taste of traditional milk from the Alps regions of Switzerland, France and Austria. Working with food scientists, the Company's goal is to conduct continuous food research and development programs with the aim of rolling out a full line of dairy alternative products, including Moogurt and Buetter, as well as many other products, that are better for YOU and better for the planet.ON BEHALF OF THE BOARD of DIRECTORS Nima BahramiChief Executive Officer and Director bettermoo(d) Food CorporationFor further information please contact:Email: investors@ bettermoodfoodcorporation.com Website: www.bettermoo.com Phone: 1-855-715-1865The CSE does not accept responsibility for the adequacy or accuracy of this release.This news contains forward-looking statements and forward-looking information (collectively, "Forward-Looking Statements") within the meaning of the applicable Canadian and U.S. securities laws, including the United States Private Securities Litigation Reform Act of 1995. All statements, other than statements of historical fact, included herein including, without limitation, statements with respect to the anticipated development of, and market demand for, Moodrink Barista, as well as with respect to the anticipated size and growth of the dairy alternatives market and opportunities for growth therein, and with respect to the Company's ability to achieve, sustain and expand market share within the coffee segment, are forward-looking statements. When or if used in this news release, the words "anticipate", "believe", "estimate", "expect", "target, "plan", "forecast", "may", "schedule" and similar words or expressions identify forward-looking statements or information. Such statements represent the Company's current views with respect to future events and are necessarily based upon a number of assum PR-Inside.com: 2024-09-14 12:10:48 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 998 Words ACCESSWIRE News Network888.952.4446 IRAEmpire releases its latest analysis of Noble Gold Investments reviews and fees.LOS ANGELES, CA / ACCESSWIRE / September 14, 2024 / IRAEmpire is proud to release its Noble Gold Investments review for 2024.Ryan Paulson, Chief Editor at IRAEmpire.com says, "Seeing the recent surge in the popularity of gold IRA companies and investments, we thought it was vital to release this analysis." Consumers interested in learning about gold IRA companies can sign up for this free checklist. Ryan highlights that the checklist has already helped hundreds of investors choose reliable gold IRA companies.Noble Gold Investments is a precious metals company that specializes in gold IRAs and other precious metals investments.Consumers can read the full Noble Gold review here.Founded in 2016, Noble Gold Investments has quickly established itself as a reputable player in the precious metals industry. The company offers gold, silver, platinum, and palladium products for investment, with a focus on helping clients diversify their retirement portfolios through gold IRAs.One of Noble Gold's distinguishing features is its low minimum investment requirement of $2,000 for precious metals IRAs, making it more accessible to a wider range of investors compared to some competitors. The company charges an $80 annual fee for its gold IRAs, along with storage fees that vary depending on the depository used.Noble Gold has garnered positive reviews across multiple consumer watchdog websites. It boasts an A+ rating from the Better Business Bureau and high ratings on platforms like ConsumerAffairs, TrustPilot, and Google Reviews. These ratings suggest a strong track record of customer satisfaction.The company offers a variety of IRA-approved precious metals products, including popular coins like American Gold Eagles and Canadian Gold Maple Leafs. In addition to standard offerings, Noble Gold provides unique services such as its "Royal Survival Packs," which are pre-selected collections of precious metals designed for use in emergency situations.Noble Gold emphasizes customer education and support, offering free consultations and educational resources to help investors make informed decisions. The company also provides a buyback program, allowing customers to liquidate their precious metals investments when needed.While Noble Gold has many positive attributes, potential investors should note that the company does not list prices online, which may make comparison shopping more challenging. Additionally, its storage options are somewhat limited compared to some competitors, with facilities primarily located in Texas.Overall, Noble Gold Investments appears to be a legitimate and customer-focused precious metals company, particularly well-suited for those looking to start a gold IRA with a relatively low initial investment. However, as with any investment decision, potential customers are advised to conduct thorough research and consider consulting with a financial advisor before committing to a precious metals investment strategy.Noble Gold Investments offers a diverse range of precious metals products and services tailored for investors looking to diversify their portfolios or protect their wealth. Here's a detailed breakdown of their key offerings:Noble Gold specializes in self-directed Individual Retirement Accounts (IRAs) backed by precious metals. These IRAs allow investors to hold physical gold, silver, platinum, and palladium in their retirement accounts. The company guides clients through the process of setting up or rolling over existing retirement accounts into precious metals IRAs.For direct investment outside of retirement accounts, Noble Gold offers a variety of gold and silver bullion products. These include popular coins like American Gold Eagles, Canadian Gold Maple Leafs, and American Silver Eagles, as well as gold and silver bars of various weights.Setting itself apart from many competitors, Noble Gold also provides investment options in platinum and palladium. This includes coins like the American Platinum Eagle and Canadian Palladium Maple Leaf, as well as platinum and palladium bars.For numismatic enthusiasts or those seeking collectible investments, Noble Gold offers a selection of rare coins. These may include historical pieces or limited edition coins with potential numismatic value beyond their precious metal content.A unique offering from Noble Gold is their "Royal Survival Packs." These are pre-selected collections of precious metals designed for investors concerned about economic instability or crisis scenarios. The packs come in various sizes and compositions, tailored to different investment levels and preferences.IRAEmpire recently ranked the best gold investments companies of each US state as well.Noble Gold Investments, a precious metals company specializing in gold IRAs and other precious metals investments, offers a unique product called "Royal Survival Packs" designed for investors concerned about economic instability or crisis scenarios.These Royal Survival Packs are pre-selected collections of precious metals, including gold and silver coins and bars, tailored to different investment levels and potential emergency situations. The packs are marketed as a way for investors to have readily accessible precious metals that could be used as currency or bartered during times of economic turmoil.Key features of the Royal Survival Packs include:Investment tiers ranging from $10,000 to $500,000, with names like "Noble Knight," "Noble Baron," and "Noble Duke" corresponding to increasing investment amounts.Discreet home delivery within 5 days of purchase, with packages fully insured and shipped in plain wrapping to ensure privacy.Option for secure storage in guarded depositories in the U.S. or Canada for those who prefer not to keep precious metals at home.Composition of easily recognizable and liquid precious metals, selected by Noble Gold's experts for their potential utility in crisis situations.A special "Noble Ambassador" pack available for offshore clients, which can be purchased with dollars or bitcoin.Noble Gold positions these packs as a form of financial insurance, arguing that precious metals could serve as a reliable means of exchange during emergencies when traditional currencies might lose value or become inaccessible.While the company touts the benefits of these survival packs, financial experts generally caution against keeping large amounts of precious metals at home due to security risks. Additionally, the effectiveness of such packs in actual crisis scenarios remains theoretical.As with any investment product, potential buyers should carefully consider their financial goals, risk tolerance, and the broader con PR-Inside.com: 2024-09-14 17:03:55 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 302 Words ACCESSWIRE News Network888.952.4446 LOS ANGELES, CA / ACCESSWIRE / September 14, 2024 / The Schall Law Firm, a national shareholder rights litigation firm, announces that it is investigating claims on behalf of investors of Globus Medical, Inc. ("Globus" or "the Company") (NYSE:GMED) for violations of the securities laws.The investigation focuses on whether the Company issued false and/or misleading statements and/or failed to disclose information pertinent to investors. Globus disclosed in an August 13, 2024, SEC filing that, "on July 16, 2024, Globus Medical, Inc. received a warning letter from the U.S. Food and Drug Administration (the FDA') following an inspection of our facilities in Audubon, Pennsylvania. In the warning letter, the FDA cited deficiencies in the response letters sent by the Company to the FDA following the Form 483, List of Investigational Observations, which was delivered to the Company in connection with the inspection that occurred from February 15, 2024 until March 7, 2024. The letter describes observed non-conformities in establishing and maintaining product complaint procedures, including complaint investigations, trending, risk reconciliation, and Medical Device Report (MDR) procedures including timely reporting, pertaining to the ExcelsiusGPS robotic system." If you are a shareholder who suffered a loss, click here to participate.We also encourage you to contact Brian Schall of the Schall Law Firm, 2049 Century Park East, Suite 2460, Los Angeles, CA 90067, at 310-301-3335, to discuss your rights free of charge. You can also reach us through the firm's website at www.schallfirm.com , or by email at bschall@ schallfirm.com The Schall Law Firm represents investors around the world and specializes in securities class action lawsuits and shareholder rights litigation.This press release may be considered Attorney Advertising in some jurisdictions under the applicable law and rules of ethics.CONTACT: The Schall Law FirmBrian Schall, Esq.310-301-3335 info@ schallfirm.comwww.schallfirm.com SOURCE: The Schall Law Firm The Nigerian government on Friday announced that the loading of the first batch of petrol from the Dangote refinery will commence on Sunday, 15 September. The government said petrol from the Dangote refinery will only be sold to the Nigerian National Petroleum Company Limited (NNPC Ltd) which will then sell to various marketers in the short term. The government disclosed this in a statement posted on the X handle of the Special Adviser to President Bola Tinubu on Information and Strategy, Bayo Onanuga, on Friday. According to the statement, the Minister of Finance and Coordinating Minister of the Economy, Wale Edun, disclosed this in his office in Abuja shortly after the meeting of the technical sub-committee on the sale of crude oil to local refineries in naira on Friday. Mr Edun, represented by the Executive Chairman Federal Inland Revenue Service (FIRS), Zacch Adedeji, announced the completion of all agreements and modalities for the implementation of the Federal Executive Council (FEC) approval on the sale of crude to local refineries in naira and corresponding purchase of petroleum products in naira. I am glad to announce that all agreements have been completed and loading of the first batch of PMS from the Dangote refinery will commence on Sunday 15 September. From 1st October, NNPC will commence the supply of about 385k barrels per day (bdp) of crude oil to the Dangote refinery to be paid for in naira. In return, the Dangote refinery will supply Premium Motor Spirit (PMS) and diesel of equivalent value to the domestic market to be paid for in naira. Diesel will be sold in naira by the Dangote refinery to any interested offtaker. PMS will only be sold to NNPC, NNPC will then sell to various marketers for now, Mr Edun said. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later He said all associated regulatory costs, the Nigerian Ports Authority (NPA), the Nigerian Maritime Administration and Safety Agency (NIMASA), etc will also be paid for in naira. We are also setting up a one-stop shop that will coordinate service provision from all regulatory agencies, security agencies, and other stakeholders to ensure a smooth implementation of this initiative. This will be located in NPA, Lagos. We thank everyone for the hard work and patriotism exhibited over the last couple of weeks. We would sincerely like to thank Mr President for championing this novel initiative and would like to assure Mr President that he can count on us to implement his vision, he said. In July, the Federal Executive Council directed NNPC Ltd to engage the Dangote refinery and other local refineries to resolve the dispute over the sale of crude oil to them. The FEC, presided over by President Bola Tinubu, also directed that such crude oil sales to the refineries be made in naira and that the refineries located in Nigeria should also sell their refined products to the Nigerian market in naira. Since then, according to the statement on Friday, the implementation committee chaired by the minister of finance and the technical committee have worked intensely with NNPC Ltd and Dangote refinery to fashion out the details of the modalities for the implementation of the FEC approval. In a landmark move towards reducing pressure on the Naira, eliminating unnecessary transaction costs, and improving availability of petroleum products, the federal government has successfully initiated the sale of crude to local refineries as well as corresponding purchase of petroleum products in naira, the statement said. READ ALSO: Minister announces date for lifting of petrol from Dangote Refinery It said this initiative marks a significant milestone in Nigerias journey towards energy self-sufficiency and economic growth. Last Monday, Devakumar Edwin, vice president at Dangote Industries Limited, said the 650,000 barrels per day Dangote Refinery has begun the processing of petrol. Mr Edwin explained that the NNPC Ltd, Nigerias sole importer, would buy its product exclusively. Speaking to reporters last Tuesday, the President of Dangote Group, Aliko Dangote, said the petrol refined from the refinery would hit filling stations across the country within 48 hours, depending on the NNPC Ltd. But NNPC in reaction to a statement that the Dangote Refinery Limited is being undermined by actions of the company, said it is not the sole offtaker of all products from the Dangote Refinery. It said the refinery is free to sell its petrol to any marketer. The NNPC explained that the Dangote Refinery and any other domestic refinery are free to sell directly to any marketer on a willing buyer, willing seller basis, which is the current practice for all fully deregulated products. The latest statement by the finance minister, however, shows that NNPC will be the sole off-taker of Dangotes petrol. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Folagade Banks gained widespread recognition when he adopted the character of a self-righteous Yoruba mother, Mama Deola, in his skits. In Nigerias competitive field of content creators, Mama Deola stands out with her signature brown rope, head tie, quirky shoes, and unique accent. This comedic character blends humour with cultural insights, making Folagades storytelling uniquely engaging and relatable. Beyond skit-making and his famous Mama Deola persona, Folagade is also an event host and an actor who has appeared in some movie productions. Some may have wondered how he conceived such a unique character as Mama Deola, who entertains while evoking a strong sense of nostalgia. In an exclusive interview with industryhe revealed how he created Mama Deolas character by surpassing challenges and negativity. He narrated, I created the Mama Deola character during my final year of school based on conversations I had with friends. One afternoon, we were gisting in my room, and I discovered we all had similar upbringings. Our parents did a lot of similar things while raising us. They had a WhatsApp room where they communicated. It was like, So your mum and dad do this. That means it was relatable content. I said, Ok, I was going to try it. If I wanted to chip a little comedy into it, I am going to be able to do that with the mums because dads are quieter when doing their actions. They are less dramatic, but mothers are more surprising. Before I started, I didnt want to use costumes because I didnt want to be one of those guys who dressed the part. You can see that as I do my content now, I dont do too much with it. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Challenges The skit maker briefly recalled the challenges he faced as a student in building the Mummy Deola character and how he overcame them. He said, I had a tight schedule because I was wrapping up my final-year project in school. So, the challenges I faced were timing and my tight schedule. From the first video I dropped for Mama Deolas character, people loved it; it was a blast, and blogs reposted it. After overcoming the challenges of balancing his final-year project with skit-making, another hurdle arose. Every content creator, entrepreneur, and influencer must deal with negative comments or criticism. People handle offensive remarks differently by responding, deleting comments, blocking troublesome individuals, or ignoring them. Folagade has shared how he manages these recurring challenges as a skit-maker. He said, I bless the Lord for the kind of fans I have because I hardly see negative comments. I dont have hostile fans. All my fans are positive people and say positive things because they see that my content comes from a vast place of love for motherhood. I dont see negative comments; if I see any, I dont notice them. Imagine me having 10,000 beautiful comments and just one awful comment. How will I notice it? All I see is the love people bring to me, he added. Source of livelihood During the interview, the skit maker admitted that Mama Deolas character pays his bills, which has positively impacted his life. The actor said, I have achieved many things that might take work on a typical day. But because I am an actor playing the mama Deola character, I get to work with big brands and meet tremendous and beautiful personalities. It has given me a source of livelihood and income, helped my personality, and built my portfolio. Folagade, a geographer before becoming a skit maker, further mentioned how Mama Deolas character has taken him abroad three times and opened numerous doors for him. However, he believes that he would have been successful at the Mama Deola role even without adopting a female persona. Unique content creation style Peeping into the content creation industry, many skit makers have fallen prey to going in circles and delivering the same content creator, entrepreneur, and influencer , however, distinguishes himself by sticking to decent, educative, yet funny content. He briefly said, I want you to see the Mama Deola character and remember a woman once encountered on the street, secretariat, market church, and mosque. That might set me aside from a lot of content creators. Most people sexualise ladies; you will see ladies wearing this, trying to look attractive, trying to sell their bodies, all because they want viewers to watch their content, which is something I will never do. You can still be decent and funny. More so, when discussing how he chooses the topics for his skits, the talented content creator revealed that he is highly intentional in selecting content that will leave a lasting impact. I deliberately pick my topics; if you watch my content well, you will notice that I love conveying a message. I dont want to create content people will laugh about and move on from. Instead, I want to create content you will watch, and a message is passed across to you. I leave sexual topics out of it. I only say things children can watch and derive joy from watching. Stuff that will make a family happy. Sometimes, I pick my content based on personal experiences. Current trends may be topics people talk about, but I am selective. I dont follow all the trends. I will use a few that align with Mama Deolas brand and other inspirations, like what a friend tells me, he added. UK content creators earning more While Folagade believes the content creation industry is thriving and lucrative, he feels Nigerian creators would be even more enthusiastic if the issue of monetisation were addressed. Revealing how lucrative it is, he said, It is lucrative, but initially, it wont be lucrative. You have to invest your time, invest your money, and put a lot of effort into it before it starts being lucrative. Folagade pointed out that their UK counterparts earn significantly more from videos posted on TikTok, a social media platform, encouraging brands to appreciate content creators efforts. He said, You shouldnt have to go live on other platforms like TikTok before earning. Many of us have many views on TikTok and are not earning a dime, but our counterparts in the UK are earning a lot of money on videos with fewer views in Nigeria; we should be allowed to earn well on our videos. Brands pay well, but they should appreciate content creators more for their efforts to tell their brand story. Nigerians will be happier if we can do more for the content creation industry. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The year 2020 was a glorious one for the people of Bahumonu in Abi Local Government Area of Cross River State because it marked the end of a 15-year-old communal war among four communities in Bahumonu. Like many other crises that had bedevilled Cross River communities in the past, the wars between Ediba and Usumutong communities and Ebom and Ebijakara were mainly a tussle over land, though there were other remote causes. While the wars raged from around 2005 with attendant destruction of lives and property worth billions of naira, they left in their trail widows and orphans, exacerbating poverty in the warring communities. For instance, as a result of the war, indigenes of Ebijakara community were sacked from their land and have not returned years after the war ended. The destroyed Community Secondary School Usumutong has yet to be reconstructed, and its staff quarters are overgrown with weeds. The Ediba and Ebom communities also suffered various degrees of destruction. My children are scattered all over the nation A survivor of the conflict, Janet Ekpe, from Ebijakara, lost her husband and their property during the war. Narrating her ordeal, Mrs Ekpe said she and her children suffered so much during the conflict, adding that though she was happy that the war was over, she was still in pain as her wounds were yet to heal. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Apart from losing my husband to the war, the crisis prevented my children from going to school, our house was destroyed, and we were sacked from our community. As we speak, my children are scattered all over the nation because I cannot take care of them. I survive by taking small farmland on a lease, which has not been easy. I have cried and begged just to get something to survive on. This is why I plead with the (Cross River) State Government to help us go back to our homeland and to facilitate the construction of houses. We are still suffering though the war is over, she said. For Nkam Igiri, an entrepreneur from Ebom, the place where he did his business and lived was burnt down during the war. I am happy that the war ended four years ago, but since it did, we have not really seen much progress in the communities. Some of us that lost everything, including our means of livelihood, are still struggling to put food on our tables and send our children to school. The government needs to help us, he told the News Agency of Nigeria (NAN). Dilapidated roads, schools, health centres A visit by a NAN reporter to Bahumonu in Otumosa and some of the communities recuperating from the years of carnage showed that they still lack basic amenities such as electricity, a good telecommunication network and roads. The roads have broken down, especially the Ring Road that connects the nine communities of Bahumonu. The schools and health centres are dilapidated, and there are no jetties at the river that separates Bahumonu from communities in Ebonyi. Earnest Abam, the central youth leader of the Bahumonu, said that though peace had returned, key areas, like the causes of the conflict, still needed to be investigated and addressed. There are some striking issues that caused the war in the first place that have yet to be resolved, such as a permanent boundary between Usumutong and Ediba communities. Also, we have yet to resolve the discontentment between Ebom and Ebijakara for the latter to return to their land from where they were sacked during the wars. The conflict lasted for years, people died on all sides, and we are putting heads together to see how we can placate hearts to bring about peaceful coexistence, he said. Mr Abam said they realised that the war did not bring any good to them, and therefore they would not pick up arms again. He called on the state government to help them consolidate on the peace by bringing infrastructural development to the communities. Difficult to fully resolve issues, even after the fighting ended The paramount traditional ruler in Abi Local Government Area, Solomon Edward, told NAN that it had been difficult to fully resolve the issues, even after the fighting ended. Mr Edward said the elders had continued to disagree, adding that it was sad that some people were still bitter that peace had returned to the warring communities. I have continued to lay curses on anyone who does not want peace to reign among the communities that decided to say no to guns and machetes. We are still pushing to ensure that we fully resolve the issues and bring Ebijakara back to their land, but it is becoming more and more difficult because where we are asking them to stay, they are not comfortable with it. At this point, we appeal to the state government to help us resolve some of the lingering issues that are hindering total peace, he said. Although war-torn for about 15 years, the four communities have been relatively peaceful after the youths miraculously laid down their arms in 2020. People can move freely today, but they now sell and buy in ramshackle markets. Remarks by governors representative Speaking on the situation, Earnest Eteng, chairperson, Bahumonu Peace and Development Union said things were getting better. He thanked the youths for their doggedness in maintaining the peace that was achieved in 2020. According to him, the youths were always the first to visit any scene where there was misunderstanding capable of resulting in violence, even without the elders knowing. They have done this very well to ensure that the peace is sustained. Mr Eteng appealed to the communities indigenes to fully embrace peace so that the state government could come to their rescue in the area of infrastructural development. The state government has agreed to help us, but they said we must be ready to sign the peace agreement (and) state where each of the projects will be. That was what we were told in 2021 when the committee set up by former Governor Ben Ayade came on a fact-finding mission in Bahumonu. That position has not changed, he stated. But Collins Bassey, the community relations officer for Abi Local Government Area for Governor Bassey Otu of Cross River State, said the state government has done a lot to ensure peace in Bahumonu. He said the communitys schools, roads, and other infrastructure were in bad shape, but the government was poised to rebuild them and do more. Mr Bassey said the reconstruction of Bahumonu Ring Road was captured in the 2024 budget, adding that if there was no peace there would be no meaningful development. The people lost so much; families lost their properties and loved ones in the war. So, I will not rest but continue to remind the governor of his promises to the Bahumonu people because with peace, theres nothing we cannot achieve. There was a 2024 Interdenominational church service recently in Otumosa to commemorate the 4th Anniversary of the return of peace in Bahumonu. Where the solution should start from The war in Bahumonu may have ended but it is clear that the peace being enjoyed among the four communities today is fragile. While many of the indigenes are quietly aggrieved and recuperating from the emotional wounds and trauma, the issues that caused the war are still yet to be fully resolved four years later. The solution for this appears to lie in the Cross River State Government implementing the recommendations of the report of the Ndoma Egbe Committee set up in 2021. The Bahumonu is a cluster of nine communities that are well-known for their agrarian capabilities, especially in rice production. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Soldiers working with vigilante operatives have killed Halilu Sububu, a notorious terrorist operating in Zamfara and Sokoto states. He was killed in an ambush on Thursday, PREMIUM TIMES learnt. The gunfight took place around the Kuzawa and Mayanchi areas on the extended Gusau-Sokoto highway in Maru Local Government area of Zamfara State. Local sources told PREMIUM TIMES that Mr Sububu was killed alongside some of his foot soldiers while he was crossing the highway en route to Bagega forest where he has his base. Abdulaziz Abdulaziz, the senior special assistant on media and publicity to President Bola Tinubu, confirmed the killing of the terrorist in a tweet on Friday. The bandit kingpin, Kachalla Halilu Sububu (pictured), was downed this morning by troops. His death adds to the increasing number of bandit-warlords cut down by security forces under this administration: Ali Kawaje, Dangote, Damina, Baleri, Modi-Modi, etc. Mr Sububu was one of the notorious terrorists in the north-west whose attacks on civilians and security officials have led to the death of hundreds of people and displacement of millions of others. In his later years, Mr Sububu relocated to Bagega forest in Anka Local Government Area of Zamfara State, where he took control of mining sites in the area as reported by PREMIUM TIMES in an investigation last year. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later I can confirm that Halilu Buzu (Mr Sububu) was killed because he left Bagega very early in the morning with his boys on over 50 motorcycles, a native of Bagega, who asked not to be named for safety reasons, said. It was in the late evening that we started hearing stories that he was ambushed by soldiers. Then some miners returned from his sites and said some of his boys had returned without him. Another local source said Mr Sububu travelled through Mayanchi-Anka highway but delved into the forest around Gwaram village in Talata Mafara Local Government Area. He wanted to cross over the highway to access Maradun forest but was intercepted by the soldiers, the resident said. He didnt believe the soldiers would get his location because that was his style, the source said. Halilu would not use the same route when going to his hometown, Sububu or Tubali, but yesterday he decided to do that, and the soldiers still got him. We thank God that the soldiers got him. The man, Halilu Sububu Mr Sububu is not an ordinary terrorist, called a bandit by locals. He is the only terrorist in the North-west who is known to have close ties with French-speaking Jihadists in the Sahel region of West Africa (Mali, Niger, Burkina Faso and Senegal). It is believed his gunrunning business was successful because of his affiliation with Jihadists in the Sahel. In his first and only known interview with journalists, Mr Sububu addressed himself as the Leader of the terrorists operating on the northern flank. A family friend of Mr Sububu, whom PREMIUM TIMES spoke to on the phone in October, said the terrorist was born in 1986 as Halilu Jammare. His father, a Fulani herder, Jammare, was born in Jajjaye, a community near Sububu. He said Mr Sububus mother is from the Niger Republic and came to Nigeria with her grandfather through Maradi in the Niger Republic. She should have been around 11 or 12 years old when they came to Nigeria. The community leaders in Sububu gave them land to build a house. That was where Jammare saw her and they got married, the source told PREMIUM TIMES. Mr Sububus father died 25 years ago, according to the source. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The police have returned the N3 million which some officers allegedly extorted from a Nigerian man in Bayelsa State, south-south Nigeria. The extortion PREMIUM TIMES earlier reported how some police operatives allegedly extorted the money from the Nigeria man, Kelechi Isaac, at gunpoint on 26 August at Odi area of Bayelsa State. Mr Isaac, who is based in Ghana, hails from Nguru Nworie, a community in Aboh Mbaise Local Government Area of Imo state, Nigerias south-east. The victim narrated that five police operatives flagged down his vehicle while he was driving back from a marriage ceremony with two of his friends. He said the operatives subsequently forced him at gunpoint to transfer the N3 million to two separate bank accounts. Arrest of the officers The police spokesperson, Muyiwa Adejobi, last week, confirmed that the operatives who carried out the extortion had been arrested. The policemen involved in this case of extortion of N3 million have been arrested, he had said. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Mr Adejobi, an assistant commissioner of police, said the operatives were attached to Zone 16 headquarters in Bayelsa State. Meanwhile, Mr Isaac said that on 5 September, the Assistant Inspector-General of Police in charge of Zone 16 Headquarters, Paul Omata, informed him that the money had been recovered from the operatives. But PREMIUM TIMES reliably gathered that the police, after the recovery, did not immediately return the money to the victim. This followed a disagreement between Mr Isaac and authorities of Zone 16 Police Headquarters over where the money would be picked after the victim expressed concerns about his safety in the South-south state. They have given me the money But Mr Isaac told PREMIUM TIMES on Friday night that he has now collected the money. They have given me the money today. (I am) just coming in from Bayelsa now, he said in a WhatsApp message to this reporter. The victim said that, against his wishes, the police authorities returned the money to him in cash, but he insisted on getting a Point of Sale (POS) machine operator to transfer the money to his bank account. They did (the handover in cash), but after the handover I refused to touch the cash, insisting they get a POS agent to take the cash and transfer it to me, which they did, he stated. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Nigerian security forces under Sector 4 Operation Whirl Punch have rescued 13 persons held by kidnappers in Kachia Local Government Area of Kaduna State. The states Commissioner, Ministry of Internal Security and Home Affairs, Samuel Aruwan, said the rescue followed a firefight. Mr Aruwan, in a statement, said the development was communicated to the state government in an operational feedback. The troops responded to credible human intelligence of bandits moving kidnapped victims in the general area of Chigulu village, Kachia LGA. The troops subsequently mobilised for a rescue operation at the location of the suspected bandits camp. The troops arrived at the location and made contact with the bandits. An intense gun battle ensued at the base of a high ground in the area. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later The bandits were subdued and fled into the dense forest, abandoning their hostages, Mr Aruwan stated. The security forces went on to rescue the 13 hostages, comprising six men and seven women, from the terrorists hideout, he added. He said the troops dislodged the camp and destroyed various items, such as clothing and personal effects, at the site. Mr Aruwan said the items recovered include one AK-47 rifle, one locally-made rifle, four AK-47 magazines, 87 rounds of 7.62mm ammunition, five mini solar panels, five mobile phones and N192,220 in cash. The rescued persons were taken to a military facility for examination and debriefing, before being reunited with their families. The Governor of Kaduna State, Uba Sani, expressed happiness at the report. He praised the swift response of the troops led by the General Officer Commanding, 1 Division Nigerian Army/Commander Operation Whirl Punch (OPWP), MLD Saraso, a major general, and congratulated them for the successful operation, the statement stated. Mr Aruwan said the governor sent his heartfelt goodwill to the rescued persons as they rejoined their families. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Fuxi Temple to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) LANZHOU, Gansu/BAOJI, Shaanxi, Sept. 13 (Xinhua) -- Chinese President Xi Jinping urged northwest China's Gansu Province to deepen reform, make innovation, and do solid work to improve the people's well-being and the region's prosperity, to write a Gansu chapter in Chinese modernization. Xi, also general secretary of the Communist Party of China (CPC) Central Committee and chairman of the Central Military Commission, made the remarks during an inspection from Tuesday to Friday. Gansu should take solid steps in improving ecological conservation and restoration, accelerating green and low-carbon transition, further deepening reform and opening up comprehensively, promoting rural revitalization across the board, better securing people's livelihood and strengthening ethnic unity, Xi said during his inspection tour in Gansu Province. On Tuesday afternoon, Xi visited the Fuxi Temple in Tianshui City, where he learned about the protection and preservation of cultural heritage. The temple is dedicated to Fuxi, a mythical ancestor of the Chinese nation. It is imperative to protect and pass on the precious cultural heritage, so that the wisdom and creativity of ancestors will constantly inspire future generations and enhance national pride and confidence, Xi said. On Wednesday morning, Xi listened to the report of a local water diversion project and urged better maintenance and management of the project so that it would play a greater role in improving people's lives. While visiting a Huaniu apple production base, he emphasized the importance of optimizing cultivation of this variety and innovating marketing models so that this specialty industry will be expanded and more people will increase their incomes through it. Xi then visited the Maijishan Grottoes, where he carefully inspected the caves, sculptures and paintings that date back over 1,600 years. He called on cultural relic workers to make greater contributions to promoting the preservation and innovative development of China's traditional culture and enhancing its influence. On Wednesday afternoon, Xi came to Lanzhou, the provincial capital. At a local residential community, he emphasized the necessity of focusing on the practical needs of residents, especially the elderly and children, and continuously improving community services. During his visit to retired Party member Li Zongbiao, Xi said that local authorities have worked hard in providing elderly care, healthcare and community services and the work must continue and improve over time. When speaking to local residents, Xi said 2024 marks the 75th anniversary of the founding of the People's Republic of China and the Chinese people should be more united and make more efforts to create even greater achievements. He extended his holiday greetings to the residents ahead of this year's Mid-Autumn Festival, which falls on Sept. 17. Xi then inspected a section of the Yellow River near the Zhongshan Bridge in Lanzhou and learned about the ecological protection of the country's "mother river." He called for joint efforts in protecting the river so that it will benefit the people forever. On Friday morning, Xi listened to the work report from the CPC Gansu Provincial Committee and the provincial government. Gansu should promote new-type industrialization, accelerate the transformation and upgrading of traditional industries, build a significant national manufacturing base for new energy and new-energy-related equipment, and fortify the ecological security in the western part of the country, Xi said. He stressed the need to deepen reform and expand opening up with greater courage and determination, and to implement the people-centered new urbanization strategy effectively. Xi emphasized the need to strengthen cultural heritage protection and build the Dunhuang Academy into an example of world cultural heritage protection and a center of Dunhuang studies. He also called for efforts to advance the building of national cultural parks dedicated to the Great Wall, the Long March and the Yellow River. Efforts should be made to promote social advancement and governance, and lay a solid foundation for common prosperity for people of all ethnic groups, he said. On his way to Gansu, Xi visited the Baoji Bronze Ware Museum in Baoji City of Shaanxi Province on Tuesday afternoon. He hailed China's bronze civilization and called for better protection, research and promotion of bronze cultural relics. Xi also inspected a local riverside ecological park. Cai Qi, a member of the Standing Committee of the Political Bureau of the CPC Central Committee and director of the General Office of the CPC Central Committee, accompanied Xi on the inspection tour. On Thursday morning, Xi met with senior officers and representatives of soldiers and civilian staff of the Chinese People's Liberation Army troops stationed in Lanzhou. Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Fuxi Temple to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Fuxi Temple to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Ju Peng) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with fruit growers, local villagers and agricultural technicians while visiting a local apple production base in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with tourists and cultural relics protection staff while visiting the Maijishan Grottoes in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Zhai Jianlan) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with tourists and cultural relics protection staff while visiting the Maijishan Grottoes in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Xie Huanchi) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Ju Peng) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community to learn about local measures to improve public services, enhance the well-being of the people, and strengthen comprehensive public order maintenance, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community to learn about local measures to improve public services, enhance the well-being of the people, and strengthen comprehensive public order maintenance, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Yan Yan) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Yan Yan) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with residents while visiting a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Ju Peng) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with the crowd while visiting a section of the Yellow River in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, waves to the crowd while visiting a section of the Yellow River in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Ju Peng) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. (Xinhua/Wang Ye) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, meets with senior officers and representatives of soldiers and civilian staff of the Chinese People's Liberation Army troops stationed in Lanzhou, northwest China's Gansu Province, Sept. 12, 2024. Xi made an inspection tour in Gansu Province from Tuesday to Friday. (Xinhua/Li Gang) Editor: GSY A group, Media Rights Agenda, has trained journalists on media laws and regulations in Nigeria. Media Rights Agenda is an independent organisation committed to promoting and protecting the right to freedom of expression, media freedom and access to information in Nigeria. Over 30 journalists, drawn from the South-east and South-south Nigeria, participated in the two-day training, which was held from Wednesday to Thursday in Enugu. The MacArthur Foundation supported the training through the Wole Soyinka Centre for Investigative Journalism under the Collaborative Media Engagement for Development, Inclusion, and Accountability Project. The training The training covered a range of topics and issues, such as local and international frameworks on freedom of expression, the safety of journalists, and laws affecting media freedom. It also covered digital security and common digital threats to journalism practice, the relevance of the Freedom of Information Act of 2011 and how to avoid legal liabilities as journalists. Why the training The Executive Director of Media Rights Agenda, Edetaen Ojo, said the training became necessary because of the increasing attacks on journalists and media outlets often perpetuated through the misuse and abuse of the law. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Mr Ojo, a media expert, noted that journalists play an important role in holding leaders and institutions to account as well as strengthening democracy, which often brings them into confrontation with the rich and the powerful. In performing these critical functions, therefore, you will frequently find yourself navigating complex legal environments and issues. Your ability to do your job as a journalist, therefore, depends not only on your storytelling skills and abilities but also on your knowledge and understanding of the law, especially those that affect the media, he said. In addition, familiarity with the laws and regulations affecting journalists and the media empowers you to better protect yourself and your sources. Participants speak The participants commended the Media Rights Agenda and the partners for organising the training. A participant, David Eleke, a journalist with ThisDay newspaper, said knowledge gained in the training would help participants thrive in their journalism practice. This is honestly the most impactful training I have been part of in a long time, Mr Eleke said at the end of training on Thursday. Another participant, Alicia Johnson, a presenter and news editor at Fad FM, a radio station in Cross Rivers State, described the training as an eye-opener. Ms Johnson said the training came at the right time when journalists were being harassed and intimidated in Nigeria. After the training, I (have) developed more courage to do journalism, knowing that there are some organisations that stand with journalists especially when they run into legal trouble, she said. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Edo State Government has said that emergency responders have rescued all trapped students in the collapsed three-storey building on Ekhator Street, off Ekosodin Road beside the University of Benin, in Benin City. In a statement by the Special Adviser to the Edo State Governor on Media Projects, Crusoe Osagie, the government noted that there were no fatalities, and an investigation is ongoing to unravel the immediate and remote cause of the incident. According to him: The government confirms the collapse of a three-storey building in Ekhator Street, off Ekosodin Road, beside the University of Benin, Ugbowo, Benin City. A rescue team was deployed to the site of the incident and all the trapped students have been rescued alive and are receiving medical attention. He added: Emergency Responders including the Edo State Fire Service and officials from the Edo State Command and Control Centre deployed to the scene of the accident have confirmed that there are no fatalities from the incident as at this time. Excavators and other heavy equipment are on site to clear the rubble and also remove the other parts of the distressed building. The government has ordered a full investigation into the immediate and remote causes of the collapse as well as an audit of other buildings in the area to ascertain their status. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later The state government has stationed officials at the site to provide updates on the incident. We appreciate the gallant work of the emergency responders and the good Samaritans in the community who provided assistance in managing the situation. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The National Information Technology Development Agency (NITDA) has advised Nigerians to acquire digital literacy to unlock opportunities and empower themselves. The Director-General of NITDA, Kashitu Abdullahi, made the call at the official kick-off ceremony of digital literacy for all by the agency in collaboration with the National Youth Service Corps (NYSC) at the Justice Idris Legbo Kutigi International Conference Centre, Minna. Mr Abdullahi said the use of digital devices will help traders market their products and to share information among themselves In his remarks, the permanent secretary of the Federal Ministry of Communications, Innovation, and Digital Economy, Faruk Yabo, said digital literacy is a key to unlocking opportunities, empowering individuals, and driving economic growth. He said the programme was designed for individuals aspiring to have high technical skills while the Digital Literacy for All initiative is aimed at providing basic skills to citizens across the federation to enable them to have access to government programmes and services The Minister of State, Federal Ministry of Youth Development, Ayodele Wisdom, said President Bola Tinubus administration is determined to bring governance to the doorsteps of every youth, adding that this is the time for them to know every aspect of the internet. He further added that they were ready to collaborate with state governments and organisations to drive the digital economy. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Mr Wisdom called on the youth to tap into the various digital initiatives to improve the economy of the country. The Director-General of the NYSC, Y.D Ahmed, said the digital economy plays a key role in the economic development of any nation as it promotes greater access to information to promote innovation that enhances productivity in various areas of endeavor. The scheme will work together with NITDA to train 30 million Nigerians before 2027, as well as encourage all stakeholders to key into the digital literacy for all, he added On its part, the Niger State Government said it would partner with key stakeholders to improve digital literacy in 75 per cent of the people in the state. Governor Umar Bago said going digital is the gateway to advancing the economy of the state and the country. Hence, he said the initiative is key and timely. He said because of the speed at which the country is going, there is a need to join the trend, calling on all parties to join the digital revolution. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Academic Staff Union of Universities (ASUU) has decried the alleged victimisation of its members in public universities across Nigeria. The zonal coordinator of ASUU in Kano, Abdulkadir Muhammad, a professor, stated this in a statement he made available to reporters on Saturday. He said lecturers were being victimised under the pretence of due process and adherence to the rules and regulations governing the Nigerian university system. Mr Muhammad said the victimisation is mainly perpetrated by university administrations with the endorsement of the Chairmen of the Governing Councils and Visitors of the universities. The obnoxious, unjust and callous victimisation and degradation of our members are more pronounced in Kogi State University (KSU), Anyingba; Lagos State University (LASU), Ojoo; and Ebonyi State University (EBSU), Abakaliki. Others include: Ambrose Alli University (AAU), Ekpoma; Federal University of Technology Owerri (FUTO); and Chukwuemeka Odumegu Ojukwu University (COOU), Igbariam. Kogi State University (KSU) In KSU, 120 members of ASUU have been unjustly dismissed for over seven years now, largely for demanding conducive working condition, better welfare package, payment of backlogged salaries and allowances and adequate funding of the university, the ASUU said in the statement. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Mr Muhammad said the affected members sought legal redress, but unfortunately the court ruled against them. ASUU-Kano Zone is particularly disturbed by the travesty of justice in this judgment delivered against our innocent members. However, the Union will continue to explore all legal avenues to ensure reinstatement of the sacked members in KSU, Mr Muhammad said. Mr Muhammad also cited a case in the Lagos State-owned LASU in 2017, where lecturers were allegedly dismissed for insisting on due process in running the affairs of the university. Lagos State University (LASU) In September 2017, the Chairperson and Vice Chairperson of ASUU-LASU were illegally dismissed by the then Vice Chancellor, Professor Lanre Fagbohun, mainly because of the Unions insistence on strict observance of due process in the running of the university affairs. In September 2019, the Secretary and Assistant Secretary of the union were also dismissed on the basis that they requested for the reversal of the wrongful promotion of Dr Fagbohun to the rank of professor. To add insult to injury, the Treasurer of the Branch was, on same date, also dismissed for demanding that her well-deserved promotion be properly dated. In February, 2022, under the new administration of Professor Ibiyemi Olatunji-Bello, two separate committees were set up and their final reports absolved the five ASUU leaders of any wrongdoing. Consequently, the Governing Council directed for their unconditional reinstatement with immediate effect. Following these tyranny and arbitrariness, the Visitor of LASU, Governor Babajide Sanwo-Olu, set up a visitation panel and all the relevant stakeholders, ASUU inclusive, submitted memoranda. Alas, the Governor has adamantly refused to release the White Paper of the Visitation Panel. Up till now, the affected members are yet to be reinstated, the ASUU decried in the statement. The statement added that at Ebonyi State University (EBSU), the immediate past chairperson of the branch, Ndubuisi Idenyi, was suspended by the university administration for (allegedly) convening a meeting of ASUUs Ethics, Grievances, Conflict and Crisis Management Committee. In the same vein, the Branch Chairperson, Comrade Ikecheku Okorie Igwenyi, was also suspended for convening an online meeting to convey NEC resolutions to members of the Branch. The Chairperson has also been denied his promotion to the professorial cadre since 2017. Worse still, the university administration has banned the Unions activities on the campus, which is a clear violation of the fundamental right to freedom of association as enshrined in the Nigerian Constitution. Conclusion The victimisation of ASUU members and crass violation of university rules and regulations are not peculiar to KSU, LASU and EBSU but are also obtainable in many others. ASUU-Kano Zone frowns at the dehumanisation, denial of promotion, non-payment of backlogged salaries and arrears, travesty of justice, denial of freedom of assembly and association, increased violation of other fundamental human rights of its members and all forms of victimisation in many public universities. We, therefore, call on the respective university administrations, Governing Councils and the Visitors of the affected universities to respect the university laws, respect freedom of assembly and association, end victimization of innocent intellectuals and their families, recall all the illegally suspended members and reinstate those unjustly dismissed, the statement stated. Premium Times could not immediately verify the claims made by the union as of the time the report was filed. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Two persons have been confirmed dead in a road accident along Onitsha-Enugu Expressway in Anambra State. The incident happened at about 7 p.m. on Thursday around Nteje, a community in the Oyi Local Government Area of the state. The spokesperson of the Federal Road Safety Corps (FRSC), Margaret Onabe, disclosed this in a statement on Saturday. The latest accident occurred about 24 hours after a speeding Toyota Sienna vehicle rammed into a market in Abagana, a community in the states Njikoka Local Government Area. At least three people died in the accident which occurred on Wednesday morning. How the latest accident occurred Ms Onabe, a route commander, said the latest accident involved a Toyota Camry with an IVECO Turbostar tanker. Three male adults and one female adult were involved in the crash. One female adult and one male adult were killed. None was injured, while two male adults were rescued unhurt, she said. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later The spokesperson attributed the crash to brake failure and loss of control. Before the arrival of the FRSC rescue team from RS5.33 Nteje arrived at the scene, they were informed by DTO Dunukofia that the killed victims had been taken to the mortuary. The rescue team managed traffic and ensured the two vehicles were towed away from the road, she said. The Sector Commander of the FRSC in Anambra State, Joyce Alexander, has sympathised with the family of the deceased victims, Ms Onabe said. Mrs Alexander, a corps commander, warned motorists to desist from disobeying traffic rules and regulations and ensure they service their vehicles regularly. The sector commander urged the motorists to drive to save their lives and lives of other road users. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Experts have said that the commencement of operations at Dangote Refinery will not lead to a significant drop in petrol prices in Nigeria. The experts, who spoke to PREMIUM TIMES in an interview on Thursday, said the refinerys operations will lead to a more stable and predictable supply of petroleum products, reducing the volatility in prices caused by importation and supply chain disruptions. However, they cautioned Nigerians against expecting a sudden and drastic drop in pump prices. Last Monday, Devakumar Edwin, vice president at Dangote Industries Limited, said the 650,000 barrels per day Dangote Refinery has begun the processing of petrol. Mr Edwin explained that the Nigerian National Petroleum Company Limited (NNPC Ltd), Nigerias sole importer, would buy its product exclusively. Speaking to reporters, the President of Dangote Group, Aliko Dangote, said the petrol refined from the refinery would hit filling stations across the country within 48 hours, depending on the NNPC Ltd. Speaking on the latest development with PREMIUM TIMES on Thursday, Dan Kunle, an energy expert, emphasised that the narrative of price crashing is wrong, noting that the pricing of petroleum products will still be determined by global market forces and other factors. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later This narrative of price crashing is a wrong narrative. Let me take you back to basic economics. Production capacity and supply capacity are the most important aspects of any goods or commodity or services that citizens enjoy. If the production capacity and the supply system is weak, you can never enjoy steady supply and steady price. The circumstance in which this country is today, the first thing Nigerians should look out for is the production capacity of petroleum products and the supply chain guarantee; it is then you can begin to see whether price can be stable and not be jumping every day and then you can begin to say, since the production capacity have scaled up so much, prices may begin to fall or to remain stable, Mr Kunle said. He explained that the stability of supply and production capacity will lead to a stable price, not necessarily a cheap one. So, when MTN came to market, MTN and Airtel, was the price of the telephone cheap? No it wasnt because it was at an entry level, it was a take off period, so for now, people should not carry this wrong narrative that because Dangote is refining crude oil locally, it means the price will be cheap. No, the price can be stable because there is something we call production capacity and supply capacity, he said. Mr Kunle said if Dangote refinery has production capacity but does not have guaranteed supply of crude, the raw material, at a reasonable price, the output will hardly translate to cheaper petrol. Its not possible in pragmatic economics, he said. According to him, if the cost of the crude is very high, the output of the products from crude will also be relatively high. If Dangote gets crude at international price and they translate it to naira, sufficient crude, 700,000 barrels per day and they translate it to naira, and they give him every day unfailingly, and he refines it, that gives Nigeria a stable source of supply of Premium Motor Spirit (PMS) and if that continues like that, you can now say, supply of PMS locally is now more than even excess, then the price may adjust reasonably down, depending on the cost of production of the crude, so the cost of PMS is a derivative of the cost of the crude. If crude oil is $100 per barrel and it costs Nigeria $48 to produce, that means when you give that crude oil to Dangote to refine, or to any refinery to refine, $100 multiplied by the exchange rate, because youre selling to him in naira, so when he adds his refining cost and everything, so how can the petrol per litre be cheaper than what it is now? It will be high. But if crude oil is $50 multiplied by the exchange rate you give it to him, plus his refining cost, the price again also will reflect the cost input of crude, he said. He said what Nigerians should be looking at henceforth, is that for the first time in history, the nation is going to have steady supply of petroleum products. That steady supply and stability will make you and me to plan because we will no longer go and spend one hour, two hours in petrol station, so you can now adjust your income, what we call income allocation, you can reallocate your income and say oh, this week, I will not spend more than N2,000 on petrol, this is what I will spend. Why? You could now plan. So the one hour you used to waste in the petrol station, you could now go and use it to do other things productively, he added. Also speaking, Muda Yusuf, director of the Centre for the Promotion of Private Enterprise (CPPE), noted that the pricing issue will depend on various factors, including the cost at which Dangote gets the crude. He stated that if the cost of crude is low, there may be a reduction in price, but if the cost is high, the price of the product will also be high. The pricing issue will depend on a number of factors. First it depends on the cost Dangote gets the crude. If the cost at which Dangote refinery get the crude is low, may be by virtue of concession given by NNPC or the condition given by the government then we are likely to see a reduction in price as a result of the coming on board of Dangote. Thats the principal factor because if crude oil is selling at $80 and Dangote has to buy crude at that maybe $80 or more, there is no way that can bring down the price of his product. Even the social environment at which we are, the NNPC at the current prices still subsidise fuel. So, unless the government is trying to subsidise the product for Dangote as well, Mr Yusuf said. He explained that the fact around Dangote is not enough to change the price; it depends on the condition under which he is able to get the crude. Government has offered NNPC to sell the crude in Naira, but we dont know at what exchange rate they are using, we dont know how much they are going to sell it per barrel. So, the major determinant will be the cost of the crude that will determine what will happen, he said. On Friday, the Nigerian government announced that loading of petrol from Dangote Refinery will begin on Sunday. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Agricultural experts from across Africa, last week, met in Rwanda for the annual Africa Food Systems (AFS) Summit to advance conversation on transformative agricultural practices and policies to achieve sustainable food systems across the continent. The summit, themed Innovate, Accelerate and Scale: Delivering Food Systems Transformation in a Digital and Climate Era held between 2-6 September. It brought together diverse stakeholders, including world leaders, investors, academia, farmers organisations, among others. The AFS Forum is the worlds premier forum for African agriculture and food systems organised annually to spotlight innovations, technologies, best practices, business models, policy delivery mechanisms, and investments to accelerate food systems transformation in Africa and beyond. With youth and women at the helms of this years gathering, the organiser said it also seeks to encourage greater high-level commitment to addressing challenges facing Africas food systems. Some of the experts and farmers who spoke at the different stages of the five-day summit, argued that improved finances and technology are potent ways to transform the challenging agricultural landscape in Africa. Across the different corridors/pavilions at the summit, growers of different commodities also showcased producer-friendly insurance, tractor hire scheme and solar power project initiatives from Heifer International and its strategic partners to decision makers present. From Nigeria, representatives of Sasakawa Africa Association (SAA) and the Kano State government, during their side meetings, showcased how sustainable agricultural practices (climate-smart models) being implemented under the Kano State Agro Pastoral Development Project (KSADP), are helping to transform the face of agricultural practices and farmers livelihood in the state. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Consequently, farmers who participated at the conference shared their stories, highlighting how strategic investments in technology and financing can significantly boost agricultural productivity, improve food security, and enhance livelihoods for millions of farmers across Africa. Heifers support Some farmers explained to delegates at the summit how catalytic financing and technology have empowered them to increase productivity and incomes, marking out a clear path to accelerated food systems transformation. Some of the farmers are partners of Heifer International, a global nonprofit organisation which leverages technology and innovation, and works with key government, development partners, and local communities to end hunger and poverty. At various side events during the forum, smallholder farmers within Heifers ecosystem outlined the organisations impact on their incomes. Agri-Insurance and Mechanisation According to a statement shared with PREMIUM TIMES, Felicia Gbukka, a rice farmer from Nigeria, narrated how Heifer-led initiatives in agriculture insurance and mechanisation enabled her to build resilience against losses and improve productivity. A heavy flood came in 2021 and my one hectare of farm was wiped out.Then Heifer and its partners came to Benue State and compensated those of us who had signed up for the insurance scheme. That money helped me purchase inputs for the next farming season. In 2022, I progressed to 10 hectares, the farmer was quoted to have said. Today, I have 15 hectares of rice farm. In two weeks, Ill start harvesting. The tractors scheme helped me a lot to increase productivity, then the insurance scheme helped me avoid bankruptcy. Partnering with key stakeholders such as Leadway Insurance, Olam Agri, Pula Advisors, and ThriveAgric, in Nigeria, Heifer said it created and funded a system that automatically triggers insurance payouts to smallholder farmers when certain conditions are met. The organisation said the compensation received via the Pay-at-Harvest Area Yield Index Insurance, a key vehicle of the initiative, enabled farmers like Ms Gbukka, and over 3000 others similarly affected smallholder farmers in Northern Nigeria, to refinance for the next farming season. Existing concerns In 2022, PREMIUM TIMES reported how the devastating flood that affected over 26 of Nigerias 36 states swept across expansive agricultural fields, destroying crops, businesses and infrastructures, leaving a dead toll of over 600 people according to authorities. Like in 2022, several farmlands were also submerged last year. Farmers across major agricultural heartlands recorded significant losses, although the impact of the floods was not as severe as the previous year. Nevertheless, the impact of the damage is evident in the state of food availability, accessibility and affordability across the country amidst significant surge in the prices of staple food largely orchestrated by government policies and changing weather conditions. This year, several farmers have also incurred significant losses due to drought (delayed rainfall ) and excessive rainfall in some parts of the country so far. Similarly, PREMIUM TIMES four-part investigation published recently revealed how lack of effective storage facilities, transportation systems and government negligence among others are aggravating post-harvest losses in Africas most populous country amidst lingering food scarcity. In the face of these challenges, Heifer noted that the tractor scheme that helped Ms Gbukka to build resilience in 2022 after the flood is a key part of its mechanisation initiative in Africa. In partnership with Hello Tractor, an Agritech company, a PAYG tractor financing service is facilitating affordable tractor rental services to smallholder farmers across Africa, Heifer said. Beneficiary farmers are recording increased incomes as high as 227%, equipment owners are seeing enhanced profitability, young people are getting jobs, and Hello Tractor is well positioned to attract investment that propels significant growth, Heifer said. Speaking to leaders at the event, Adesuwa Ifedi, Heifer Internationals Senior Vice President, African Programs, gave insights into the role of digital solutions in revolutionising Africas agriculture. She highlighted the importance of digital technologies in food processing, e-marketing, and food waste reduction. New data is emerging from the work done by innovators in the agtech space that can now be used to effectively assess the risk of investing in this sector and shift the way we price risk. Leveraging this new data can open the door to more investment into the sector,she said. Lekan Tobe, Heifer Internationals Country Director, Nigeria, said: Climate change can result in catastrophic losses for smallholder farmers, and we must work hard to close some of these gaps. For example, in Africa, we have seen cases where a whole village is wiped out by floods. This is why we, especially for smallholder farmers, prioritise removing some of these pain points. We can do more if we work together, he said. Solar-Powered Productivity Members of the Dwaniro Dairy Farmers Cooperative Ltd., one of the farming cooperatives Heifer works with in Uganda, told the Kigali conference about the huge impact that renewable energy investments had had on their incomes. Since Heifer came in and supported us with the use of solar components, said Charles Ibona, General Manager of the cooperatives processing plant. This, he said, significantly reduced our diesel costs, giving us more income as the money for diesel has been saved. Kawooya Steven, Production Manager of the cooperatives processing plant, said: Solar as a source of energy is more reliable. Our coolers need a reliable source of energy, so when with solar, we are very sure that our milk will be well preserved compared to using other sources of energy. Also, with solar, our production costs have gone down. Just by establishing a power station run by solar in a community, said William Matovu, Heifer Internationals Country Director, Uganda, we are not just lighting up the area, but also stimulating production and productivity. This is catalysing growth of other small businesses in the area, local schools are similarly affected, smallholder farmers are increasing their incomes and investing in the local economy. It is a game changer because the community has changed. For us, this is what impactful transformation looks like. Collaboration for Transformation During the forum, Heifer said its mechanisation initiative in Rwanda was also launched. In partnership with Hello Tractor and the Rwandan Ministry of Agriculture, the organisation said it handed over the first batch of 15 smart tractors to farmer representatives from across the country to boost agricultural productivity. On his part, Jehiel Oliver, Hello Tractor Founder , emphasised the initiatives potential to revolutionise agricultural productivity. These tractors will not only enhance efficiency but also support farmers nationwide, he stated. The groups said the mechanisation initiative combines technology with generations of local farming expertise, ensuring that new tools complement traditional practices and are adapted to local crops and environmental conditions. Sasakawa Intervention In his remarks, Kano State Governor Abba Yusuf, who was represented by the states Agriculture Commissioner, Danjuma Mahmoud, explained the collaborative efforts of organisations, particularly the Sasakawa Africa Association, in advancing food security and promoting agricultural transformation in Kano and Nigeria at large. He specifically highlighted the pivotal role of the KSADPan initiative of the state government with funding support from the Islamic Development Bank and the Lives and Livelihoods Funds. He said the KSADP initiative is driving the states agricultural development agenda while embracing climate-smart practices to ensure sustainability. The Kano State Agro Pastoral Development Project (KSADP) funded by the Islamic Development Bank and Lives and Livelihoods Funds has achieved massive gains, a statement issued by SAAs Communication Officer, Moses Nonegoatse, quoted the governor as saying. He added that the KSADP initiative efforts align with the governments agricultural development agenda and feed into their blueprint to reach smallholder farmers. We are opening new frontiers in agriculture and sustainability, and we are engaging the private sector, the statement said. Speaking during a side event themed Food systems transformation: Emerging insights from mega initiatives, Godwin Atser, SAAs country director, lamented that the poor adoption of innovative technology among farmers has kept agricultural production significantly lower in Nigeria. Sadly, Nigerian farmers are back where Indian farmers were in the early 1970s. Low productivity is a major cause of rural poverty, Mr Atser noted. He explained that there is a need to grow the agricultural sector to have a transformational impact on tackling poverty. While reiterating SAAs commitment towards boosting agricultural productivity across Africa, Mr Atser explained that agriculture contributes 2030 per cent of the Gross Domestic Products of several countries in Africa. So, if we achieve agricultural transformation as a country, 50% of our problems will be gone, he said. The official noted that they are leveraging funding support by the Nippon Foundation, to assist the governments efforts in achieving food, nutrition, and income security for smallholder farmers in Nigeria by promoting climate-smart technologies and the adoption of regenerative, market-oriented and nutrition-sensitive agriculture practices. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Lagos State Government has increased boarding fees for students of its secondary schools otherwise known as model colleges by 185.71 per cent. The new fee, which takes effect immediately, was raised from N35,000 to N100,000 per term. The announcement was made on Friday in a letter signed by the Director of Basic Education Services, Olufemi Asaolu, and addressed to all principals of the state government owned boarding schools in the state. Titled: Review of Boarding Fees in all Public Secondary Schools in Lagos State for 2024/2025 Session, the letter stated that the state government had approved the increase, warning schools against collecting additional fees from students. However, parents who spoke with PREMIUM TIMES on Saturday expressed shock and disappointment at the sudden increase amid the economic hardship being experienced by Nigerians. They lamented that the new fee is unaffordable, and have called on the state government to reconsider its decision. In 2021, the state government tried to increasethe boarding fees in all its model colleges from N25,000 to N50,000, before it was pegged at N35,000 after parent protested. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later While urging the government to review the decision, another parent, whose child just finished from one of the model colleges said apart from the boarding fees, the model colleges dont charge tuition or any other fee. Reaction A widow and parent of two kids at the Lagos State Junior Model College, Ojo, Favour Ezulu, says the fee hike is shocking and unfair for many parents, especially with the current economic realities. Ms Ezulu said she has already made a payment of N35,000 each for the new term which is starting on Sunday, before the announcement was made. She said: See, I am a BP patient and my husband died three years ago, I am the only one catering for my children. I sew bedding sets and curtains to make ends meet, but now the burden is getting too much on me. I have already paid N70,000 before they announced the increment last night, even if they want to increase, it shouldnt be as much as N100,000. It is too much, we need help. If they can put it at N50,000, I can still manage, there is no way I can afford N200,000 for two kids. Another parent who identified herself simply as Mrs Ojo also expressed frustration with the governments decision citing financial difficulties. The increment is too high and sudden. They didnt even stop at N50,000 or N70,000, they took it as high as N100,000 I have two kids at the Model College in Ojo, which is N200,000 per term, so it is not achievable, she said. On her part, Ijeoma Obidike, another parent, questioned the fee hike despite the economic crisis in the country. I got the news yesterday Friday and I was shocked asking questions like why increase fees for a public school even with the current economy? They should have considered us and wait till after the economy is back to normal, Ms Obidike said. The government did not state the reason(s) for such an increase of the fees in the memo, but only directed that schools implement immediately. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Labour Party (LP) in Anambra State has threatened to boycott the forthcoming 28 September local government elections in the state. The LP spokesperson in Anambra State, Theo Egbe, disclosed this during a press conference in Awka, the state capital, on Saturday. PREMIUM TIMES obtained a text of the conference. ANSIEC published APGA members as LP candidates On 11 September, Genevieve Osakwe, chairperson of the Anambra State Independent Electoral Commission (ANSIEC), released the final list of qualified candidates for the local government polls. Mrs Osakwe said that the candidates and political parties for the elections should begin their campaigns, adding that the list of candidates had been published as required by the Electoral Act 2022. However, in the Saturday statement, the LP spokesperson, Mr Egbe, accused ANSIEC of publishing the names of known members of the ruling APGA as LP candidates for the polls. Giving an instance, he said a member of the APGA and current transition chairperson of Orumba North Council Area of the state was on the list of persons published by the commission as LP candidates. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later PREMIUM TIMES could not independently verify the claim. The LP chieftain expressed surprise that ANSIEC published the list despite the fact that the party did not sell nomination forms, conduct primary elections, or submit its register or list of candidates to the commission. The Labour Party, Anambra State Chapter views this conduct of ANSIEC and Anambra State Government as a criminal assault on due process and a rape of the electoral laws of the land, as well as unwarranted provocation of the law-abiding members of Labour Party at large, he said. Court actions Mr Egbe, an engineer, said the LP has, in a letter dated 9 September, informed ANSIEC and people of Anambra State of its intention to boycott the exercise. He said the party has also notified authorities of the State Security Service in the state and the Commissioner of Police in Anambra State of the development. The spokesperson added that the LP had served the commission with a pending suit which the party filed against the State House of Assembly challenging the creation of ANSIEC and fixing of the polls. Mr Egbe hinted that the LP has also filed a separate lawsuit against the commission over the development, but did not give details. He maintained that the LP has a total lack of faith, trust and confidence in both ANSIEC and the process adopted for the polls, which the party argued was in violation of the Electoral Act, 2022. In conclusion, the Labour party family of Anambra State pledges to remain law-abiding, even in the face of these glaring absurdities, illegalities and crass provocations, and diligently commit to the legal pursuit of dismantling the sham branded Anambra LGA Council election via the law courts, he stated. Our appeal Mr Egbe said that despite the planned boycott and lawsuits, the LP has appealed to ANSIEC and the Anambra State Government to reschedule the election and prepare the electoral body to conduct it. This, he said, would enable the commission to conduct an election that will be based on the rule of law and due process, in which all political parties and voters alike shall meaningfully and procedurally participate. Anambra State has absolutely nothing to lose by rescheduling this unacceptable and inglorious proposed sham called LGA council election for a lawful and legal one to be conducted in the nearest future. Rather the state has so much to gain for it, the LP spokesperson added. ANSIEC silent Mrs Osakwe, the chairperson of ANSIEC, did not respond to calls on Saturday from a PREMIUM TIMES reporter seeking her comments. She had yet to respond to a text message seeking her comments as of the time of filing this report. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The addresses by world leaders speaking for eight billion human begins, began flowing at the United Nations headquarters in New York, on Tuesday, 10 September. The focus is on peace; how to save humanity from itself. That same day, a debate raged in the host country between its two leading presidential candidates, Donald Trump and Kamala Harris. Inevitably, they had to address the issue of peace, especially in the Palestine which houses symbolic cities of peace like Bethlehem and Jerusalem. It is a Holy Land where the blood of the innocent waters its fields, mountains and valleys. Harris advocated a ceasefire-for-hostage deal. She condemned the 7 October, 2023 Hamas attack on southern Israel but added that far too many innocent Palestinians have been killed by Israel. To all Harris talked about peace in the Palestine, Trump, the former Twitter-in-Chief, chirruped: She hates Israel! She hates Israel! She hates Israel! Then, he switched to: She also hates the Arab population! Trumps singsong about Harris hating Israel and the Palestinians while not clearly stating his own position, is not the product of a confused mind. Rather, it portrays Americas duplicitous position; a peace maker who favours one side. Expecting the US to broker peace in the Palestine, is like Waiting for Godot. The League of Nations was moulded from the furnace of the First World War with a primary purpose to end wars and create peace. That failed and, a bloodier World War Two erupted. The creation of the UN 79 years ago was again, to ensure universal peace. However, some of the world leaders now taking their turn to address the UN General Assembly on peace, are the very practitioners of bloody strife in the world. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Actually, calling for peace can be hazardous and can come at high cost. When Canadian legislator, Sarah Jama, advocated a ceasefire in the Israeli war in Gaza, she was accused of anti-Semitism and expelled from her Ontario New Democratic Party caucus. United Kingdom Member of Parliament, Paul Bristow was sacked as aide to the Secretary of State for Science, Innovation and Technology for calling for a ceasefire in the Palestine. Andy McDonald, Labour MP for Middlesbrough was suspended from the party when he said at a demonstration: We will not rest until we have justice. Until all people, Israelis and Palestinians , between the river and the sea, can live in peace. Jeremy Corbyn, British Labour Party leader for five years from 2015, was expelled for advocating a ceasefire in Gaza. The party termed his campaigns as anti-Semitism. He had to stand as an independent candidate to retain his Islington North seat. He was punished for desiring a British government that would on the world stage search for peace, not war. Sometimes, the cost for even suggesting peace, is capital punishment. Denys Kireyev, a 45-year-old Ukrainian banker on 23 February obtained information that Russia was going to attack Kiev the next day and that the Antonov Airport would be the centre of the attacks. His information was spot on and it enabled his country prepare for the attack. Five days later, he was part of the Ukrainian Negotiating Team that met with Russia in Gomel, Belarus. There, he toed a line for a ceasefire. Unfortunately, the Ukrainian government led by President Volodymyr Zelensky was not interested in peace; it believed that Russia has to be militarily defeated. A week later, Kireyev was invited by the Security Service of Ukraine, SBU, accused of being treasonable at the peace talks and was summarily executed with a shot in the head. The government lied that Kireyev, who had honoured an invitation, was killed while trying to avoid detention. An uncoordinated government then made a second announcement that he had died while on a special mission. Finally Ukraines Defense Ministry announced that Kireyev had died defending Ukraine and Heroes dont die! The point had been made: talk peace and die. This Tuesday, the President of the UN General Assembly, Mr. Dennis Francis reported to the human race that while in the last one year, he visited 31 countries, circumstances frustrated my desire to meet with Israelis and Palestinians on the ground. That admission that he could not visit the epicentre of ongoing conflicts in the world is like an admission that the UN is failing in its primary purpose of ensuring world peace. The Trinidadian diplomat noted with regret: Peace holds the foremost position, not just as a guiding principle but as the very raison detre, the alpha and omega, if you will, of the United Nations This organization was forged in the fires of two cataclysmic wars, with the solemn vow of sparing future generations from the scourge of war. There are no pretences that the major world leaders in Europe and North America do not want peace as evidenced by their insistence that even basic steps towards peace talks will not be taken in the bloody Russo-Ukrainian War. So, the fires of war are being deliberately stoked in many parts of the world. They include the European-North American War burning in Ukraine, the Middle East conflicts that have already sucked in Israel, Palestine, Lebanon, Yemen and Iran. There are the virtually forgotten wars in Syria, Sudan, Democratic Republic of Congo and, the low intensity combats in Mali, Burkina Faso, Niger, Chad, Nigeria and Myanmar. The armed conflicts in Somalia have been on since 1981 with two short periods of lull in the fighting. Direct armed conflicts are not the only danger to world peace. There are issues like unilateral actions taken against countries on the basis that powerful nations do not like their politics. Former Nigerian President Olusegun Obasanjo has decided to mediate in one of them. In a 3 September letter to US President Joe Biden, he wrote: It has been brought to my attention that Cuba is currently listed by the United States as one of the countries supporting or sponsoring terrorism globally. As my interaction and relationship with the government and people of Cuba is concerned, especially during and after the time of Fidel Castro, I want to appeal with you, President Biden, to reconsider Cubas inclusion on the list of countries supporting terrorism globally. I know and appreciate what contributions Cuba made to (the) final liquidation of colonialism and Apartheid in Africa. Cuba made the US terrorist list for actions like fighting the Apartheid military in Africa and, supporting liberation fighters like Nelson Mandela, Walter Sisulu, Ruth First, Joe Slovo and Oliver Thambo. These were people the US and UK classified as terrorists. Today, peace is broken universally, the challenges are picking up its pieces and how the UN can piece them together again. Owei Lakemfa, a former secretary general of African workers, is a human rights activist, journalist and author. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print A firefighter battles the wildfire, dubbed the Bridge Fire, in Mt. Baldy community area in Los Angeles County, California, the United States on Sept. 11, 2024. Bridge Fire, the largest wildfire in California as of Wednesday, exponentially exploded from 4,000 acres (16.2 square km) early Tuesday to nearly 48,000 acres (194.2 square km) with zero containment. According to the California Department of Forestry and Fire Protection (Cal Fire), the blaze, jumping in size over ten times in 24 hours, has expanded from Los Angeles County into neighboring San Bernardino County. (Photo by Qiu Chen/Xinhua) LOS ANGELES, Sept. 11 (Xinhua) -- Bridge Fire, the largest wildfire in California as of Wednesday, exponentially exploded from 4,000 acres (16.2 square km) early Tuesday to nearly 48,000 acres (194.2 square km) with zero containment. According to the California Department of Forestry and Fire Protection (Cal Fire), the blaze, jumping in size over ten times in 24 hours, has expanded from Los Angeles County into neighboring San Bernardino County. In an update Wednesday afternoon, officials said about 40 homes and cabins were destroyed in fire. There have been no reports of significant injuries or deaths. Bridge Fire is one of three major fires in southern California, along with Line Fire and Airport Fire, which all sparked amid an intense heatwave that brought triple digit temperatures and dry conditions to the region. Officials said a 34-year-old man was arrested on Tuesday. He was accused of sparking the Line Fire burning in the foothills of the San Bernardino National Forest, east of Los Angeles, which spanned over 34,600 acres (140.1 square km) at 14 percent containment as of Wednesday. Justin Wayne Halstenberg, a Fedex delivery driver, was allegedly started Bridge Fire intentionally on Sept. 5, but local authorities did not disclose how he did it. Meanwhile, Airport Fire, started Monday afternoon in Orange County, now stands at over 22,000 acres (89.1 square km) with zero containment, according to the latest Cal Fire data. The wildfire, dubbed the Bridge Fire, rages in Mt. Baldy community area in Los Angeles County, California, the United States on Sept. 11, 2024.(Photo by Qiu Chen/Xinhua) A firefighter battles the wildfire, dubbed the Bridge Fire, in Mt. Baldy community area in Los Angeles County, California, the United States on Sept. 11, 2024.(Photo by Qiu Chen/Xinhua) A firefighter battles the wildfire, dubbed the Bridge Fire, in Mt. Baldy community area in Los Angeles County, California, the United States on Sept. 11, 2024.(Photo by Qiu Chen/Xinhua) The wildfire, dubbed the Bridge Fire, rages in Mt. Baldy community area in Los Angeles County, California, the United States on Sept. 11, 2024.(Photo by Qiu Chen/Xinhua) Editor: WXY Nigeria has a rich tradition of identifying and supporting people struggling against colonialism. The National Association of Nigerian Students (NANS) in the 1980s played an important role in popularising the independence struggles for Western Saharans against Moroccan colonialism. NANS condemned Nigerias role in the abortion of the Organisation of African Unity (OAU) Heads of State Summit in Tripoli on August 5, 1982. The abortion was to, amongst others, stop the admission of WS into the OAU. In December 1982, NANS took the independence of WS at the same level it took the struggle for Namibia, South Africa and Palestine independence by demanding that the POLISARIO Front be recognised as the authentic and sole representative of Western Saharans. NANS equally demanded that government accorded the POLISARIO Front all the necessarily diplomatic entitlements worthy for a sovereign country. It also called on the government to provide political, diplomatic, material and financial support to the Front. General Muhammadu Buharis military regime played an important role in the admission of WS as a member of OAU. Professor Ibrahim Gambari, then Nigerian Foreign Affairs Minister, played a pivotal role in this respect. Apart from convincing the military to recognise WS as an independent country, he also participated actively in the bilateral and multilateral meetings amongst various Heads of States, which paved the way for OAUs recognition and admission of WS as a member on 11 November 11, 1984 at the 20th Summit of the then OAU. With the extreme political degeneration of NANS, the Society for International Relations Awareness (SIRA) and the Nigerian Movement for the Liberation of Western Sahara (NMLWS) amongst other organisations kept the struggle for the independence struggle of WS in Nigeria alive. These organisations successfully frustrated Moroccos bid to join the Economic Community of West African States (ECOWAS). Admitting Morocco into ECOWAS would have rationalised Moroccos colonisation, and paved the way for other African countries to politically legitimise its colonisation of WS. Secondly, it would have demoralised the POLISARIO Front and its allies all over the world. Thirdly, it would have reversed the gains of the Saharawi and non-Saharawi peoples for the independence of WS. Fourthly, it would have provided huge markets for Morocco to sell the looted products of WS. On 13 August, Nigerias foremost human rights and democracy activist, Femi Falana, presented a paper on The Legal Implications of Continued Colonialism in the World It was at the International Conference to Eradicate Colonialism in the World, organised by SIRA in Abuja. The paper is quite important as it critically examined the legal struggles for the independence of WS in the General Court of the European Union. There, three cases were brought against the European Union (EU). Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later The first, T-279/19, sought to annul the Council Decision 2019/217 of 28 January, 2019 on Agreement between the EU and Morocco. The second case, T-344/19, sought to annual the Council Decision 2019/441 of 4 March, 2019 on the conclusion of the EU-Morocco Sustainable Fisheries Partnership Agreement. The third, T-356/19, sought the annulment of the Council Regulation 2019/440 of 29 November, 2018, allocating the fishing opportunities under the Sustainable Fisheries Partnership Agreement. The rulings on these cases assert that the POLISARIO Front is the: legal personality as the internationally recognised representative of the people of Western Sahara. Secondly, that: Western Sahara has a status that is separate and distinct from Morocco and, as such, should be regarded as a third party to any EU-Morocco agreement. Thirdly, that any agreement on any issue relating to WS: necessarily requires the consent of the people of Western Sahara through their representation (and) that the consultations of the local population carried out by the EU institutions did not meet that requisite. In the African Court on Human and Peoples Rights (ACHPRs), an African, Bernard Mornah, brought a case against the Republics of Benin, Burkina Faso, Cote dIvoire, Ghana, Mali, Malawi, Tanzania, and Tunisia. The case was filed on the Applicants behalf by Falana Chambers. The applicant argued that these states abdicated their international obligations by admitting Morocco into the AU without any conditions requesting it to end its occupation and colonisation of WS. That, by so doing, they failed to safeguard the sovereignty, independence, and territorial integrity of SADR. The Court passed a landmark judgement, which stated that: Moroccos occupation of Western Sahara is a violation of the right to self-determination and independence, and that all States have an obligation to assist the people of Western Sahara in their struggle for self-determination. Secondly, that: several other autonomous human rights were violated by Morocco in Western Sahara, including the right to dispose of natural resources. Thirdly, that the continued colonisation of WS by Morocco: is incompatible with the right to self-determination of the people of SADR. Fourthly, that while the eight States did not themselves directly violate the rights of the Saharawi people, they do have an obligation to assist the people of Western Sahara in their struggle for self-determination. Fifthly, that the eight states, and all Member States of the AU: have the responsibility under international law, to find a permanent solution to the occupation and to ensure the enjoyment of the inalienable right to self-determination of the Sahrawi people and not to do anything that would give recognition to such occupation as lawful or impede their enjoyment of this right. Falana, on these basis, submitted that that the ACHPRs: echoes the International Court of Justice and the Court of Justice of the European Union. The combined effect of these rulings is that the occupation of part of the territory of Western Sahara by Morocco cannot be justified under international law. Put differently, that: Morocco is not competent to exploit any of the vast natural resources in Western Sahara as they belong to the Saharawi people. Falana proposed intense legal actions be brought against all African States to: stop the importation of fish, phosphate and other products from Western Sahara without the consent and authority of the POLISARIO Front. Also, that the gas pipeline between Nigeria and Morocco must not be allowed to pass through the territory of Western Sahara without the consent and authority of the Polisario Front. Falana also urged that the fifteen African countries that have established consulates in Western Sahara should be: exposed and challenged with a view to closing down the consulates. Further, that African progressive forces should mount pressure on the African Union to comply with the judgment of the African Court by adopting measures to end the illegal occupation of the territory of Western Sahara by Morocco. Furthermore, Falana called on SADR to make a Declaration to enable Western Saharans to access the African Court on Human and Peoples Rights in line with article 34(6) of the Protocol for the Establishment of the African Court. The Saharawi journey to independence has been a long and torturous one but they will reach their destination and, a country like Nigeria has the duty to assist them to do so, by any means necessary. Ahmed Aminu-Ramatu Yusuf worked as deputy director, Cabinet Affairs Office, The Presidency, and retired as General Manager (Administration), Nigerian Meteorological Agency, (NiMet). Email: aaramatuyusuf@yahoo.com Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print When President Tinubu unveiled plans to construct the Lagos Calabar coastal highway, he began arguably one of Africas most gigantic undertakings in decades. Poised to be a transformative piece of infrastructure, the Nigerian government says the strategic road will enhance connectivity and boost economic growth along the coastal states by bolstering trade, tourism, and agriculture by providing a direct and efficient route for transporting goods and people. It will span 700 kilometres, cost a whopping N15 trillion and the timeline given is eight years. For a project so promising, its facing controversies and criticisms over transparency and prioritisation way too early. It may likely run into the same land mines that plagued its predecessors, such as the Lagos Ibadan expressway. With prominent figures like former Vice President Atiku Abubakar questioning the procurement process and cost estimates, many Nigerians now label the project as potentially wasteful and lacking due process. For some, the project could be Tinubus attempt to outshine an old predecessor from his home zone, the South-West region of the country, on whose watch the Lagos Ibadan expressway was completed decades ago. Although, the Presidency has repeatedly churned out reassurances, mere words are no match for the 45-year long back-and-forth that plagued the Lagos Ibadan expressway project which remains fresh in the Nigerian psyche. Looking Back Commissioned in 1978, the Lagos Ibadan expressway was West Africas first dual-carriageway. The then military government of Olusegun Obasanjo intended to bolster Nigerias transportation infrastructure and facilitate seamless travel between Lagos, the then commercial capital, and Ibadan, one of its largest cities. It symbolised modernisation expected to revolutionise logistics, significantly cutting down the time and cost of moving goods and people between the bustling metropolis of Lagos and the hinterland, thus boosting economic growth. However, the road soon became synonymous with chronic delays, safety hazards, and infrastructural decay. Over the years, it has suffered from poor maintenance, rampant traffic congestion and numerous accidents. Despite several attempts at rehabilitation by multiple administrations, the project has faced persistent setbacks due to corruption, inadequate funding, and mismanagement. In 2013, the Goodluck Jonathan administration took a major step to save the day. It terminated the concession of the expressway, which his predecessor, Umaru YarAdua, granted to the Bi-Courtney consortium in May 2009. As a responsible government, I dont think we can allow Nigerians to continue to suffer. All of us in government feel pained that the country is held to ransom because of a transaction that probably was not consummated properly because of some kind of issues. But we cannot continue like this. We will intervene on that road, Jonathan explained. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later The then Minister of Works, Mike Onolememen, clarified that the Federal Government had to terminate the road concession to Bi-Courtney due to the failure of the company to reach financial closure as provided for in the agreement. Thereafter, it engaged the services of Julius Berger Plc and R.C.C Nigeria Limited to commence work immediately on the reconstruction of the expressway. However, that did little to improve the situation. The systemic challenges persisted. For instance, despite the initial allocation of funds, the project frequently encountered budget cuts and delays in fund disbursement. Although N30 billion was allocated to the project, only N11 billion was eventually made available due to cuts by the eighth National Assembly in 2017. There were also logistical hurdles, including land acquisition issues, utility relocations and frequent theft of construction materials. Due to the long lag time, new requirements such as the construction of toll gates and flyovers to accommodate growing traffic and religious institutions along the route sprang up. These necessitated additional funding and extended timelines, too. There were also accusations of poor oversight and coordination. As of early 2024, after expenses of over N311 billion, the project still remains uncompleted. Deja Vu While it may be too early to christen the Lagos Calabar Coastal project as another Lagos Ibadan expressway waiting to happen, however the signs are overwhelmingly clear. The first is citizens buy-in. Though some Nigerians commended the idea of a major road linking the South West and the South South parts of the country, a sizeable number are yet to understand why the government wants to pursue such a massive project when many interstate roads lie in total decay. Perhaps the most controversial issue is procurement. Staunch critics such as former Vice President Atiku Abubakar have raised concerns about the lack of a competitive bidding process that, he said, contravenes the 2007 Public Procurement Act. The Federal Government contracted Hitech Construction Ltd to execute the project. Hitech is owned by a Nigerian Lebanese business magnate, Gilbert Chagoury, who is President Tinubus personal confidant. Abubakar also drew attention to another startling fact: the presidents son, Seyi Tinubu, sits on the board of CDK Integrated Industries, a subsidiary of Chagoury Group. He argued that such affiliations constitute a conflict of interest. While some do not frown at awarding contracts to deserving political allies who can deliver on the job, concerns lie in disallowing other qualified contractors a fighting chance for the contract of the century. Emanating from that is the challenge of the legitimacy of the projects numbers. Constructing the coastal highway will cost 15 trillion, leaving Nigerians wondering if they got the best deal. The Nigerian government says it has approved 1.06 trillion to construct the first few kilometres covering Eko Atlantic to Lekki Deep Seaport. The Minister of Works Dave Umahi says the concrete-based road will cost 4 billion per kilometre. However, there are doubts about this estimate as the bidding process was not competitive. This reality pits the government against the Nigerian public over a perceived lack of transparency. Another disputed promise on the project is its eight-year completion timeline, which critics say is the governments way of selling a pipe dream to Nigerians. With projects such as the Lagos Ibadan expressway ,which remains under construction more than ten years later still fresh in their collective memory, many citizens do not believe the coastal road can be completed within the stipulated time frame. But its not all gloom and doom yet. Having started on the wrong foot, the government and all stakeholders can take the below certain steps to win back buy-in, repair trust and deliver the project: 1. Transparency One of the significant issues with the Lagos Ibadan expressway was the lack of transparency in the awarding of contracts, which led to delays and suspicions of perceived corruption. For the Lagos Calabar Coastal project, the government has already faced criticism for by-passing a competitive bidding processes, as seen with the direct awarding of the contract to Hitech Construction Ltd., a company linked to associates of President Tinubu. While it may be impractical to return to competitive bidding, the government can be more open with its numbers and progress and ensure timely delivery in every phase of the project to win back trust in the projects integrity. With just under seven and a half more years to go, this approach will minimise the risk of inflated costs and ensure that the project wins the buy-in of contiguous communities along its path. 2. Comprehensive Environmental and Social Impact Assessments (ESIA) The Lagos Ibadan expressway faced significant backlash due to the insufficient consideration of environmental and social impacts, leading to widespread discontent among affected communities. Similarly, the Lagos Calabar Coastal project commenced without a comprehensive ESIA, resulting in public outcry and legal challenges over the demolition of residential and commercial edifices. Critics argue that the lack of initial assessments could lead to long-term environmental and social issues, such as the demolition of properties without adequate compensation. It is not too late to conduct a thorough ESIA before proceeding with construction. These assessments help identify potential environmental and social impacts early, allowing for the development of mitigation strategies to address these concerns. Engaging with the myriads of soon-to-be affected communities and stakeholders transparently ensures their voices are heard and their rights are protected. 3. Realistic Timelines and Cost Projections The Lagos Ibadan expressways prolonged construction timeline and escalating costs have been a significant source of frustration. The initial timelines were overly optimistic, failing to account for potential delays and financial uncertainties. The Lagos Calabar Coastal project is similarly ambitious, with an eight-year completion goal and significant financial projections that critics argue are unrealistic given the projects scope and todays economic environment. President Tinubu must readjust his expectations and those of Nigerians by setting realistic timelines and cost estimates. Transparent communication by the Nigerian government about projected costs and timelines, along with periodic updates, can help manage public expectations and promote accountability. Conclusion As the Nigerian government pushes forward with the coastal highway, ensuring transparency, stakeholder engagement, and efficient management will be crucial to avoid repeating previous mistakes and genuinely uplift Nigerias infrastructure landscape. Some ways to do this is through early, inclusive stakeholder involvement, open accountability through periodic assessment, prioritising only plans that are in line with the projects strategy. Tunde Ajia is an infrastructure advisory and project strategy consultant. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Governor Dauda Lawal has reassured the Nigerian Army of his governments commitment to supporting the troops in Zamfara State. The governor pledged the support when he hosted the Chief of Defence Staff (CDS), Christopher Gwabin Musa, a General of the Nigerian Armed Forces, on Friday at the old council chamber of the government house in Gusau. A statement by the governors spokesperson, Sulaiman Bala Idris, revealed that the Chief of Defence Staff announced the new code name for the joint task force: Operation Fansan Yamma, into which all other operations in the Northwest have been collapsed. The statement added that Operation Fansan Yamma North-West is a code name with a strong message of hope, signifying that the troops are always prepared to crush banditry in the zone. In his remark, Governor Lawal commended the CDS for showing commitment to tackling insecurity in the country. He stated: General, your presence in Zamfara today has significantly transformed the perception of the army. You have greatly boosted our morale, and the people are now filled with hope. This visit is something we would never take for granted. My government is fully committed to cooperating with the army and other security agencies in Zamfara State. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later With your comment, I am optimistic that peace will return to Zamfara. You went to school here, and you witnessed its peaceful nature in the past. Gusau used to be the second largest commercial centre in the North after Kano State. I appreciate your willingness to work with the states Community Protection Guards (CPG). Please note that all CPG guards were carefully selected and underwent rigorous profiling by the DSS and other related agencies. We are fully supportive of the armys efforts in the fight against banditry. The guards have been deployed to their local areas, where they are familiar with the terrain. We have complete confidence in the armys dedication and believe they will continue to intensify their efforts. I would like to use this opportunity to express my condolences for the loss of the brave officers who tragically lost their lives along the Gusau to Funtua road. May their souls rest in peace. I want to reassure you that my government stands with you, and we will continue to work closely with the army and other security agencies to put an end to banditry, Governor Lawal added. Earlier, Mr Musa, the CDS, had expressed his gratitude to Governor Lawal for his support of the Nigerian Armed Forces and other security agencies in the state. We are here to express our appreciation for your commitment to the Armed Forces and security agencies as well as your valuable contributions to Zamfara state. I used to boast that I knew Gusau quite well, but honestly, now that I am here, I am confused. Its remarkable to see how much development has taken place in just one year under your leadership. I want to say thank you for improving the lives of the people. This is the benefit of democracy. We have witnessed a lot of developments and ongoing constructions in the state since you took over. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Six communities in Gassol Local Government Area of Taraba State, have accused Sanusi Daggash, a former senator from Borno State, of grabbing their land. Mr Daggash represented the Borno North District in the Senate between 1999 and 2007 on the platform of the Peoples Democratic Party (PDP). Former President Musa YarAdua later appointed him as minister of national planning after he lost his bid to return to the upper chamber for the third time. As a senator, he served in various committees like population commission and national identity card, FCT, capital market, loans and debts, among others. The communities alleged that Mr Daggash connived with traditional rulers in Gassol to grab over 5,000 hectares of land without paying the ancestral owners any compensation. Allegation The residents on Monday staged a protest in Gassol over their claims. Their spokesperson, Hudu Kashimu, at a press conference on Thursday said Mr Daggash claimed to have obtained a Certificate of Occupancy (CofO) for their land without their consent. On Monday, the protesters, from Kwatan Taru, Natride, Dinyawo, Wurbo, Kiyari and Sendride, held placards with different inscriptions and vowed to resist the land grab. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Controversies Mr Kashimu said the land belongs to peasant farmers who, with their forefathers, have used the land for over 100 years. He said a former minister of agriculture and water resources, the late Bukar Shuaibu, during a visit to the Upper Benue River Basin site in Gassol in 1984, begged the late Emir of Muri, Umaru Tukur, for a portion of land for his personal use. Tukur graciously directed the then district head of Gassol to allocate a parcel of land to Shuaibu. Acting on the directive of the emir, the then district head of Gassol further directed the village head of Sendride to allocate a parcel of land near the Upper Benue River Basin Development Authority to Shuaibu, which covered only 200 hectares. We were also told that after Shuaibus death, his family sold the land to one Sanusi Daggash, and all this were done without the consent of the original owners of the land We were equally told that the said land became a subject of controversy and litigation between the Taraba State government, some elders of Gassol community and Daggash over ownership and the number of hectares of the said land, until 2023 when former Governor Darius Ishaku ordered the revocation of the controversial land. After the revocation of the land, Daggash and his wife met with Ishaku and pleaded for a portion of the land to be given to him for his personal farming business. We were also informed that during the meeting, Ishaku directed the state surveyor-general to allocate only 200 hectares of land to the senator. Surprisingly to us, after Ishaku left office, Daggash went to court to challenge the initial revocation by Ishaku, claiming over 5,000 hectares of our land. We the original owners of the land from the aforementioned villages and communities hereby, reject in total the seizure of our land by Daggash or his agents We are therefore calling on the state governor, Agbu Kefas, to stop Daggash or his agents from encroaching on our land, Mr Kashimu said. Also speaking Idris Adamu, a community leader, accused the traditional ruler (Lamido) of Gassol, Idi Chiroma, of using his position to take over land in his chiefdom. Chiroma reacts Reacting, the Secretary of the Gassol traditional council, Inusa Gassol, who spoke on behalf of Mr Chiroma, called on the aggrieved communities to remain calm and desist from acts capable of creating tension in the chiefdom. Mr Gassol said the leadership of the community was surprised to hear the allegation against an innocent chief who was not part of the said land allocation. The state government and the Gassol traditional council are fully in the picture of the land matter involving Sanusi Daggash and some indigenes of Gassol, which is currently before the court of law. As a traditional father, I appeal to the protesters to remain calm and be law abiding, as there are rooms for dialogue that could lead to the amicable resolution of the land matter As a father of all, I have not taken side in the matter but will rather be fair to all parties in the interest of peace, he said. Repeated calls to Mr Daggashs two known phone numbers did not connect as of Friday. He also did not respond to text messages sent to his phone requesting his reaction. However, one of his friends who spoke on the condition of anonymity said, the senator will not speak on the matter since it is before the court. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The North East Development Commission (NEDC) has distributed relief materials to communities that were affected by flooding and insurgency in Yobe recently. The State Coordinator of the Commission, Ali Abbas, made this known during the handover of the items to benefiting communities in Damaturu on Saturday. He said the gesture was on behalf of NEDC Managing Director, Mohammed Alkali, who is working closely with Governor Mai Mala Buni on humanitarian and development interventions in Yobe. You may recall that recently, we experienced flooding, as well as insurgent attacks in Mafa community in Tarmuwa Local Government. The MD and his team visited these sites last week to inspect the situation and communicate with the affected people, Mr Abbas said. He added that the MD instructed the commission to distribute food and non-food items to the affected communities to fill the gaps in the governments efforts. Mr Abbas listed the donated items to include two hundred 25kg bags of rice, two hundred cartons each of macaroni and spaghetti, and two hundred pieces of blankets. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Others were two hundred pieces of nylon mats, two hundred pieces of shadda for men, two hundred pieces of womens wrappers, and two hundred pieces of childrens wear. According to him, the flood-affected communities included Jumbam, Wagir, Nangere, Nguru, Kaligari, and Mafa, a community recently attacked by insurgents. A representative of the Tarmuwa Local Government people, Mala Musty, expressed appreciation for the gesture. He appealed for more support from other sympathisers within and outside the state, saying the devastation was significant and the people were in desperate need. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Minister of the Federal Capital Territory (FCT), Nyesom Wike, has attributed the rising cost of housing accommodation in FCT to market forces. Mr Wike stated this in Abuja on Saturday when he visited Zeberced Limited Quarry, Kubwa-Abuja on Saturday, to see what the company was doing. While responding to journalists questions about what the FCT Administration was doing to address the high rent for accommodation in Abuja, the minister noted that everything has increased in cost. According to him, no government legislates on rents for houses, but market forces. Mr Wike said, You are aware that everything has actually increased. The man who built the houses didnt build that he will not make profit at the end of the day. I dont agree with people always complaining about rent increases. There are increases in everything today. So, rent should not be singled out. You want us to make a law and say that a 2-bedroom flat should not be more than N50,000 or N100,000 for example. I dont think that will encourage investors. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later We are not running a socialist or communist system; we are running a capitalist economy, and these are determined by market forces. The minister however added that the government could come in with some regulations, saying, but cannot totally take over the housing processes. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Governor Douye Diri of Bayelsa State has urged the federal government to take action against the flooding menace in the country by building dams and dredging rivers. He made this call during a meeting on Friday in Yenagoa, the state capital, with first-class traditional rulers in Bayelsa. Mr Diri stated that the major river channels had become shallow and required dredging to increase their water-carrying capacity. He emphasised that the federal government must go beyond issuing warnings about impending floods and take concrete measures, such as dredging rivers and building dams, to mitigate the impact of flooding in Nigeria. The governors remarks followed an appeal by Bubaraye Dakolo, chairperson of the Bayelsa State Traditional Rulers Council and Ibenanaowei of Ekpetiama Kingdom. A devastating flood on Tuesday killed 37 and displaced over 414,000 people in Maiduguri, Borno State. In Abuja, two people were killed as a flood devastated homes and infrastructure in a community in the FCT. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Weve experienced flooding every year for the past six years, but this is by far the worst, the Chairperson of the Agwan Hakimi Landlord Association, Funmilayo Patrick, said of the flood disaster in the community. We are used to it, but losing lives has left us shaken. Homes have been submerged, destroyed, and were terrified of what the next rainfall might bring. Diri lifts suspension on traditional ruler During the meeting with the traditional rulers, Governor Diri announced the lifting of the suspension on Azibakayam Wongo, the paramount ruler of Otuokpoti community in Ogbia Local Government Area. He highlighted the importance of collaboration between the traditional institution and the state and local governments in maintaining peace. The governor expressed appreciation for the traditional rulers support and assured them that his administration is open to their counsel. He urged them to maintain the integrity of the traditional institution. Mr Dakolo, the chairperson of the traditional rulers council, explained that the visit was to congratulate the governor on his Supreme Court victory, which he said reflected the wishes of the people of Bayelsa. He also commended the governor for working closely with traditional rulers and expressed their readiness to continue collaborating with the government on the development of Bayelsa. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Minister in charge of Nigerias Federal Capital Territory (FCT), Nyesom Wike, has disclosed that he has a family relationship with the Resident Electoral Commissioner of INEC in Edo State, Anugbum Onuoha. There had been speculations that Mr Onuoha was Mr Wikes associate. But speaking on a Channels TV programme, Politics Today, on Friday night, Mr Wike said the Edo INEC REC is his cousin who, however, has the wherewithal to act independently, unencumbered by family relationships or political interests. He described Mr Onuoha as a personality whose decisions on duty cannot be influenced by anyone. He said the REC would do what is right in his handling of the Edo governorship election no matter the preferences of anyone else. Mr Wike also said he told Governor Godwin Obaseki of Edo State that he would not support Asue Ighodalo, the governorship candidate of the Peoples Democratic Party (PDP) in next Saturdays election in the state. I told him (Governor Obaseki) I am not going to support Ighodalo, Mr Wike stated on Friday in an interview on Channels television. The minister said Governor Obaseki brought the PDP candidate to his house in Abuja two times and asked for his support, which he declined. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later While serving as governor of Rivers State, Mr Wike was the chairperson of Mr Obasekis re-election campaign in Edo State in 2020. The former Rivers governor recalled how a former inspector general of police ordered him to leave Edo State, where he was campaigning for Mr Obasekis second term, but he refused. After winning the election, Mr Obaseki fell apart with Mr Wike. Mr Obaseki told Mr Wike then that the PDP was not his personal property and that In Edo, we dont accept political bullies and overlords. Asked why he turned down Mr Obasekis request to support the PDP candidate, Mr Wike said, The one I supported never helped me; rather, I was insulted. This suggests that he turned down Mr Obasekis request based on his 2020 experience. INEC REC The chairperson of the PDP in Edo State, Anthony Aziegbemi, on Thursday, demanded the redeployment of the INEC REC in the state, Mr Onuoha, alleging that the REC is Mr Wikes associate. Mr Wike acknowledged that the REC is his cousin, but said that even the chairperson of INEC cannot influence the decision of the REC. He reminded the Edo PDP chairperson that he (Mr Wike) played a role in his becoming the partys chairperson. When his governor came to meet me to support Mr Ighodalo, was he there? They didnt know that the REC was my cousin? When Mr Obaseki brought Mr Ighodalo to my house two times to support him, they didnt know that the REC was my cousin? It is just today that they just knew that he is my cousin? He was posted more than one year ago. Mr Wike said after supporting Mr Obaseki in 2020, he (Wike) became a stupid man and added that he does not like ingrate in life. He said he would also not support the APC candidate for the Edo governorship election because he (Wike) is not a member of the APC. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Labour Party (LP) in Anambra East Local Government Area has threatened to boycott the 28 September local elections in the area. The Chairperson of the LP in the council area, Chidi Chinwuba, and the party secretary, Onyeka Aghadiuno, disclosed this in a statement issued in Awka on Friday. The statement urged the public to disregard any list published by the Anambra State Independent Electoral Commission (ANSIEC) that included any LP candidate in the council area. According to the statement, the list did not originate from the party. It explained that the LP neither conducted any primaries to nominate candidates nor submitted any names to ANSIEC. Therefore, the party chieftains asked the commission to delist any flagbearer purportedly on the LPs platform for the polls in the area. They further threatened legal action against the commission should it publish the names of any LP candidates in the area for the exercise. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later LP Anambra East Chapter wishes to disassociate itself from the 28 September Local Government elections in the state. The party, through its rightful leadership, has issued a statement that we, as a party, are not participating in the election. The general public is hereby urged to disregard the list published by ANSIEC as the names attached to the LP in Anambra East did not originate from the party, they stated. They further warned that anyone dealing with these individuals does so at their own risk, adding that the party in the area would not be held liable for any negative occurrences. They alleged that ANSIECs inclusion of the partys candidates for the polls suggests a desperation to involve parties in an already compromised election. Those responsible for this are mischief makers seeking to deceive the innocent and law-abiding citizens of Anambra East Local Government Area and Anambra State, the statement added. It further disclosed that the partys state chapter was already in court, challenging the election process, and hence, would not participate in the exercise. On 11 September, ANSIEC Chairperson Genevieve Osakwe released the final list of qualified candidates for the council polls. Mrs Osakwe said that the candidates and political parties for the elections should begin their campaigns, adding that the list of candidates had been published as required by the Electoral Act. She urged the public to visit ANSIEC headquarters or the Local Government Areas to verify the names of the candidates contesting for various positions. She assured the electorate that the election would be free, fair and credible. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Nkomoro Onuogba Nike community, Enugu East Local Government Area, Enugu State, has appealed to former minister of power, Barth Nnaji, to stop demolishing their ancestral homes and farmland. They made the appeal during a news conference on Friday in Enugu, alleging that the former minister had forcefully taken over their ancestral land. The community members carried placards with inscriptions such as Barth Nnaji has rendered our people homeless, Gov. Peter Mbah, please save us; and Barth Nnaji leave our land alone. The Nkorom Onuogba community, which migrated from Ezza in Ebonyi State, appealed to Governor Peter Mbah to prevent them from becoming homeless. The communitys claim Speaking through a representative, Stephen Itumo, the community claimed it had lived in the area for decades and regretted that Mr Nnaji emerged from nowhere to demolish their homes. According to Mr Itumo, former minister of power, stormed the community with armed security personnel numbering over 100 and eight bulldozers pulling down their houses and leveling their farmlands. He said the community had a land dispute with the Onuogba community, which then sold the land to Titus Alinta. Article Page with Financial Support Promotion Nigerians need credible journalism. Help us report it. Support journalism driven by facts, created by Nigerians for Nigerians. Our thorough, researched reporting relies on the support of readers like you. Help us maintain free and accessible news for all with a small donation. Every contribution guarantees that we can keep delivering important stories no paywalls, just quality journalism. SUPPORT NOW x Do this later Mr Itumo said the matter was pending in courts and wondered why Mr Nnaji was the one demolishing their property. We woke up Monday morning to find hundreds of police men and bulldozers in our community, pulling down our houses. This land belongs to us. We will not let anyone take it away from us. When they came to execute the order in 2013, they demolished 264 buildings and raped our women, Mr Itumo alleged. Mr Itumo narrated how they migrated to Enugu State. Nkwubor people, a neighbouring community, had a land dispute with Onuogba Nike around 1958 that made Onuogba Nike people approach my people in Ebonyi State who were warriors to help them defeat Nkwubor people. We came, fought the war, and defeated their enemies, which made them settle our forefathers with this land after a written agreement and oath-taking. Counsel to the community, Jessie-Daniels Onuigbo, said the dispute dated back to 1974 when the Onuogba Nike community, neighbours to the Nkomoro, filed a suit in court claiming ownership of the land. The court gave judgment in favour of the Onuogba Nike community, but the Nkomoro community appealed. The Court of Appeal upheld the judgment, but the Nkomoro community was not satisfied and appealed to the Supreme Court. According to Ms Onuigbo, the appeal is still pending at the Supreme Court, but Mr Nnaji, who allegedly bought the disputed land from Mr Alinta, has been trying to take possession of the land by force. We were not represented in court when Prof. Nnaji got a judgment to demolish the homes. We have filed an appeal against the judgment, and we are waiting for the court to decide on the matter, Ms Onuigbo explained. Counter-claim from Nnaji Reacting, Mr Nnaji, said he had been the owner of the land for over 20 years as he bought the land from Mr Alinta in 2000. Mr Nnaji who spoke through his surveyor, Okwuchukwu Nnaji, said Mr Alinta purchased the land from Onuogba community in 1977 and got Certificate of Occupancy in 1987 before transferring the ownership to Mr Nnaji in 2000. He said Alinta went to court with Nkomoro Onuogba community, adding that when he died, his children continued with the matter until 2013 when judgment was given at the Enugu State High. He said the execution order took effect immediately. READ ALSO: Enugu govt explains how much private schools should pay as taxes It was in the process of executing that order that Catholic Bishop of Enugu Diocese, Bishop Onaga intervened. But, the settlement did not work out. Hence, the recent demolition was in continuation of that execution order. They have no evidence of stay of execution order and the land in dispute is approximately 103 hectares. I have letter of authority from Mr Nnaji to parcellate the land, he told the News Agency of Nigeria. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Adela's tissue-agnostic MRD test has been clinically validated to predict and surveil for recurrence in patients with head & neck cancer, regardless of HPV status The test identified recurrences in head & neck cancer up to 14.9 months before standard of care clinical exam and imaging FOSTER CITY, Calif., Sept. 14, 2024 /PRNewswire/ -- Adela, Inc., an innovator in blood testing for molecular residual disease (MRD) monitoring and early cancer detection through a proprietary genome-wide methylome enrichment technology, has successfully completed clinical validation of its MRD test to predict and surveil for recurrence in head & neck cancer. Results have been published in Annals of Oncology1 and are being presented during an Oral Presentation at the European Society for Medical Oncology (ESMO) Congress 2024. "Blood-based MRD testing has the potential to transform recurrence monitoring for patients with head & neck cancer. Currently, the majority of recurrences are not detected until symptoms or physical findings are reported by the patient2. By identifying signals of disease prior to clinical presentation or routine imaging with MRD testing, recurrences can be treated earlier and more effectively," said Geoffrey Liu, MSc, MD, Medical Oncologist and Senior Scientist, Princess Margaret Cancer Centre, University Health Network (UHN). "The clinical validation results from Adela's MRD test demonstrate that the test identified recurrences up to 14.9 months earlier than standard of care clinical exam and imaging." The ability of Adela's test to quantify cfDNA cancer signal and predict and surveil for recurrence was evaluated in individuals diagnosed with stage I-IVB human papillomavirus (HPV)-positive oropharyngeal and HPV-negative head and neck cancer treated at Princess Margaret Cancer Centre, University Health Network. This comprehensive patient cohort, based on rigorous data collection, provided a strong foundation for evaluating the test's performance. The full study cohort included >1,100 plasma samples collected from >300 patients. 484 plasma samples from 149 patients were included in the validation analysis. In the study, blood draws occurred before and after curative intent treatment, and in a subset of patients, at mean 12 and 24 months post-curative intent treatment. In the validation analysis, Adela's MRD test demonstrated the ability to identify recurrences up to 14.9 months before standard of care clinical exam and imaging, with a mean lead time of 4.1 months. Sensitivity for recurrence in the surveillance setting was 91% at 88% specificity. Significant differences in recurrence-free survival (RFS) were observed when patients were stratified by MRD positivity (HR of 35.7 (P<0.001)). MRD status showed significant association with RFS in both HPV-positive and HPV-negative disease. "We are highly encouraged by the validation results of Adela's MRD test, demonstrating strong test performance without the added complexity of obtaining a patient's tumor tissue sample or building an individualized panel," said Dr. Anne-Renee Hartman, Chief Medical Officer of Adela. "For patients with head and neck cancer, in particular, tumor tissue samples are often not available. A blood-only, tissue-agnostic offering such as Adela's is the only way to make MRD testing universally accessible to those who will benefit." "These strong validation results exemplify the ability of Adela's genome-wide methylome enrichment platform to maximize detection of cancer signal in the blood by efficiently capturing and preserving the information-rich methylated regions of the genome. This single liquid biopsy platform can be applied across cancer types, and we look forward to replicating these promising results in additional cancer types in the near future," said Dr. Daniel De Carvalho, Chief Scientific Officer of Adela and Senior Scientist at Princess Margaret Cancer Centre, University Health Network. A Research Use Only (RUO) version of Adela's MRD test is currently available to biopharmaceutical companies and other investigators for use in biomarker discovery and drug development. Adela plans to commercialize the test in 2025 for use in patients who have received curative intent treatment for head & neck cancer, regardless of HPV status, to detect recurrence earlier and help guide treatment decision-making. Presentation Details Presentation Number 64O - Clinical validation of a tissue-agnostic genome-wide methylome enrichment MRD assay for head and neck cancers Shao Hui Huang, MD, MSc, MRT(T) 3 Saturday September 14, 2024 15:35 PM CEST Salamanca Auditorium, Hall 5 About Adela Adela is developing best-in-class technology to accelerate the diagnosis and improve the management of cancer through blood tests for minimal residual disease (MRD) monitoring and multi-cancer early detection (MCED). Adela's genome-wide methylome enrichment platform, which utilizes the company's patented cfMeDIP-seq platform, efficiently captures extensive, biologically-relevant genomic information to maximize test performance and improve treatment decisions. The company's platform specifically isolates the information-rich (methylated) regions of the genome through a high-affinity enrichment process, enabling it to capture and preserve more genomic material for sequencing compared to other platforms that use enzymatic or chemical treatment (bisulfite conversion). This comprehensive view of the methylome significantly increases the opportunities for Adela's products to detect cancer signals in the blood compared to approaches that target a smaller set of genomic regions. It also enables enhanced prognostic and predictive abilities, as well as dynamic monitoring in patients over time. Adela's approach to MRD testing is tissue agnostic, eliminating the burden of acquiring a tumor sample. Adela's investors are F-Prime Capital, OrbiMed, Deerfield Management, Decheng Capital, RA Capital Management, and Labcorp. Find more information at adelabio.com. About UHN UHN is Canada's No. 1 hospital and the world's No. 1 publicly funded hospital. With 10 sites and more than 20,000 members of TeamUHN, UHN consists of Toronto General Hospital, Toronto Western Hospital, Princess Margaret Cancer Centre, Toronto Rehabilitation Institute, The Michener Institute of Education at UHN and West Park Healthcare Centre. As Canada's top research hospital, the scope of research and complexity of cases at UHN have made it a national and international source for discovery, education and patient care. 1 Liu G et al., Clinical validation of a tissue-agnostic genome-wide methylome enrichment MRD assay for head and neck malignancies. Annals of Oncology. 2024. https://doi.org/10.1016/j.annonc.2024.08.2348 2 Agrawal A et al., Role of the physician versus the patient in the detection of recurrent disease following treatment for head and neck cancer. Laryngoscope. 2004 Feb;114(2):232-5. doi: 10.1097/00005537-200402000-00011. 3 Princess Margaret Cancer Centre, University Health Network, Toronto, ON, Canada SOURCE Adela BRONX, N.Y., Sept. 13, 2024 /PRNewswire/ -- A court authorized this Notice. This is not a Solicitation from a lawyer. An $8.85 million settlement has been reached in a class action lawsuit filed against Conopco, Inc., and Unilever United States, Inc. (together, "Defendants"). The Settlement provides cash payments to eligible Settlement Class Members. What is this lawsuit about? Plaintiffs filed this lawsuit against the Defendants alleging the Defendants' labeling of Breyers Natural Vanilla ice cream gave consumers the impression the ice cream contained vanilla flavor derived only from the vanilla plant and not from non-vanilla plant sources, and allege that it contained non-vanilla plant vanilla flavors. The Defendants dispute all of these allegations and deny any wrongdoing. The Court has not decided who is right. Who is included in the Settlement? You may be included in the Settlement if you purchased the Product (Breyers Natural Vanilla ice cream) in any size in the United States from April 21, 2016 through August 14, 2024. A complete list of the Products can be found at www.VanillaIceCreamSettlement.com. What are the Settlement Benefits? As a result of the Settlement, the Defendants have agreed to create a Settlement Fund of $8,850,000. Cash payments from the Settlement Fund will be paid to each Settlement Class Member who submits a valid and timely Claim Form. Monetary Benefits : Settlement Class Members who submit a valid and timely Claim Form by February 19, 2025 are eligible for a cash payment. Valid Claims with Proof of Purchase will receive $1.00 for each Product, with no Product number limit. Valid Claims without Proof of Purchase will receive $1.00 for each Product, up to a maximum of 8 Products. If you are a Settlement Class Member and submit a Valid Claim for Products with both Proof of Purchase and without Proof of Purchase, the cash payment benefits will be combined. Each Household is limited to and may only submit one single Claim Form. Settlement Class Members who submit a valid and timely Claim Form by are eligible for a cash payment. will receive for each Product, with no Product number limit. will receive for each Product, If you are a Settlement Class Member and submit a Valid Claim for Products with both Proof of Purchase without Proof of Purchase, the cash payment benefits will be combined. Injunctive (Non-Monetary) Benefit : Within 12 months of the Settlement becoming final, the Defendants will be required to develop a new Product formula that does not include vanilla derived from non-vanilla plant sources. How can I get a payment? If you believe you are a Settlement Class Member and want to obtain a cash payment from the Settlement, you will need to complete and return a valid and timely Claim Form. The Claim Forms, and information on how to submit them, are available on the Settlement website at www.VanillaIceCreamSettlement.com. Claim Forms must be postmarked (if mailed) or received (if submitted online) on or before February 19, 2025. What are your options? If you want to keep the right to sue or continue to sue the Released Parties for the legal claims in this lawsuit, and you do not want to receive a cash payment from this Settlement, you must take steps to exclude yourself from the Settlement. To request to be excluded from the Settlement, you must fill out the Exclusion Form found on the Settlement Website at www.VanillaIceCreamSettlement.com or you can mail/email a written request for exclusion by October 31, 2024 to the Claims Administrator. If you choose to exclude yourself from the Settlement, you will not be bound by the Settlement or any judgment in this lawsuit. Information on how to mail/email your exclusion request can be found on the Settlement Website or by calling 1-888-603-5137. If you are a Settlement Class Member, and have not excluded yourself from the Settlement, you can object to the Settlement if you don't like any part of it. The deadline to object is October 31, 2024. What happens next? The Court will hold a Fairness Hearing on November 21, 2024, to consider whether the Settlement is fair, reasonable and adequate. If there are objections, the Court will consider them. You may attend the Final Approval Hearing, but you are not required to do so. You may also pay your own lawyer to attend and speak at the hearing, but that is not necessary. The Court may change these deadlines or the hearing date (and time). Check the website below for updates. Please do not call the Court or the Clerk of the Court for information about the Settlement. For more information, call 1-888-603-5137 or visit www.VanillaIceCreamSettlement.com. URL: www.VanillaIceCreamSettlement.com SOURCE Supreme Court of the State of New York, Brox County NEW YORK, Sept. 13, 2024 /PRNewswire/ -- TO: RECORD AND BENEFICIAL HOLDERS OF JERNIGAN CAPITAL, INC. ("JERNIGAN CAPITAL") COMMON STOCK FROM AUGUST 3, 2020, THROUGH AND INCLUDING NOVEMBER 6, 2020, THE DATE OF THE CONSUMMATION OF JERNIGAN CAPITAL'S MERGER WITH NEXTPOINT ADVISORS, L.P. (THE "MERGER"), INCLUDING ANY AND ALL OF THEIR RESPECTIVE SUCCESSORS-IN-INTEREST, SUCCESSORS, PREDECESSORS-IN-INTEREST, PREDECESSORS, REPRESENTATIVES, TRUSTEES, EXECUTORS, ADMINISTRATORS, ESTATES, HEIRS, ASSIGNS AND TRANSFEREES, IMMEDIATE AND REMOTE, AND ANY PERSON OR ENTITY ACTING FOR OR ON BEHALF OF, OR CLAIMING UNDER, ANY OF THEM, AND EACH OF THEM, TOGETHER WITH THEIR PREDECESSORS-IN-INTEREST, PREDECESSORS, SUCCESSORS-IN-INTEREST, SUCCESSORS, AND ASSIGNS (THE "CLASS"). THE PARTIES TO A SHAREHOLDER CLASS ACTION SUIT CONCERNING THE MERGER HAVE AGREED TO A PROPOSED SETTLEMENT. YOU MAY BE ENTITLED TO COMPENSATION AS A RESULT OF THE PROPOSED SETTLEMENT IN THE BELOW CAPTIONED: IN RE JERNIGAN CAPITAL, INC., SHAREHOLDER LITIGATION, Lead Case No. CH-20-1472-II YOU ARE HEREBY NOTIFIED, pursuant to an Order of the Court, that the above-captioned action has been provisionally certified as a class action and that a settlement for $3,925,000.00 has been proposed (the "Settlement"). Under the Settlement, the settlement amount, minus any Court-approved attorneys' fees not to exceed one third of the Settlement Fund, and incentive awards of $5,000.00 for each Plaintiff, expenses not to exceed $100,000.00, will be distributed on a per share basis to Class members who owned shares of Jernigan Capital common stock from August 3, 2020, through and including November 6, 2020, the date of the consummation of the Merger. A hearing will be held before Chancellor James R. Newsom III, at the Chancery Court for the State of Tennessee for the Thirteenth Judicial District in Memphis, Shelby County Courthouse, via Zoom at: https://www.zoomgov.com/j/16020156999?pwd=aitzNDBDOWFLb08xWHRsZDA4RkN0dz0 (Meeting ID: 160 2015 6999 / Passcode: Bike), at 10:30 AM Central Time on December 13, 2024, to determine whether the Settlement should be approved by the court as fair, reasonable, and adequate, and to consider the application of Co-Lead Counsel for attorneys' fees and reimbursement of expenses and incentive awards for the named Plaintiffs (the "Settlement Hearing"). IF YOU ARE A MEMBER OF THE CLASS DESCRIBED ABOVE, YOUR RIGHTS WILL BE AFFECTED BY THIS SETTLEMENT. IF THE COURT APPROVES THE SETTLEMENT, YOU WILL BE FOREVER BARRED FROM PURSUING THE RELEASED CLAIMS. You may obtain copies of the Stipulation of the Agreement of Settlement, Compromise, and Release, a detailed Notice of Pendency of Class Action, Proposed Settlement, Settlement Hearing, and Right to Appear (the "Notice"), and instructions concerning your right to appear and object to the Settlement or award of attorneys' fees by visiting https://www.rg2claims.com/jernigan.html or contacting Co-Lead Counsel: MONTEVERDE & ASSOCIATES PC Juan E. Monteverde The Empire State Building 350 Fifth Avenue, Suite 4740 New York, NY 10118 Tel: (212) 971-1341 Fax: (212) 202-7880 KAHN SWICK & FOTI, LLC Michael J. Palestina 1100 Poydras St., Suite 960 New Orleans, LA 70163 Tel: (504) 648-1843 Fax: (866) 467-1400 As described more fully in the Notice, you need not file a written objection in order to object and may appear at the Settlement Hearing personally to make an oral objection. In the event there is a written objection it shall be filed with the Court and served upon Co-Lead Counsel above such that they are received no later than twenty-one (21) calendar days prior to the Settlement Hearing, or no later than November 22, 2024. If you want to be excluded from the Class and Settlement, you must make a request in writing no later than twenty-one (21) calendar days prior to the Settlement Hearing, or no later than November 22, 2024. Further information may be obtained by contacting the Co-Lead Counsel listed above. PLEASE DO NOT CALL THE COURT. By Order of The Court SOURCE Monteverde & Associates PC SAN DIEGO, Sept. 14, 2024 /PRNewswire/ -- As homeowners in San Diego, we're fortunate to live in one of the most desirable locations in the country. With our perfect weather and stunning landscapes, it's no wonder many of us are looking to make the most of our living spaces. Whether you're considering a remodel to create additional living space for your growing family or planning to add square footage as an investment, this guide will help you navigate the process and make informed decisions. The San Diego Advantage San Diego's real estate market continues to be robust, making home improvements a smart investment. Not only can you enhance your quality of life, but you can also significantly increase your property's value. With the city's strict zoning laws and the scarcity of new developable land, improving your existing home is often the most practical and cost-effective way to get the space you need. Design-Build vs. Owner-Build: What's the Difference? When embarking on a remodeling project, one of the first decisions you'll face is whether to go with a design-build firm or manage the project yourself. Let's break down the pros and cons: Design-Build Advantages: Streamlined process with a single point of contact Cohesive team working together from start to finish Typically faster completion times Better budget control and fewer surprises Expertise in navigating San Diego's unique building codes and regulations Owner-Build Considerations: Potentially lower costs if you have construction experience, and don't make mistakes. More direct control over every aspect of the project Requires significant time commitment and project management skills Likely longer timelines due to resources and unexpected challenges. Increased responsibility for ensuring code compliance, and payments for mistakes made in-field. Limited or no warranty or support. For most San Diego homeowners, partnering with a reputable design-build firm offers the smoothest path to achieving their remodeling goals. These firms bring a wealth of local knowledge and experience, ensuring your project not only meets your needs but also complies with all local regulations. Spotlight on Excellence: Murray Lampert Design, Build, Remodel When it comes to design-build firms in San Diego, one name stands out for its longevity, expertise, and commitment to customer satisfaction: Murray Lampert Design, Build, Remodel. With nearly five decades of experience serving the San Diego community, Murray Lampert has established itself as the premier choice for homeowners looking to transform their living spaces. What sets Murray Lampert apart? Family-Owned and Operated: As a family business, Murray Lampert understands the importance of home. Their slogan, "Our Family, Your Home," reflects their personal commitment to each project. Comprehensive Design Development: Unlike some competitors who may rush through the planning phase or provide less un-front value. Murray Lampert places a strong emphasis on thorough design development. This attention to detail often results in smoother projects and fewer costly changes down the line. Local Expertise: With 49 years of accredited experience in San Diego , Murray Lampert has an unparalleled understanding of local building codes, permit processes, and architectural styles that are indicative to San Diego's costal lifestyle, and historical preservation. BBB Affiliation: As an affiliate of the Better Business Bureau, Murray Lampert demonstrates its commitment to ethical business practices and customer satisfaction. Transparent Pricing: Murray Lampert's comprehensive approach ensures that homeowners understand the full scope and cost of their project from the start, avoiding unexpected expenses. Some firms may seem less expensive initially. The Murray Lampert Difference Choosing Murray Lampert means partnering with a team that treats your home as if it were their own. Their design-build process is tailored to San Diego's unique environment and lifestyle, ensuring that your remodel not only adds value but also enhances your enjoyment of our beautiful city. From coastal cottages in La Jolla to mid-century modern homes in Point Loma, Murray Lampert has the expertise to handle a wide range of projects. Whether you're looking to add a second story, create an open-concept living area, or build an accessory dwelling unit (ADU), their team will guide you through every step of the process. https://youtu.be/fib7-SOSOYM Making the Most of Your Remodel Regardless of which firm you choose, here are some tips to make the most of your San Diego home remodel: Consider Indoor-Outdoor Living: San Diego's climate is perfect for blending interior and exterior spaces. Think about adding sliding glass doors, patios, or rooftop decks to maximize your living area. Prioritize Energy Efficiency: With California's focus on sustainability, incorporating energy-efficient features can not only reduce your bills but also increase your home's value. Plan For the Future: If you're adding square footage, consider how your needs might change over time. Flexible spaces that can be adapted to different uses are always a smart investment. Don't Forget the Details: In San Diego's competitive real estate market, small touches like high-quality finishes and smart home technology can make a big difference. Respect Your Neighborhood: While personalizing your space is important, consider how your remodel fits within the context of your neighborhood. A cohesive look can boost curb appeal and property value. With the right design, Design-Build is an exciting journey, specially creating a space that you'll love in a city as beautiful as San Diego. By choosing a reputable design-build firm like Murray Lampert, you're not just improving your home; you're investing in your future and enhancing your San Diego lifestyle. Remember, when it comes to transforming your living space, experience matters. With Murray Lampert's nearly five decades of serving San Diego families, you can trust that your home is in good hands. So, whether you're dreaming of a spacious kitchen for entertaining, a serene master suite retreat, or a versatile home office with ocean views, take the first step towards making it a reality. Your perfect San Diego home awaits and with the right team, the journey can be as rewarding as the destination. Visit us online: https://murraylampert.com/our-process/ Stay connected with Murray Lampert Design Build Remodel on Social Media. Facebook: Murray Lampert Design, Build, Remodel | San Diego CA | Facebook Instagram: Murray Lampert (@murraylampert) Instagram photos and videos X: Murray Lampert (@murraylampert) / X Houzz: MURRAY LAMPERT DESIGN, BUILD, REMODEL - Project Photos & Reviews - San Diego, CA US | Houzz SOURCE Murray Lampert Design, Build, Remodel WASHINGTON, Sept. 14, 2024 /PRNewswire/ -- The Congressional Hispanic Caucus Institute (CHCI) is honored to announce that President Joe Biden and Vice President Kamala D. Harris will offer remarks at this year's Leadership Conference and Annual Awards Gala as celebrations of Hispanic Heritage Month kick off throughout the country. President Biden will attend the Annual Awards Gala on Thursday, September 19th, and Vice President Harris will attend the Leadership Conference on Wednesday, September 18th. Their remarks will be part of CHCI's signature multi-day event, which is themed "Latino Excellence in Action: Innovation, Influence, Representation." "It is an honor to have President Biden and Vice President Harris participate in this year's CHCI Leadership Conference and Gala as we celebrate Hispanic Heritage Month," said CHCI Board Chair Rep. Adriano Espaillat. "Their participation is a powerful reminder of the Administration's consistent work to deliver for Latino families and communities. We are appreciative of their ongoing efforts and are honored to have them join this year's events." "Both President Biden's and Vice President Harris' dedicated work and historic achievements speak to the power of perseverance, and their commitment to using public service to deliver for families reflects a central value of our leadership programs at CHCI," said Marco A. Davis, CHCI President and CEO. "We look forward to welcoming them to the Conference and Awards Gala, where their insights will inspire our network of leaders who will continue to shape the future of our nation." Additional honored guests at the Leadership Conference and Annual Awards Gala include Biden-Harris administration officials, members of Congress, celebrities, and Hispanic opinion leaders. Onsite press credentials and interview requests with select honorees, musical talent, participants, and organizational leadership may be available upon request. CHCI 2024 Leadership Conference Host Sponsors Amazon Genentech 47th Annual CHCI Awards Gala Host Sponsor Bank of America ### ABOUT CHCI The Congressional Hispanic Caucus Institute (CHCI) is the premier Hispanic nonprofit and nonpartisan 501(c)(3) organization in the country dedicated to developing the next generation of Latino leaders. CHCI provides leadership, public service, and policy experiences to outstanding Latino/a/x students and young professionals, and convenes Members of Congress, other public officials, corporate executives, nonprofit advocates, and thought leaders to discuss issues facing the nation and the Hispanic community. Visit CHCI.org and follow us @CHCI on social media. SOURCE Congressional Hispanic Caucus Institute FRANKFURT, Germany, Sept. 13, 2024 /PRNewswire/ -- China Petroleum & Chemical Corporation (Sinopec, HKG: 0386) has been honored with the Best Environmental Protection Case award at the First Sino-European Corporate ESG Best Practice Conference in Frankfurt, Germany. The event, held at Congress Center Messe Frankfurt, recognized Sinopec's outstanding contributions to sustainable development. Sinopec Wins Best Environmental Protection Case at the First Sino-European Corporate ESG Best Practice Conference in Frankfurt. Themed Together for the Future, the conference showcased top cases in ten categories, including environmental protection, social responsibility, corporate governance, and technological innovation. It aimed to foster dialogue and cooperation on sustainable development between Chinese and European businesses and deepen understanding of China's advancements among German and European industrial communities. KPMG served as the knowledge partner, providing professional services throughout the selection process. The event was co-organized by several prominent institutions, including the China Chamber of Commerce for Import and Export of Machinery and Electronic Products, China International Publishing Group, Frankfurt Chamber of Commerce, Frankfurt International Auto Parts Exhibition, and the German-Chinese Economic Association. This recognition not only underscores Sinopec's leadership in environmental stewardship but also reflects its ongoing efforts towards achieving a more sustainable future through innovative practices across all aspects of business operation, from governance to social responsibilities. Yongsheng Yu, Managing Director of Sinopec Group Branding Department, underscoring the company's dedication to embedding environmental sustainability into its core operations. Emphasizing their commitment to the mantra "Cleaner Energy, Better Life," Sinopec has strategically adopted Environmental, Social, and Governance (ESG) principles as a cornerstone of its business strategy. Sinopec, one of the world's top refining companies and the second-largest chemical product producer and marketer, strives to balance development between its enterprise and societal welfare. Since 2007, with its first sustainability report released for the following 18 consecutive years, the company has been enhancing its ESG governance to address global challenges like climate change and energy security while also adapting to trends such as the electrification of transportation and steadily promoting energy transformation. In tackling global challenges such as climate change and energy security, Sinopec's Board of Directors has continually improved its ESG governance structure, energetically implemented a green and clean development strategy, and progressively advanced energy transition while embracing the electrification trend in transportation energy. Sinopec will continue to work towards being a key player in the creation of a clean and beautiful world, a trailblazer in green and low-carbon development, and a protector of shared planet. SOURCE SINOPEC NYSE: VZLA TSX-V: VZLA VANCOUVER, BC, Sept. 13, 2024 /PRNewswire/ - Vizsla Silver Corp. (TSXV: VZLA) (NYSE: VZLA) (Frankfurt: 0G3) ("Vizsla Silver" or the "Company") announced today that it has updated its at-the-market equity program (the "ATM Program") to offer and sell up to US$100 million of common shares of the Company ("Common Shares") to the public, from time to time, through the Agents (as defined below). Sales of Common Shares, if any, will be made pursuant to the terms of an equity distribution agreement dated September 13, 2024 (the "Equity Distribution Agreement") among the Company and Canaccord Genuity and CIBC Capital Markets, as lead agents, and National Bank Financial and BMO Capital Markets (collectively, the "Agents"), on the TSX Venture Exchange and the NYSE American, and/or any other marketplace for the Common Shares in Canada or the United States or as otherwise agreed between the Agents and the Company. The sales of Common Shares under the ATM Program, if any, will be determined at the Company's sole discretion, and at the market price prevailing at the time of each sale. The offering of Common Shares under the ATM Program is being made pursuant to a prospectus supplement dated September 13, 2024 (the "Prospectus Supplement") to the Company's final short form base shelf prospectus filed in all provinces and territories of Canada dated March 31, 2023 (the "Base Shelf Prospectus"), and pursuant to a prospectus supplement dated September 13, 2024 (the "U.S. Prospectus Supplement") to the Company's U.S. base prospectus (the "U.S. Base Prospectus") included in its registration statement on Form F-10 (the "Registration Statement") (File No. 333-270533) filed with the United States Securities and Exchange Commission on April 4, 2023 (collectively, the Prospectus Supplement, Base Shelf Prospectus, U.S. Prospectus Supplement, the U.S. Base Prospectus and Registration Statement, the "Offering Documents"). The Company intends to use the net proceeds from the ATM Program, if any, to fund ongoing work programs to advance the Panuco Project, for working capital and for general corporate purposes. The ATM Program will be effective until the earlier of the date on which (i) the issuance and sale of all of the Common Shares issuable pursuant to the ATM Program have been completed, and (ii) the receipt issued for the Base Shelf Prospectus ceases to be effective, unless earlier terminated prior to such date by the Company or the Agents in accordance with the terms of the Equity Distribution Agreement. Concurrent with entering into the Equity Distribution Agreement, the Company's previously announced at-the-market equity distribution agreement dated March 26, 2024 was terminated. "It is prudent for Vizsla Silver to have an ATM Program available for optionality," commented Michael Konnert, President & CEO. "The Company never utilized the ATM that it had in place from April 2021 until March 2023." The Prospectus Supplement, the Base Shelf Prospectus and the Equity Distribution Agreement are available at www.sedarplus.ca and the U.S. Prospectus Supplement, the U.S. Base Prospectus and the Registration Statement are available at www.sec.gov. Alternatively, the Agents will send copies of the Prospectus Supplement and the Base Shelf Prospectus or the U.S. Prospectus Supplement and the U.S. Base Prospectus, as applicable, upon request by contacting: Canaccord Genuity Corp., Suite 2100, 40 Temperance Street, Toronto, Ontario M5H 0B4 or by email at [email protected]. Potential investors should read the Offering Documents before making an investment decision. Such documents contain important information about the ATM Program. No securities regulatory authority has either approved or disapproved of the contents of this press release. This press release is for information purposes only and does not constitute an offer to sell or the solicitation of an offer to buy the Common Shares, nor shall there be any sale of these securities in any state or jurisdiction in which such offer, solicitation or sale would be unlawful prior to registration or qualification under the securities laws of any such state or jurisdiction. About Vizsla Silver Vizsla Silver is a Canadian mineral exploration and development company headquartered in Vancouver, BC, focused on advancing its flagship, 100%-owned Panuco silver-gold project located in Sinaloa, Mexico. To date, Vizsla Silver has completed over 380,000 metres of drilling at Panuco leading to the discovery of several new high-grade veins. For 2024, Vizsla Silver has budgeted +45,000 metres of resource/discovery based drilling designed to upgrade and expand the mineral resource, as well as test other high priority targets across the district. Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. SPECIAL NOTE REGARDING FORWARD LOOKING STATEMENTS This news release includes certain "ForwardLooking Statements" within the meaning of the United States Private Securities Litigation Reform Act of 1995 and "forwardlooking information" under applicable Canadian securities laws. When used in this news release, the words "anticipate", "believe", "estimate", "expect", "target", "plan", "forecast", "may", "would", "could", "schedule" and similar words or expressions, identify forwardlooking statements or information. These forwardlooking statements or information relate to, among other things: the issuance, sale and distribution of Common Shares pursuant to the ATM Program, including the price, volume and timing of any distributions; the intended use of net proceeds from the ATM Program, if any; and other statements that are not historical facts. Forwardlooking statements and forwardlooking information relating to any future mineral production, liquidity, enhanced value and capital markets profile of Vizsla Silver, future growth potential for Vizsla Silver and its business, and future exploration plans are based on management's reasonable assumptions, estimates, expectations, analyses and opinions, which are based on management's experience and perception of trends, current conditions and expected developments, and other factors that management believes are relevant and reasonable in the circumstances, but which may prove to be incorrect. Assumptions have been made regarding, among other things, the price of silver, gold, and other metals; costs of exploration and development; the estimated costs of development of exploration projects; Vizsla Silver's ability to operate in a safe and effective manner and its ability to obtain financing on reasonable terms. These statements reflect Vizsla Silver's respective current views with respect to future events and are necessarily based upon a number of other assumptions and estimates that, while considered reasonable by management, are inherently subject to significant business, economic, competitive, political and social uncertainties and contingencies. Many factors, both known and unknown, could cause actual results, performance, or achievements to be materially different from the results, performance or achievements that are or may be expressed or implied by such forwardlooking statements or forward-looking information and Vizsla Silver has made assumptions and estimates based on or related to many of these factors. Such factors include, without limitation: the Company's dependence on one mineral project; precious metals price volatility; risks associated with the conduct of the Company's mining activities in Mexico; regulatory, consent or permitting delays; risks relating to reliance on the Company's management team and outside contractors; risks regarding mineral resources and reserves; the Company's inability to obtain insurance to cover all risks, on a commercially reasonable basis or at all; currency fluctuations; risks regarding the failure to generate sufficient cash flow from operations; risks relating to project financing and equity issuances; risks and unknowns inherent in all mining projects, including the inaccuracy of reserves and resources, metallurgical recoveries and capital and operating costs of such projects; contests over title to properties, particularly title to undeveloped properties; laws and regulations governing the environment, health and safety; operating or technical difficulties in connection with mining or development activities; employee relations, labour unrest or unavailability; the Company's interactions with surrounding communities and artisanal miners; the Company's ability to successfully integrate acquired assets; the speculative nature of exploration and development, including the risks of diminishing quantities or grades of reserves; stock market volatility; conflicts of interest among certain directors and officers; lack of liquidity for shareholders of the Company; litigation risk; and the factors identified under the caption "Risk Factors" in Vizsla Silver's management discussion and analysis. Readers are cautioned against attributing undue certainty to forwardlooking statements or forward-looking information. Although Vizsla Silver has attempted to identify important factors that could cause actual results to differ materially, there may be other factors that cause results not to be anticipated, estimated or intended. Vizsla Silver does not intend, and does not assume any obligation, to update these forwardlooking statements or forward-looking information to reflect changes in assumptions or changes in circumstances or any other events affecting such statements or information, other than as required by applicable law. SOURCE Vizsla Silver Corp. CULVER CITY, Calif., Sept. 14, 2024 /PRNewswire/ -- WNP, the skincare brand that has taken social media by storm, has launched a groundbreaking new product: a vibrating eye cream. This latest innovation, combined with its unique packaging and effective formulas, has made WNP a must-have for beauty enthusiasts. A Fresh Approach to Skincare: WNP stands out from the crowd with its innovative approach to skincare. The brand's commitment to using high-quality ingredients, combined with its user-friendly packaging, has earned it a loyal following. The Vibrating Eye Cream: A Skincare Game-Changer: WNP's new vibrating eye cream is a testament to the brand's dedication to innovation. The built-in massager and cooling applicator provide a soothing and effective treatment for tired eyes, helping to reduce puffiness and dark circles. Beyond the Buzz: WNP's popularity is not just due to its innovative products. The brand's focus on quality, sustainability, and user experience has resonated with consumers. With its commitment to redefining the skincare routine, WNP is poised to become a leading player in the beauty industry. For more information on WNP and its products, please visit http://wnp.us SOURCE WNP If you were looking for the Charlestown Democratic Town Committee website and ended up here, try this Got news tips, gossip, suggestions, complaints?E-mail us: progressivecharlestown@gmail.com We strive to avoid errors in our articles. Our correction policy can be found here Johannesburg, Sep 14 : South African President Cyril Ramaphosa has signed the Basic Education Laws Amendment Bill into law in Pretoria, the country's administrative capital. The bill is aimed at transforming the country's education system and enabling the government to tackle some of the issues that the education system has been grappling with, Xinhua news agency reported. "The signing of this bill marks an important step toward resolving longstanding challenges in our education system," Ramaphosa said on Friday. Though access to quality education has improved since the fall of the apartheid regime, the President added that more work is required to tackle the remaining barriers. "In many respects, education outcomes fall short of what our society needs and what the young people of our country deserve," he said. The bill's focus on foundation phase education and stricter penalties for corporal punishment has shown the government's commitment to creating a safer and more supportive learning environment. "Grade R, the reception year before Grade 1, will now be compulsory. This will enhance our focus on early childhood development," Ramaphosa added. The bill would also oversee the language and admission policies of South African schools to ensure an equitable education system. "We have seen learners being denied admission to schools because of their language policies. We have had cases of children not being allowed back to school or to sit exams because their parents cannot pay school fees," he noted. The bill's signing faced backlash from the opposition party, the Democratic Alliance (DA), which is part of the Government of National Unity. John Steenhuisen, the DA leader, threatened to walk away from the government if the bill were signed into law. Minister of Basic Education Siviwe Gwarube from the DA did not attend Friday's ceremony, saying that she had written to the President requesting the bill be sent back to the Parliament for reconsideration. Ramaphosa addressed such concerns in his speech, saying that he would be engaging with the parties in the next three months. "In the spirit of cooperation and meaningful engagement, I have decided to delay the implementation date for clauses 4 and 5 of the bill by three months. This will give the parties time to deliberate on these issues and make proposals on how the different views may be accommodated," said the President. The signing of the bill into law was welcomed by the South African Parliament. In a statement issued after the signing ceremony, Joy Maimela, chairperson of the Basic Education Committee in the Parliament, said the legislation has taken a long time to pass through the lawmaking process and aligns with the ongoing transformation agenda in the education sector. "The committee commends the President for signing into law this progressive piece of legislation that will positively change the landscape of schooling in South Africa. This is exactly what the country needs at this time," Maimela said. She also noted that the committee is aware of the language policy clause that President Ramaphosa has delayed for three months. "We look forward to the engagement on this issue but continue to call for the full implementation of the bill," she added. -- The story has been published from a wire feed without any modifications to the text US announces fresh sanctions on Russian state media for raising money for Moscow's troops in Ukraine. Image Source: IANS/Twitter/@USAndIndia Washington, Sep 14 : The US State Department on Friday announced fresh sanctions on Russian state media, accusing a Kremlin news outlet of working for the Russian military and running fundraising campaigns to pay for sniper rifles, body armour and other equipment for soldiers fighting in Ukraine, an official statement said. While the outlet, RT, has previously been sanctioned for its work to spread Kremlin propaganda and disinformation, the new allegations suggest its role goes far beyond influence operations. Instead, US Secretary of State Antony Blinken said that RT is a key part of Russia's war machine and its efforts to undermine its democratic adversaries. "RT wants its new covert intelligence capabilities, like its longstanding propaganda disinformation efforts, to remain hidden," Blinken told reporters. "Our most powerful antidote to Russia's lies is the truth. It's shining a bright light on what the Kremlin is trying to do under the cover of darkness." RT has also created websites posing as legitimate news sites to spread disinformation and propaganda in Europe, Africa, South America and elsewhere, officials said. They say the outlet has also expanded its use of cyber operations with a new unit with ties to Russian intelligence created last year. The sanctions announced on Friday target RT's parent organization, TV-Novosti, as well as a related state media group called Rossiya Segodnya and its general director Dmitry Kiselyov. A third organisation and its leader, Nelli Parutenko, were also sanctioned for allegedly running a vote-buying scheme in Moldova designed to help Moscow's preferred candidates in an upcoming election. Russia's Foreign Ministry spokeswoman Maria Zakharova suggested the sanctions against RT were unnecessary because it has already been sanctioned. "I think a new profession should appear in the United States -- a specialist in sanctions already imposed against Russia," she wrote on her Telegram channel. Russia's global propaganda work is receiving extra scrutiny in the months leading up to the US election. The crowd-sourcing effort ran on Russian social media platforms and sought to raise funds for military supplies, some of which were procured in China, officials said. There were no obvious connections between RT and the fundraising campaign, or any indication that Chinese officials knew their products were being sold to Russia. The list of supplies also included night-vision equipment, drones, radios and generators. RT's actions show "itas not just a firehouse of disinformation, but a fully fledged member of the intelligence apparatus and operation of the Russian government," said Jamie Rubin, who heads the State Department's Global Engagement Centre. Last week, the Biden administration seized Kremlin-run websites and charged two RT employees with covertly paying a Tennessee company nearly $10 million for its content. The company then paid several popular far-right influencers, whose content often mirrored Russian talking points. Two of the influencers said they had no idea their work was being supported by Russia. This summer, intelligence officials warned that Russia was using unwitting Americans to spread its propaganda by disguising it in English on sites popular with Americans. Officials say Russia seeks to divide Americans ahead of the election as a way of reducing support for Ukraine. Russia's influence operations also appear designed to support former President Donald Trump, who has criticised Ukraine and the NATO alliance while praising Russian President Vladimir Putin. -- Except for the title, this story has not been edited by Prokerala team and is auto-generated from a syndicated feed Kyiv, Sep 14 : Ukraine brought back 49 Ukrainian soldiers and civilians from Russian captivity, President Volodymyr Zelensky said. These include personnel of the Armed Forces, the National Guard, the National Police, and border guards, The Kyiv Independent reported. A total of 23 women were brought back, including civilians detained and illegally imprisoned by Russia before the full-scale invasion, the Coordination Headquarters for the Treatment of Prisoners of War reported on Friday. Leniye Umerova, a Crimean Tatar, is among the released. She was detained by Russia at the Georgian-Russian border in 2022 when she travelled to occupied Crimea to look after her cancer-stricken father. Military medic and Hero of Ukraine Viktor Ivchuk was also among those released. Ivchuk, a colonel of the Armed Forces and head of a military hospital in Mariupol, had been held captive by Russia since April 2022, The Kyiv Independent reported. "We have to bring home all of our soldiers and civilians," Zelensky said. The released captives include 15 soldiers of the Azov Brigade who defended the city of Mariupol in 2022. "The Azov (soldiers) were included in the exchange for the first time in a long time. This group consists mainly of women," said the sub-division of Azov responsible for captured, killed and injured soldiers. The Ukrainian President expressed his heartfelt gratitude to the team responsible for securing the release of prisoners and hostages from Russian captivity and emphasised on bringing home every remaining Ukrainian still held captive by Russia. "I want to thank our entire team that works to secure the release of prisoners and hostages from Russian captivity. I especially want to acknowledge each of our Ukrainian units that contribute to replenishing the exchange fund for our country. All our warriors who capture Russian occupiers, and all our services that neutralise Russian saboteurs and collaborators, bring closer the liberation of our people. We must bring home every single one of our people, both military and civilian," Zelensky said. Meanwhile, the Defence Ministry of Ukraine also informed about the release of 49 Ukrainians from Russian captivity. Sharing a post on X, the Ukrainian Defence Ministry said, "At home. Today, 49 of our people returned to Ukraine from Russian captivity. Soldiers of the Armed Forces of Ukraine, National Guard, State Border Guard Service, National Police and civilians are finally back home. Glory to Ukraine!" Thirteen sailors, eight soldiers of the Armed Forces, two police officers, and four border guards were also freed from Russian captivity, according to the headquarters. This is the 56th prisoner exchange since the outbreak of the full-scale war between Russia and Ukraine. A total of 3,569 Ukrainians have been brought back from Russian captivity, Ukraine's Ombudsman Dmytro Lubinets said. Kyiv aims to conduct an all-for-all prisoner exchange, which was one of the issues at Ukraine's peace summit in Switzerland in mid-June. New Delhi, Sep 14 : Rajasthan Chief Minister Bhajan Lal Sharma arrived in New Delhi on Saturday following a six-day visit to Japan and South Korea. The trip was part of the preparations for the upcoming 'Rising Rajasthan Global Investment Summit 2024'. During the meeting, discussions were held on furthering the partnership as well as new investment opportunities in the state were highlighted. Rajasthan Deputy CM Prem Chand Bairwa, who accompained him during the foreign visit, said "it was a good visit and the investors have a positive outlook towards us". "It was a good visit. The investors out there have a positive outlook towards us and the CM has also promised them to provide all possible support in a positive way," Bairwa said. Speaking on the CM Sharma's visit, Rajasthan Minister Jawahar Singh said, "This visit of the CM regarding the Rajasthan summit to invite companies from different nations who want to invest in the state will bear positive results -- generate employment and I hope that foreign companies will get into MoUs with the state and will help in the development of Rajasthan. Under PM Modi's leadership -- countries around the world have shown trust in India as he does what he speaks." Prior to Japan, the Rajasthan CM was on a two-day visit to South Korea along with a high-level delegation. Several South Korean firms expressed their interest in exploring opportunities in Rajasthan and expanding their business in the state. This includes several leading South Korean firms, including Samsung Healthcare, LX International, Orion Corporation, and Hyosung Corporation, as well as the Korean Stone Association. The Rajasthan government, led by CM Sharma, will be hosting the 'Rising Rajasthan' Global Investment Summit 2024 on December 9-11 in the capital, Jaipur. The three-day mega summit, which aims to attract and facilitate global investment, innovation, and partnerships in the state, is organised with the support of the Industry and Commerce Department, Bureau of Investment Promotion (BIP), and RIICO, with BIP serving as the nodal department for this summit. Lucknow, Sep 14 : A man has been arrested by the Uttar Pradesh Police's Special Task Force (STF), who allegedly duped people by posing as the Chief Minister's Personal Secretary, an official said. Farooq Aman, 26, was arrested from the Kamta tri-section of Lucknow, the official said on Friday. In a statement, the STF said that Aman duped people by posing as the Chief Minister's Personal Secretary and organised online gambling. He was arrested late on Thursday. The accused was found to have been associated with an organised gang that cheats people by promising to help them pass competitive examinations in exchange for money and organising online gambling, the STF said. Aman is a resident of Sahriya village in the Nizamabad area of Azamgarh district. Two mobile phones, Aadhar card, and several documents were seized from him, it added. A case has been registered against the accused at the Cyber Crime Commissionerate police station, Lucknow, under provisions of the Bharatiya Nyaya Sanhita and the IT Act. Earlier on June 24, the Uttar Pradesh Police's Special Task Force had arrested a notorious criminal for allegedly impersonating the Chief Minister's Secretary and duping government officials over the phone. In a statement, the STF said Vivek Sharma alias Bantu Chaudhary, who used to dupe administrative officials and the general public by making fake phone calls in the name of the CM's Secretary, was arrested in Basti district. According to police sources, Vivek confessed that a few days ago, in order to cheat the district magistrate and chief development officer of Basti, he called on their official numbers and introduced himself as the secretary of the chief minister. It was also found that Vivek's mobile number, in the mobile application 'True-Caller', appeared as "Chief Minister Yogi Adityanath". A case had been registered against him under Sections 419 (punishment for cheating by personation), 420 (cheating and dishonestly inducing delivery of property), 384 (punishment for extortion) and 507 (criminal intimidation by anonymous communication) of the IPC in Basti's Kotwali police station. Several cases had been registered against him in various police stations of Aligarh, Balrampur, Mathura, Kanpur Nagar and Hardoi districts on the charges of fraud among others, the STF said in the statement. Seoul, Sep 14 : North Korean leader Kim Jong-un has met with the head of Russia's Security Council in Pyongyang and vowed to deepen the bilateral ties between the two countries, state media said on Saturday. The meeting came on the anniversary of a rare summit between Kim Jong-un and Russian President Vladimir Putin last year at the Vostochny Cosmodrome space centre in Russia's Amur region, during which Kim pledged his "full support" for Moscow, Yonhap news agency reported. During their talks on Friday, Kim and Sergei Shoigu, Secretary of Moscow's Security Council, had a "wide exchange of views on the issues of steadily deepening the strategic dialogue between the two countries and strengthening cooperation to defend the mutual security interests and on the regional and international situation," according to state media KCNA. The two sides reached a "satisfactory consensus" on the presented issues, it added. Kim also stressed their bilateral relations in politics, economy and culture were developing dynamically following his summit talks with Putin in June. He vowed to continue expanding cooperation with Russia in the spirit of a partnership treaty signed between the two leaders during their June summit, which includes a mutual defence clause. Russia's Security Council said on Friday that Secretary Shoigu discussed bilateral and international issues with North Korean officials. The Council also said the talks will make an important contribution to the implementation of the Treaty on Comprehensive Strategic Partnership. The treaty, signed in June during Russian President Vladimir Putin's visit to North Korea, pledges mutual military support in a contingency. On Friday, North Korea's Vice Foreign Minister issued a statement to mark one year since the summit between Kim and Putin at a space centre in Russia's Far East. The statement said that North Korea is committed to cooperating with its strategic partner Russia and to developing bilateral relations on a permanent basis. The US alleges that Pyongyang is providing ammunition and other military supplies to Russia to be used for Moscow's invasion of Ukraine, and in return is seeking assistance in military technology and energy. -- The story has been published from a wire feed without any modifications to the text New Delhi, Sep 14 : India has ushered into a new era of energy transition driven by a shared commitment to advancing the 'Hydrogen Agenda', Minister of State for Power and New and Renewable Energy, Shripad Naik, has said. The Green Hydrogen commitment is a historic opportunity to redefine energy systems, create jobs and secure a cleaner planet for future generations. Addressing the International Conference on Green Hydrogen (ICGH-2024), Naik emphasised the government's unwavering commitment to advance clean and green energy. The minister highlighted the National Green Hydrogen Mission with an initial outlay of Rs 19,744 crore as a comprehensive strategy, encompassing demand creation, production, R&D, infrastructure, regulatory frameworks, international partnerships, and most importantly, skill development and job creation. Naik also underscored the importance of youth, affirming that by empowering the youth with necessary skills, India is equipping them to be the architects of a sustainable future. According to Professor Ajay K Sood, Principal Scientific Adviser to the government, the commitment to Green Hydrogen is not just about meeting emission targets. "It is about seizing a historic opportunity to redefine our energy systems, create new jobs, and secure a cleaner, healthier planet for future generations", he told the gathering. Bhupinder S Bhalla, Secretary, Ministry of New and Renewable Energy, highlighted the transformative potential of Green Hydrogen for India's energy sector. He said that Green Hydrogen is not just a new energy source, it is a pathway towards a future where clean energy is the norm, and industries are decarbonised. "The journey ahead will be challenging, but our collective ambition is strong, and the opportunities are vast," he noted. Green Hydrogen is more than just a technological innovation it symbolises a new era in energy where sustainability meets economic viability. Jyoti Vij, Director General of FICCI, said the country's transition goals will require concerted efforts from both the government and industry. The conference highlighted India's dedication to international collaboration and innovation. Kurukshetra, Sep 14 : Sounding the poll bugle, Prime Minister Narendra Modi will hold a rally from Haryana's Kurukshetra on Saturday by launching the BJP's campaign with an aim to retain the helm in the state for the third straight term ahead of the Assembly elections on October 5. Kurukshetra, Sep 14 (IANS) Sounding the poll bugle, Prime Minister Narendra Modi will hold a rally from Haryanaas Kurukshetra on Saturday by launching the BJPas campaign with an aim to retain the helm in the state for the third straight term ahead of the Assembly elections on October 5. PM Modi will seek votes for 23 candidates, who will be present at the public meeting, which the party believes is a platform to showcase strength and unity, at Theme Park at 2 p.m. The 23 Assembly seats fall in districts on the GT Road belt, comprising Panchkula, Ambala, Kurukshetra, Karnal and Panipat districts and parts of Yamunanagar, Sonipat and Kaithal. In the 2019 Assembly polls, Congress wrested 14 seats from BJP, mostly falling along the GT Road belt, considered the stronghold of BJP. Besides Modi, the party has lined up its top leadership comprising Union Ministers Amit Shah and Rajnath Singh and party President Jagat Prakash Nadda for campaigning in the coming days. Chief Minister Nayab Singh Saini, who is contesting from Ladwa in Kurukshetra district, has been touring across the state to ensure return to power. A total of 2,500 police personnel, including eight Superintendents of Police, have been deployed for the security of the Prime Minister. In the 2019 Assembly elections, the BJP, which won 40 seats, well below the 75-plus target, and was six short of a majority in the 90-member Assembly, announced an alliance with the Jannayak Janta Party (JJP) led by Dushyant Chautala, great-grandson of former Deputy Prime Minister Devi Lal. The rival Congress won 31 seats, while the less-than-year-old JJP, which broke away from the state's once major regional Indian National Lok Dal (INLD) owing to family disputes, won 10 seats. Seven Independents and one each of the INLD and the Haryana Lokhit Party had also won. In the 2014 Assembly polls, BJP had won 47 seats and formed the government in the state for the first time. Ahead of Banned Books Week, PEN America has offered an advance look at its censorship statistics for the 20232024 school year, finding more than 10,000 bans recordednearly triple the 3,362 bans reported in the 20222023 school year. Hyperbolic rhetoric about porn in schools is being used to justify banning books about sexual violence and LGBTQ+ topics (in particular, trans identities) and books by women and nonbinary authors, PEN representatives said in a release, "and attacks on so-called 'woke ideology' continue to lead to books on race and racism being removed." In addition, PEN America this week released a warning about Project 2025, the controversial set of policy proposals from the Heritage Foundation thats widely considered to be a governing blueprint for the next conservative administration. Project 2025 is up-front about its intent to equate LGBTQ+ content in childrens books and in school curricula with pornography, and to treat making such content accessible as a crime, a PEN release states. If implemented, this slate of proposals would turbocharge the forces of censorship that have been running rampant in states across much of the country for the past four years. Ahead of Banned Books Week, which is set to run September 22-29, Monday's issue of Publishers Weekly is our first ever Freedom to Read issue. It's packed with stories from those on the front lines of this now years-long surge in book bans, and it's well worth a read. We'll have more to talk about next week when the issue drops, so please stay tuned. Oh, and bonus: the great Jerry Craft did the cover. Meanwhile, as we reported in Publishers Weekly this week, the Fifth Circuit Court of Appeals in New Orleans on September 24 will rehear the appeal in a closely watched case over book banning in Llano County, Texas, and a host of amicus briefs lay out exactly what's at stake. On one side, authors, librarians, publishers, and freedom to read advocates are urging the full court to uphold Judge Robert Pitmans March 2023 opinion and order finding that Llano County officials improperly banned several books from library shelves, while, in a brief of their own, some 18 states urge the court to find that local politicians can exercise near total control over which books and materials are allowed in schools and libraries. Politico reports that a northeast Florida school district this week agreed to restore 36 books that were challenged and previously pulled from campus libraries in a settlement of a federal lawsuit. "The settlement reached by Nassau County school officials and a group of parents, students and the authors of the removed childrens book And Tango Makes Three marks a significant twist in the ongoing legal battles surrounding Floridas K-12 book restrictions, which have been derided as 'book bans' by opponents. Under the agreement, that book and others such as the The Bluest Eyeby Toni Morrison and the The Clan of the Cave Bear by Jean Auel will once again be available to students after being removed last year," the article states. Hyperbolic rhetoric about porn in schools is being used to justify banning books about sexual violence and LGBTQ+ topics (in particular, trans identities), and books by women and nonbinary authors. Over at Book Riot, Kelly Jensen leads off her weekly censorship news roundup with a look at academic libraries. "What begins in the public schools bleeds into the public libraries. This has played out again and again throughout the last four years as right-wing players attempt to destabilize and defund public institutions of democracy," she writes. "It should come as little surprise that academic libraries are also under siege nationwide. The work of dismantling them has been seen already, particularly in legislation that outlaws diversity, equity, and inclusion efforts, but in the last couple of months, these institutional cornerstones of higher education have seen it coming faster and harder." EdSurge reports on changes resulting from Texas takeover of the states largest school district, which has led to school librarians losing their jobs. One of the changes was Houston Independent School Districts new Superintendent Mike Miles increased focus on test scores, which meant closing 28 school libraries and turning them into so-called team centers. Miles is not going to target the schools where the parents have wealth and power, and that's concentrated in the schools with higher white populations, Melissa Yarborough, a teacher at Navarro Middle School in Houstons East End, which is home to one of the citys historically Latino neighborhoods, said. And that's due to a legacy of racism. Alaska Public Media reports that Alaska librarians are hopeful that a state agency will restore a large cut in funding for an annual grant that supports smaller rural libraries. The director of the state Division of Libraries, Archives and Museums last month told librarians around the state that this years Public Library Assistance grants will be $1,829, about 75% smaller than what theyve been getting for years. A cut that massive, even though its a small grant, does have a pretty big impact on a small library in rural Alaska, Theresa Quiner, the director of the Kuskokwim Consortium Library in Bethel, said. The University of Washington Magazine has profiled former American Library Association Executive Director Tracie D. Hall in her role as a distinguished practitioner in residence at the UWs iSchool, where she received her masters degree. I hope I can be a source of the same kind of inspiration and learning that I experienced at the iSchool, says Hall. An editorial in the MIT Technology Review argues that Congress must step in to address the issues presented in the Internet Archive's loss in court. "If the courts wont recognize CDL-based library lending as fair use, then the next step falls to Congress. Libraries are in crisis, caught between shrinking budgets and growing demand for services. Congress must act now to ensure that a pillar of equality in our communities isnt sacrificed on the altar of profit." And finally, Banned Books Week kicks off at the end of next week. For more on what's on tap, visit the ALA's Banned Books website. The Week in Libraries is a weekly opinion and news column. News, tips, submissions, questions or comments are welcome, and can be submitted via email. Previous columns can be viewed here. Top health authority urges greater efforts to build a childbearing-friendly society 15:09, September 14, 2024 By Du Qiongfang ( Global Times China's top health authority on Thursday advocated people have marriage and childbirth at an appropriate age, and called for increased efforts to create a more childbearing-friendly society, in response to the recent changes in the country's demographic development. Yu Xuejun, deputy head of the National Health Commission (NHC), said at a press conference on Thursday that the new changes occurring in China's demographic development, including low birth rate, an aging population, and regional population disparities, are a natural outcome of economic and social development at certain stages, reflecting global demographic transitions and broader trends of modernization. Yu underscored the importance of optimizing birth support policy system and incentive mechanisms, calling for persistent, long-term efforts from all localities to promote sustainable demographic development. Yu said the NHC will focus more efforts on advocating marriage and childbirth at appropriate ages, as well as optimal childbearing practices. It will call for shared parenting responsibilities between spouses, aiming to guide young people toward positive perspectives on marriage, childbirth, and family, fostering a new culture of marriage and childbearing. According to the latest statistical bulletin on the development of civil affairs in 2023 released by the Chinese Ministry of Civil Affairs, a total of 7.68 million couples tied the knot throughout the year 2023, marking a 12.4 percent increase compared to the previous year. This is the first rise in marriage registrations in nearly one decade, surpassing the 7.64 million marriages recorded in 2021, Chinese media Yicai.com reported. He Yafu, an independent demographic expert who monitors marriage registration data, attributed the rise in marriage registrations in 2023 to the impact of the COVID-19 pandemic, which led many young people to delay their weddings until 2023. He noted that the number of marriage registrations in the first half of 2024 decreased year-on-year, indicating that the post-pandemic compensatory effect has gradually faded. He also mentioned another contributing factor: Many young people chose to get married in 2023 with the intention of having children in the auspicious Year of the Dragon in 2024, He told the Global Times on Thursday. In 2023, 9.02 million babies were born, resulting in a birth rate of 6.39 per thousand people, according to the National Bureau of Statistics. (Web editor: Tian Yi, Xian Jiangnan) Four years ago, the historic Abraham Accords between the UAE, Bahrain, and Israel were signed on the White House lawn. Morocco and Sudan soon followed. In the midst of the extremely challenging COVID-19 pandemic, a ray of light heralding a new era of peace, integration, and cooperation broke through the darkness. Hamas October 7 massacre led to an outbreak of regional conflict. Yet just as the original Abraham Accords peace agreements emerged during the COVID-19 era, the next stage of Middle East cooperation and integrationAbraham Accords 2.0can emerge from the current period of war and tension. Following the signing of the Abraham Accords, trade ties skyrocketed, over a million people traveled between the Accords member countries on new direct flights, and groundbreaking partnerships were announced in fields such as innovation, space, AI, water, cyber and sustainable development. Inspiring initiatives to advance interfaith harmony were launched, including the opening of Abu Dhabis Abrahamic Family House, and Muslim-Jewish leadership delegations between Israel and Morocco. Yet even as Abraham Accords ties grew, a lack of robust American leadership over the last several years allowed China, Russia, and Iran to make inroads into both the MENA and Sahel regions. Then, Hamas October 7 rampage of murder, kidnappings, and rape, and the subsequent terror attacks by Irans proxy network, created an enormous challenge to regional peace. Extremist media networks like Al Jazeera spread incitement and distrust, while Muslim Brotherhood and Iranian-linked groups sought to use the conflict to promote instability. At this time of war, the potential of the Abraham Accords to transform the Middle East from a source of conflict into a hub of innovation, economic growth, and tolerance not only remains but is more urgent than ever. Now is the time to launch the Abraham Accords 2.0 in order to shape a future of stability, prosperity, and peace for the region. The next stage of the Abraham Accords must be based both on expanding the circle of peace to include additional Arab and Muslim countries and on advancing paradigm-shifting regional economic, technological, and security initiatives with global impact. On the economic level, such initiatives should involve advancing the India-Middle East-Europe Corridor such that it encompasses not only overland trade but energy and communications connectivity as well. IMEC would not only contribute to infrastructure development, employment, and global supply chain resilience, but could serve to counter Chinas Belt and Road Initiative in the region. The existing Free Trade and Qualified Industrial Zones agreements between the United States and numerous regional countries could be expanded and leveraged to accelerate economic growth and integration. Israels start-up dynamism and the GCCs impressive track record of executing advanced technological projects could be combined via U.S.-led trilateral and multi-lateral frameworks to produce breakthroughs in innovation. Completing the regulatory frameworks and ensuring an encouraging public environment for deepening bilateral ties between current and potential Abraham Accords countries is critical as well. On the security level, a U.S.-led Middle East Security Architecture encompassing air and missile defense, drones, space, satellites, maritime security, cybersecurity, intelligence sharing, joint exercises, and R&D could empower regional allies to combat threats on their own. Such a regional alliance would help deter and counter Iran, thereby decreasing the chances of an all-out war and increasing the probability of a quick and decisive victory if such a war were to break out. It would also help protect U.S. bases, while enabling an eventual shift of U.S. assets to other theatres, including the Indo-Pacific. Looking forward, once Hamas has been defeated, and the Gaza Strip has been demilitarized and deradicalized, Gaza could be integrated into new regional frameworks for economic, energy, and logistical cooperation. This would contribute to the stability and growth of the entire region. Turning the Abraham Accords 2.0 vision into a reality will require strong American leadership and a holistic approach that combines diplomatic, economic, and security components. It will also require a willingness by the United States and all of its allies and partners in the region to actively invest in promoting Abraham Accords ties and breaking down long-standing barriers to cooperation. The ongoing conflict and geopolitical tensions should not lead us to abandon the successful strategies that led to the Abraham Accords. It is indeed more urgent than ever that we take ambitious steps to deepen these historic agreements, expand warm peace through strength, and shape a brighter future in the Middle East. At the darkest of times, the greatest opportunities can appear. Aryeh Lightstone is the former US Special Envoy for Economic Normalization and Executive Director of the Abraham Accords Peace Institute (AAPI). Asher Fredman is Israel Director at AAPI and Executive Director of the Misgav Institute for National Security. Find a great selection of commercial real estate, manufactured homes, timeshares and more for Sale Buy real estate. Find a great selection of commercial real estate, manufactured homes, timeshares and more for Sale in US and Canada. Search Real Estate 'Banks may find small ticket size lending economically unviable due to the cost of branch operations.' FlexiLoans.com, a lender to small businesses starved of credit from legacy institutions, uses digital tools to make good the lack of transparency and thin files of borrowers. The firm intends to hit an annualised disbursement of Rs 5,000 crore, and has set an internal target of Rs 10,000 crore for the next three years. Ritesh Jain, co-founder of Flexiloans.com, spoke with Raghu Mohan/Business Standard in a telephonic interview. Now that the Reserve Bank of India (RBI) has tightened funding norms, how do you see growth from here? The RBI has raised concerns about small ticket-size lending, particularly personal loans under Rs 50,000 or Rs 1 lakh. But we focus on lending to MSMEs (micro, medium and small enterprises), which is supported by schemes like Mudra and e-Credit Guarantee Fund Scheme for Micro and Small Enterprises. While there may be restrictions on personal loan lending, MSME funding remains relatively unaffected. Initially, there was some confusion, but once we clarified that we specialise in MSME lending the situation improved. What sets FlexiLoans apart from banks and NBFCs in this segment? We don't set up physical branches, and this allows us to reach more locations compared to the larger banks and NBFCs. We operate in over a thousand cities and have access to 15,000 PIN codes, and typically provide loans under Rs 20 lakh. Banks often categorise loans in this range as personal loans or retail loans. Our lending approach is cash flow-based rather than asset-secured. We've been profitable at a relatively early AUM (assets under management) compared to our peers. As of today, we get around 400,000 people applying to us on a monthly basis. Almost all e-commerce ecosystems and payment ecosystems like PhonePe, Google Pay and Paytm, among others, have partnered with us. We have more than 100 partnerships as of now and the second largest MSME platform in the country. Can you throw light on credit evaluation and underwriting? We assess cash flows by reviewing bank statements and GST (Goods and Services Tax) returns, whereas banks often require secured assets. Our technology and process efficiency enable us to offer quick loans with minimal documentation - typically just three documents: A bank statement and business KYC details. Our entire credit underwriting process is digitised; the proprietary credit analytical tool helps to quickly assess the creditworthiness of borrowers, and the loan decision is communicated in 15-30 minutes. Our USP is to disburse loans within 24-48 hours. Most people take 30-40 days to get a loan in this segment from other lenders. We do a lot of co-lending with banks. About 40-50 per cent of our book is through co-lending. We provide under Rs 10 lakh loans and 70 per cent of our business is concentrated in the Tier-II and Tier-III cities and beyond. How have you managed to succeed in cash flow-based lending even as traditional lenders struggle? Banks face challenges with cash flow-based lending for high ticket sizes. For loans above Rs 50 lakh or Rs 1 crore, relying solely on cash flow data can be risky. Banks often secure these loans with collateral. We, on the other hand, focus on smaller ticket sizes where cash-flow lending is more feasible. Banks may find small ticket size lending economically unviable due to the cost of branch operations, which makes it less practical for them. In case of default, we follow the standard collection processes, including contacting customers. And legal processes. Do you think delayed bill settlements remain an issue? The mandate is clear: Companies should settle MSME bills on time. However, some sectors and clients still experience delays. This is why it's crucial for lenders to recognise that MSME cash flows can be erratic. We offer supply chain and invoice-based financing to address this. In our invoice discounting product, where payments come from large companies, we rarely see delays: 99 per cent of the payments are on time. What is your growth projection for the next three years? We manage more than Rs 2,000 crore in AUM, including co-lending with an annual disbursement run rate of over Rs 4,000 crore to more than 1.5 million registered users. We have disbursed more than 100,000 loans. By the end of this year, we aim to hit an annualised disbursal of Rs 5,000 crore. Our internal target for the next three years is to exceed Rs 10,000 crore. Our last fundraising round was about 18 months ago. We raised approximately $30 million from existing investors and from marquee global investors. Feature Presentation: Aslam Hunani/Rediff.com A 9-year-old student of Montfort School in Lucknow died of cardiac arrest while playing in the premises, the school principal said on Saturday. According to a statement issued by the school principal on Thursday, after receiving information that a student of Class 3, Manvi Singh, fell unconscious in the playground, she was rushed to the nearby Fatima Hospital. The girl's family took her to Chandan Hospital, where the doctors said that she died due to cardiac arrest, the principal said. The matter also came to the notice of the police, but the girl's family has said that no action will be taken, they said. After receiving information about the girl's death, a holiday was declared in the school on Friday. Prime Minister Narendra Modi is set to hit the poll campaign trail in support of his party candidates on Saturday by addressing a mega rally in Jammu and Kashmir's Doda district, amid tight security arrangements. IMAGE: Prime Minister Narendra Modi. Photograph: ANI Photo This will be the first visit by a prime minister to Doda in the last 42 years. Multi-tier security has been deployed across the twin districts of Doda and Kishtwar, particularly around the venue, to ensure a peaceful and smooth conduct of the election rally, which will be held at the stadium in Doda town. "Prime Minister Modi will hold his first election meeting in Doda tomorrow. This will be a significant event as it marks the first visit of any Prime Minister to Doda in 42 years. The last prime ministerial visit to Doda was in 1982," said Union Coal and Mines Minister and Bharatiya Janata Party election in-charge for Jammu and Kashmir, G Kishan Reddy, on Friday in Samba. Modi's rally is all set to give boost to his cadres and candidates in the region where voting for eight Assembly seats in the three districts of the Chenab Valley -- Doda, Kishtwar, and Ramban -- is scheduled for September 18. During the 2014 Assembly elections, PM Modi addressed a BJP poll rally in Kishtwar district. Since then, the people of Doda have been eagerly waiting to see and hear the Prime Minister, a leader said. BJP leaders believe that the prime minister's visit will significantly boost the electoral prospects of party candidates in the union territory. The party is contesting all 43 Assembly seats in the Jammu division. In the last J&K Assembly, BJP had 25 MLAs. Voting in the J-K Assembly elections will be held in three phases on September 18, September 25, and October 1. The counting of votes will take place on October 8. This is the first Assembly election in J&K in 10 years, and the first since the abrogation of Articles 370 and 35A. The Jammu region has long been a BJP stronghold, with the party winning all 25 of its seats from this region in the 2014 Assembly polls in the erstwhile state of Jammu and Kashmir. Home Minister Amit Shah addressed a poll rally in Jammu on last Saturday, while Defence Minister Rajnath Singh held another rally in Ramban district on Sunday. A 22-year-old youth from Telangana, who was unwittingly recruited into the Russian army and left stranded at the Russia-Ukraine border for months, expressed his gratitude to the Centre on Saturday for facilitating his return to the country. IMAGE: A soldier from Carpathian Sich international battalion fires an RPG while conducting manoeuvres near the front line, as Russia's attack on Ukraine continues, in Kreminna, Ukraine. Photograph: Clodagh Kilcoyne/Reuters "I still cannot believe that I have returned home. The horrific scenes of the ongoing war are still fresh in my mind..." said Mohammed Sufiyan, who returned home safely on Friday night after working as a support staff for the Russian army in its war with Ukraine. Sufiyan (22), who hails from Narayanpet district, thanked Prime Minister Narendra Modi, the Telangana government and also the media for facilitating his return. Sufiyan recalled that he reached Russia via Chennai and Dubai in December 2023 after being promised the job of a security personnel by a Mumbai-based employment agent. However, he was taken to the Russia-Ukraine border and subjected to training before being entrusted with work like loading vehicles and building bunkers, he told PTI. Sufiyan and others like him realised that they were misled, but could not establish contact with the main agent. The youth said he was taken close to the frontline but his health deteriorated after spending sleepless nights as the war raged on. Later, he was shifted to a 'green zone' in Ukrainian territory under the control of Russia, about 60 kms from the frontlines, after he shared his ordeal. "We were in a jungle for eight months," he said. The central government arranged air tickets to reach Delhi from Moscow, he added. Speaking to PTI in July this year, Sufiyan's family expressed hope that he would return home following PM Narendra Modi's visit to Russia. Russia had agreed to India's demand to ensure early release of Indian nationals working with the Russian military as support staff after PM Modi "very strongly" took up the issue with President Vladimir Putin during his visit. Stranded on the International Space Station through February, NASA astronauts Sunita Williams and Butch Wilmore plan to vote in the November 5 US presidential election from space. IMAGE: NASA astronauts Sunita Williams and Butch Wilmore addresses a press conference from the International Space Station (ISS). Photograph: @NASA/X "It's a very important duty that we have as citizens and (I am) looking forward to being able to vote from space, which is pretty cool," Williams, who is of Indian origin, said on a call with reporters on Friday afternoon. Williams, 58, and Wilmore, 61, participated in a press conference on Friday from the International Space Station (ISS), which has been their home since June. Their Boeing Starliner spacecraft ran into several problems midflight and could not bring them home from a planned 8-day voyage. "I sent down my request for a ballot today," Wilmore said. "It's a very important role that we play as citizens including those elections, and NASA makes it very easy for us to do that," he said. They, however, did not indicate which presidential candidate -- either former President Donald Trump or Vice President Kamala Harris -- would get their vote. American astronauts have been voting from space since 1997 when the Texas legislature passed a bill allowing NASA employees to vote from space, New York Post reported. That year, NASA astronaut David Wolf became the first American to vote from space on the Mir Space Station. In 2020, NASA astronaut Kate Rubins also performed her civic duty from space on the ISS. Election officials in Harris County, Texas -- where NASA's Johnson Space Station is located -- told NBC News that they work with NASA to send astronauts a PDF with clickable boxes to make their choices. The PDF is password-protected to ensure a secret ballot. Friday's press conference came exactly one week after the Starliner returned to Earth without its crew to make room on the space station for SpaceX's Crew Dragon, which is now due to bring the two astronauts home in February. Williams and Wilmore are living on the ISS with seven other astronauts. They said they feel "grateful" to spend more time in space, despite difficulties. When asked if it was difficult to see the Starliner leave without them, William said they were tasked with ensuring it left the ISS safely. "We were watching our spaceship fly away," she said. Williams said as she and Wilmore used to work in the Navy, they are "not surprised when deployments get changed". "It's risky and that's how it goes in the business," she said. When asked if they feel let down by NASA and Boeing, Butch said, "Absolutely not." Pointing to William's t-shirt with a NASA logo, he said: "That represents something that we stand for as an agency - we go beyond, we do things that are out of the ordinary." "This is not easy," he added. He said that 90 per cent of their astronaut training is about preparing for "the unexpected". Williams, who has just been named the commander of the International Space Station, said she was in good spirits. "We're here with our friends, we've got a ride home," she said, adding that she is looking forward to the next couple of months on board the ISS. Agitating junior doctors in West Bengal have written to President Droupadi Murmu and Prime Minister Narendra Modi, requesting their intervention in the RG Kar hospital impasse. IMAGE: Junior doctors continue their protest against the RG Kar murder and rape case amid heavy rains, at Swasthya Bhawan in Kolkata. Photograph: ANI Photo Copies of the four-page letter written by the West Bengal Junior Doctors' Front were also sent to Vice President Jagdeep Dhankhar and Union Health Minister J P Nadda. A post-graduate trainee was raped and murdered in state-run RG Kar Medical College and Hospital on August 9. Junior doctors have been on a 'cease work' since then. 'We humbly place the issues before your esteemed excellency, as the head of state, so that our unfortunate colleague who has been the victim of the most despicable crime shall receive justice, and so that we, the healthcare professionals under the West Bengal Health department, may be able to discharge our duties to the public without fear and apprehension. 'Your intervention in these trying times will act as a beacon of light to us all, showing us the way ahead out of the darkness that surrounds us,' they wrote. One of the agitating doctors, Aniket Mahato, told PTI that the letter was drafted earlier this month and sent on Thursday night. In the light of these extremely unfortunate events, the head of the institute in question, along with the state police and certain state government officials had allegedly mishandled the entire forensic and legal proceedings with little regard for either the sanctity of the crime scene that was mobbed by several people of infamous repute within the West Bengal medical fraternity, or for the victim's parents the letter said. In view of these circumstances, the sense of deep mistrust and fear that we feel towards the authorities remain unallayed so far, and we desperately implore that these noxious elements within the health system be weeded out to assure us of a truly safe workplace, it said. In this turbid atmosphere of fear, distrust and hopelessness , the junior doctors in West Bengal have been forced to avoid working within the hospital premises and instead, have taken alternative modes to discharge the duty of providing health care services to citizenss, it added. The proposed talks to resolve the impasse between agitating junior doctors and the West Bengal government over the RG Kar issue fell through on Saturday after protestors, who had agreed to join the meeting based on Chief Minister Mamata Banerjee's appeal despite relenting on their live-streaming demand, were "unceremoniously" asked to leave the venue. IMAGE: West Bengal Chief Minister Mamata Banerjee speaks to the delegation of junior doctors, who are protesting over the RG Kar rape and murder case, outside her residence, in Kolkata. Photograph: ANI Photo An agitating doctor, speaking to reporters before leaving, said they had agreed to attend the meeting without live-streaming or video recording, as requested by Banerjee. "When we came here, we had demanded video recording or live-streaming of the talks. We were not allowed. Then the chief minister came out and appealed to us to join the talks, promising that we would receive the minutes of the meeting. We discussed among ourselves and agreed to join the meeting without live-streaming or video recording," the doctor said. "When we conveyed this to Minister of State for Health Chandrima Bhattacharya, we were told to leave the venue because it was too late and they had been waiting for us for three hours. We were unceremoniously asked to leave," the doctor added. Breaking down in tears before the camera, another doctor said this incident reflected the "true intentions" of the state government. "It shows who is not serious about the talks," the doctor said. A video shared by the junior doctors showed Bhattacharya telling them to leave as it was too late. "Today it's over, we have been waiting for three hours but you people didn't come inside. It's too late now," she was heard saying. The authenticity of the video shared by the junior doctors could not be independently verified. IMAGE: Mamata with officials wait at her residence for protesting junior doctors to initiate talk. Photograph: ANI Photo Earlier, Mamata Banerjee appealed to the agitating doctors to join the meeting, amid their demand for live-streaming of the discussions. I would request you all to come inside and attend the meeting. As the matter is before the court, we cannot allow live streaming. I will video-record the meeting and will provide you with a copy only after permission from the Supreme Court, she said, while appealing to students to come inside and not to get drenched in rain. Today, you said that you want a meeting, so I have been waiting. Why are you people insulting me like this? Please don't insult me like this. Earlier on the three occasions, I have been waiting but you people didn't come, she said. The scheduled meeting between Banerjee and the agitating junior doctors, who have been protesting over the RG Kar incident, did not take place even after a three-hour wait due to the impasse over live-streaming the meeting. Banerjee had made an earnest appeal to the junior doctors to join the meeting despite the impasse. You are the owner of this article. Israel stepped up its massive air strikes on Beirut's southern suburbs in its drive to wipe out Hezbollah's capabilities and leadership, even as the world awaits with trepidation the October 7 anniversary of the bloody attack on Israel by Hamas -- which, like Hezbollah, is a U.S.-designated terror group with ties to Iran. Meanwhile, Tehran said it had lifted all flight restrictions after earlier announcing it was closing Iranian airports as of 9 p.m. on October 6 until 6 a.m. on October 7, citing "operational restrictions," at a time when Israel is weighing options for its response to Iran's recent massive missile strike on its territory. State media said the restrictions were lifted after ensuring favorable and safe conditions. Israeli Defense Minister Yoav Gallant on October 6 threatened Iran that it might eventually find itself looking like Beirut or Gaza -- which has also been battered over the past year -- if Tehran attempts to further harm Israel. "The Iranians did not touch the air force's capabilities. No aircraft were damaged, no squadron was taken out of order," Gallant said in reference to the Iranian missile strike, which caused few injuries and slight damage to two air force bases. "Whoever thinks that a mere attempt to harm us will deter us from taking action should take a look at [Israels operations] in Gaza and Beirut, where Israel is battling fighters of Hamas, which has been deemed a terrorist organization by the United States and EU. Israel earlier said conducted a series of targeted strikes on weapons storage facilities and infrastructure sites that belong to Iran-backed Hezbollah. Hezbollah has been designated by the United States as a terror group, while the European Union has blacklisted its armed wing but not its political unit, which holds seats in the Lebanese parliament. Lebanon's official National News Agency said Hezbollah's stronghold in the area was hit by more than 30 strikes. A petrol station and a medical supplies warehouse were hit by the air raids. Video footage showed huge flames and plumes of smoke billowing into the night sky, as residents fled their homes in panic with explosions echoing in the background. Many observers said the attacks were the strongest yet of Israel's recent air strikes. "Last night was the most violence of all the previous nights," Hanan Abdullah, a resident of the Burj al-Barajneh area in Beirut's southern suburbs, told Reuters. "Buildings were shaking around us and at first I thought it was an earthquake. There were dozens of strikes -- we couldn't count them all -- and the sounds were deafening," Israel has bombed Beirut suburbs for days, killing Hezbollah leader Sayyed Hassan Nasrallah and possibly his potential successor, Hashem Safieddine. Security sources have said Safieddine had been out of contact since October 4, after an Israeli air strike near Beiruts international airport that was reported to have targeted him. Hezbollah has not commented on Safieddine. Israel says Nasrallah was killed in a strike on the group's central command headquarters in Beirut on September 27. Two senior Iranian security officials told Reuters on October 6 that Ismail Qaani, commander of the Quds Force -- the overseas arm of Iran's Islamic Revolutionary Guards Corps (IRGC) -- also had not been heard from in recent days since traveling to Lebanon. Senior Hezbollah member Mahmoud Qmati, when asked about Qaani's whereabouts, told Reuters: "I have no information. We are also searching for the truth of this matter. Statements on October 6 out of the United States -- Tel Aviv's most important ally -- indicated some frustrations with the scope of Israel's military action. "Military pressure can at times enable diplomacy. Of course, military pressure can also lead to miscalculation. It can lead to unintended consequences," a U.S. State Department spokesperson said in statement. The spokesperson said Washington supported Israeli actions in going after extremist elements but added that U.S. leaders but did not approve of the targeting of civilian infrastructure. "Every civilian casualty is one too many," the spokesperson said. Israel said on October 5 that its forces had killed 440 Hezbollah fighters in ground operations in southern Lebanon and destroyed 2,000 Hezbollah targets. Nine Israeli soldiers had been killed in southern Lebanon so far, the authorities said. According to the Lebanese Health Ministry, nearly 2,000 people have been killed in Lebanon in the latest conflict, most of them since September 23. Israel says the attacks on Hezbollah are aimed at enabling the safe return of tens of thousands of citizens to homes in northern Israel, bombarded by the group since last October. The Israeli forces were on high alert ahead of the first anniversary of an attack on October 7 last year, which sparked the war and was carried out by Hamas. According to Israel tallies, some 1,200 people were killed and about 250 taken hostage in the unprecedented Hamas attack on southern Israel. Israeli police on October 6 said several people had been injured in a suspected shooting attack in Beersheba, a city in southern Israel. One attacker was killed, the ambulance service said. Separately, health officials in Hamas-run Gaza reported on October 6 that at least 41,870 Palestinians have been killed in the territory in the yearlong war between Israel and Palestinian militants. Palestinian officials said that an Israeli strike on a mosque in Gaza early on October 6 killed at least 19 people who were sheltering after being displaced from their homes near the town of Deir al-Bala. The Israeli military said the strike was targeting militants. The reports could not immediately be confirmed, but the Associated Press said one of its journalists counted the bodies at the Al-Aqsa Martyrs Hospital morgue. AP also reported that hospital records showed that the fatalities from the mosque strike were all men. Israel said its forces on October 6 surrounded the Jabaliya area of northern Gaza in response to indications that Hamas was rebuilding its operational capabilities in the area. Israel is also considering a retaliatory strike on Iran, which fired at least 180 ballistic missiles at Israel on October 1. Iranian Oil Minister Mohsen Paknejad visited Kharg island on October 6, amid concerns that Israel could target Iran's largest oil terminal there. "The Islamic Revolutionary Guard Corps [IRGC] Navy plays an important role in the security of oil and gas facilities," Paknejad was quoted as saying at the facility, from which around 90 percent of Iranian oil exports are shipped. In Syria, state media and local rights monitors said an Israeli air strike targeted three cars in the city of Homs, although details remained sketchy. Israeli forces have for years been striking Iran-linked targets in Syria and have intensified such actions since the October 7 attacks. With reporting by Reuters, AFP, and AP One year ago, Hamas -- the U.S.- and EU-designated Palestinian terrorist group that controls the Gaza Strip -- carried out an unprecedented attack on Israel, the deadliest in the countrys history. In response, Israel launched an aerial bombardment and ground invasion of the Palestinian enclave to destroy Hamas and rescue the 251 hostages taken by the group. Israel has expanded its war in recent weeks by invading Lebanon and launching air strikes targeting Hezbollah, the armed group and political party that controls much of southern Lebanon. RFE/RL spoke to Lior Yohanani, manager of quantitative research at the Israel Democracy Institute, a Jerusalem-based independent research center, which on October 7 released a wide-ranging survey of Israeli public opinion after one year of war. RFE/RL: Can you explain what your study found as to how Israelis view the past year since Hamas's October 7 attack? Lior Yohanani: Well, I think Israelis still don't see October 7 as an event that's over. Sure, the actual horrific events of that day ended, but Israelis are still living with the consequences. There are two main aspects to this. First, since October 7, Israel has been in this multifront war that doesn't seem to have an end in sight. And then, of course, there is the issue of the hostages still being held in Gaza. So, we're seeing a sharp drop in people's sense of personal security. Almost three quarters of the public feel less safe compared to before October 7, and that's despite a year of war and some significant military achievements. On the flip side, we're also seeing that most people say their lives have returned to normal when it comes to things like work, media consumption, and family and social gatherings. Another thing we're noticing is that the Israeli public is giving pretty low marks to all the political and military leaders for the performance since October 7. For example, almost two-thirds of Israelis are rating Prime Minister [Benjamin] Netanyahu's performance since then as poor or not good. RFE/RL: How has Israel's involvement in a two-front conflict, in both Gaza and Lebanon, as well as a confrontation with Iran affected public opinion among Israelis? Yohanani: It's tough to answer that question, because we're at the point where things could go in a few different directions. In the last few weeks, we've seen a major escalation in the conflict with Hezbollah in Lebanon, and just last week, Iran launched nearly 200 ballistic missiles at Israel, which Israel is expected to respond to. In a survey we just did recently, we asked whether Israeli society and the military could handle fighting on two or more fronts for an extended period of time, and the results were pretty striking. Over 70 percent believe that yes, both Israeli society and the military can handle that kind of prolonged fighting. So, while the situation is complex and evolving, there seems to be a strong sense of resilience and capability among Israelis, even in the face of these multiple threats. But of course, public opinion could shift depending on how events unfold in the coming weeks or months. RFE/RL: Is there support for Netanyahus response to October 7? Is there debate in Israeli society, as well as political circles, over Netanyahus strategic choices? Yohanani: First of all, it's important to say that the Israeli public has largely supported significant military operation against Hamas in Gaza. That said, the Israeli discourse around the October 7 events, the ongoing war, and especially toward Prime Minister Netanyahu, is very polarized between right-wing supporters on the one hand and left and center supporters on the other. People are hoping for a future where Israel can exist without constant threats, rather than expecting a harmonious relationship with its neighbors in the near-term." So, on the left and the center, there is a high level of distrust and suspicion toward Netanyahu and his government. For instance, Netanyahu's apparent reluctance to pursue a deal for returning the hostages in exchange for ending the fighting in Gaza is seen by large parts of the public, even on the right, as resulting from Netanyahu's dependence on far-right, ultranationalist members of his government who refuse any compromise or ceasefire. Now for a long time, Netanyahu and his ministers argued that only significant military force would lead Hamas to compromise and release the hostages. Now, with military attention and resources shifting to the north, people are asking, where is this massive military force that was supposed to bring the hostages home? One question we have asked several times since October 7 in our polls is what should be the main goal in Gaza: Dismantling Hamas or bringing back the hostages? And as time goes on, public opinion is increasingly supporting the return of hostages. In our current survey, 62 percent saw bringing the bringing back the hostages as Israel's main goal, while only 29 percent pointed to dismantling Hamas as the primary objective. RFE/RL: How do ordinary Israelis see the question of the remaining hostages amid the continued protests by the hostages' families? Yohanani: As I mentioned before, most of the public supports a deal to release the hostages, even if it means ending the war and withdrawing the military forces from Gaza. There's this widespread feeling that we've left the hostages behind, and that's really hitting at our sense of solidarity, which is a deep and fundamental value, I think, in Jewish history in general and in Israel society in particular. At the same time, the campaign run by the Hostages And Missing Families Forum has become very politicized. Many right-wing supporters see it as weakening Israel. As time goes on, we're seeing more and more harassment of protesters who support bringing the hostages back. There are cases of passersby cursing, even hitting and throwing eggs, at hostages' families. In our latest survey, we asked about the effectiveness of the protests and actions taken by the hostages' families. Despite most of the public feeling empathetic toward the hostage issue, only less than a third think these actions are actually helping to advance a deal for the hostages' release, while almost 40 percent think they're actually hurting the cause. So, you've got this complex situation where people want the hostages back, but there is disagreement and some backlash about how to make that happen. RFE/RL: Can you explain the reasons behind the apparent contradiction in views regarding prioritizing a negotiated return of the hostages, or destroying Hamas? Yohanani: You're right to point out that apparent contradiction. Let me break it down a bit. As I mentioned earlier, a clear majority of the public sees a deal to release the hostages as the main goal. But there is a big gap between political camps on this issue. In the center and left, about 80 percent support the deal for the hostages' release, while the opinions on the right are evenly split. So, for most of the left and center, the fighting in Gaza has run its course. They feel most military objectives have been achieved, and Hamas's military power has been significantly weakened. From their perspective, continuing the fight now only puts the hostages at greater risk. It's important to know that about half of the right-wing also shares this view of prioritizing the hostages' release, but the other half of those on the far-right thinks dismantling Hamas is more important. Why? For a couple of reasons. First, there's a security stance that Hamas must be wiped out and not allowed to recover. There is also a very strong sentiment of revenge, with minimal consideration for the cost, whether it's the lives of the hostages, soldiers, let alone innocent civilians in Gaza. Another significant component openly discussed in religious nationalist circles is the return of Jewish settlement to the Gaza Strip after Israel evacuated Jewish settlements from there in 2005. RFE/RL: Is there public confidence that Israel will ultimately be able to remove the threat of Hamas and Hezbollah and come out of this conflict with greater prospects for a peaceful and stable near-term future? Yohanani: Right now, the Israeli public isn't showing a lot of optimism. In our current survey, when we asked people if they're optimistic or pessimistic about Israel's future, we found more pessimists, 48 percent, than optimists, 45 percent. I also think it's important to note that a peaceful future, as you put it, or peace in general, isn't really a common concept in the current Israeli discourse. I would say the hope of Israelis is that the military actions against Hezbollah and Iran will lead to a situation where Israel's existence isn't in question, and that Israeli military superiority will prevent events like October 7 from happening again. So, it's less about peace in the traditional sense, and more about security and deterrence. People are hoping for a future where Israel can exist without constant threats, rather than expecting a harmonious relationship with its neighbors in the near-term. Dimitry Toukhcher was taking a breather from a weightlifting session in January 2023 when he flicked open his e-mails to find a message from controversial Canadian author and psychologist Jordan Peterson. Peterson has become a cultural lightning rod in the West since rising to prominence in 2016 over opposition to Canadian laws around gender identity. He has also raised hackles for his views on feminism, climate change, and political correctness. He is a prominent opponent of progressive politics who enjoys vast audiences for his books and YouTube lectures. "Just gave you a shout-out on Rogan," read the one-line message. Toukhcher, the 41-year-old founder of the LGFG fashion house, took a moment to absorb the enormity of those seven words, then replied, "I'm going to frame that e-mail and put it on my wall." The next day, he watched along with millions around the world as Peterson opened The Joe Rogan Experience -- the worlds most popular podcast -- describing the unique "heaven and hell" suit that Toukhcher had designed for him. News stories, memes, viral clips, and entire analysis videos breaking down the symbolism of Peterson's vivid new outfits followed. Such guerrilla marketing has its roots in Toukhcher's Soviet upbringing and a subsequent, ferocious faith in the free market. Toukhcher still has clear memories of his 1980s childhood in the south of Kyiv and the events that were shaking the foundations of the Soviet Union at the time. "I remember Chernobyl, and I was only 3 years old when that happened," he told RFE/RL. "I remember quite well my grandmother taking me to Odesa during that time. We wanted to head south because the wind was going north." Toukhcher's grandmother's job as the editor for a state propaganda newspaper meant she "knew something was amiss" before the wider Soviet public became aware of the scale of the nuclear disaster. Toukhcher left Ukraine with his parents in 1992 amid the economic chaos that was roiling the former Soviet Union. When he arrived in Vancouver, Canada, the young Toukhcher, steeped in Soviet supremacy, stepped into an alternate reality. "I was a fairly conscientious student, even in the Soviet school system. I really cared about doing well. And doing well usually meant appeasing the teacher, which means being quite a good conformist," he recalled. "I really believed and had a lot of pride in the fact that [as a Soviet citizen] I was from a country that had the best scientists, the best technology, the best people, the most caring government. We were really told that a lot in school." But faced with Canadian supermarkets filled with enticing food, and cartoons playing around the clock on television, Toukhcher was confronted with the realization that "everything here in Canada is just better, obviously." The experience, he said, "f****d with me really hard." Today, Toukhcher says that, largely as a result of that slap-in-the-face lesson on propaganda and the free market, "I'm an unapologetic capitalist." Because his teams rely directly on sales for their incomes, he said, "We eat what we kill." Toukhcher has declined in the past to be interviewed about his relationship with Peterson. He agreed to speak with RFE/RL provided he could record the conversation lest he be misquoted. After listening to an audio version of Peterson's self-help book 12 Rules For Life, which has sold more than 10 million copies, Toukhcher, who had been a fan of Peterson long before the book's 2018 release, took note of the main point of each chapter. He then imagined a series of 12 suits, one for each of the chapters, with themes such as "Tell the truth -- or, at least, don't lie," and "Stand up straight with your shoulders back." The suits would have the title of each chapter under the collar and be made with symbolic materials. The "stand up straight" outfit was to be woven with fiber from bamboo; another suit, made for the theme "Set your house in perfect order before you criticize the world," would be lined with Soviet propaganda posters. The unusual lining was a nod to Peterson's personal collection of Soviet art -- much of it sourced from Ukraine -- that the psychologist says he keeps as a reminder of the mass murder carried out in the name of Marxism through the 20th century. Attempts to reach Peterson with his pitch to make the suits a reality failed initially, Toukhcher says. But in June 2022, when he saw that Peterson was going to be in Estonia, where Toukhcher now lives, he says he "did what any crazed fan would do. I bought the VIP ticket to a show and shook his hand." After the Tallinn encounter, the clothing designer was able to book a 10-minute phone call with Peterson. "I got right into the imagery [of the 12 suits] and the meaning behind each detail of the suit, and he liked it," Toukhcher said. "He said, 'Lets go for it.'" Peterson has said he is well aware of the promotional impact that wearing Toukhchers suits has had for the Ukrainian-born entrepreneur but said, "Thats fine," given he "doesn't push it, he plays nice." Some suits have been more impactful than others. A recent design by Toukhcher bearing religious iconography has been worn by Peterson in several high profile-podcast appearances and public speaking events. Another suit, designed in the colors of the app formerly known as Twitter, was widely panned as making the Canadian look like an "unemployed birthday clown." The suit jacket was lined with screenshots of tweets attacking Peterson's dress sense, apparently anticipating the reaction the blue velvet design would evoke. In a July 2024 podcast, the Canadian author said of Toukhcher's suits, "He sends me these damn things, and I think, 'There's no way I'll wear that.' Then I put it on and I think, 'Huh, I like that.'" PRAGUE -- The chairman of the NATO Military Committee said the question of whether to allow Ukraine to strike deep inside Russia with Western-made long-range missiles is a "political discussion" but, from a military standpoint, would be within Ukraine's legal right. Dutch Navy Admiral Rob Bauer told RFE/RL in an interview on September 14 that the lifting of the restriction is not a question that NATO is discussing, but in his opinion as military leader the answer would be yes. Live Briefing: Russia's Invasion Of Ukraine RFE/RL's Live Briefing gives you all of the latest developments on Russia's full-scale invasion, Kyiv's counteroffensive, Western military aid, global reaction, and the plight of civilians. For all of RFE/RL's coverage of the war in Ukraine, click here. "In accordance with the UN charter and in accordance with the law of armed conflict, if you are attacked by a nation, then you are allowed to defend yourself," Bauer said. "That defense doesn't stop at your borders. You are allowed to actually attack the enemy on its own territory." Bauer spoke with RFE/RL as the NATO Military Committee -- the alliance's highest military authority -- met in Prague for a two-day conference to discuss strategic developments within the alliance in light of decisions made during the NATO summit in July. The meeting took place a day after British Prime Minister Keir Starmer met U.S. President Joe Biden at the White House to discuss whether to approve Kyiv's request to use long-range missiles against targets in Russia. Bauer said the threats voiced by Russian President Vladimir Putin and former President Dmitry Medvedev in response to those discussions showed their frustration over how the war is going 2 1/2 years after Russia launched it. "If I think it's primarily a proof of how frustrated they are because Russia has not achieved any of their strategic goals in Ukraine," Bauer said. Putin said earlier this week that the West would be "at war" with Russia if it allowed Ukraine to strike with Western-made long-range missiles, while Medvedev said on September 14 that Russia could destroy Ukraine's capital, Kyiv, with non-nuclear weapons if the West lifted its restrictions. Medvedev, who now serves as deputy chairman of the country's security council, also said Moscow could resort to nuclear weapons. Bauer said the threats must be taken seriously, but what NATO and the other allies of Ukraine are doing "is within the UN charter, within the international law. And we will continue doing so." In his speech to the conference, Bauer praised the Ukrainian military for proving to the world that there is "nothing they cannot do" and only need the support from their allies to achieve their goals. "And they will have it -- not only now, not only for the duration of the war, but also in the decades to follow," Bauer said in comments to the conference. NATO allies led by the United States have donated tens of billions of dollars of military equipment to help Ukraine fight the war, and Bauer said Ukraine's forces are growing "more and more interoperable" by the day with NATO forces, moving the country closer to NATO membership. "One day, we will stand side by side under the NATO banner," he said. "Ukraine deserves our unrelenting support, not only because of who they are as a people but also because of who we are as NATO." Bauer also said NATO needs need a much larger defense-industry production capacity and it must be better coordinated to increase deterrence. "The more we ramp up our deterrence, the better chance we have of protecting the freedoms that we hold dear and preventing war from ever entering our soil," he said. Army Lieutenant General Karel Rehka, chief of the General Staff of the Czech Armed Forces, also commented on the war in Ukraine, saying it is a matter of survival for Ukraine and a fight for the principles of democracy, sovereignty, and territorial integrity that NATO exists to defend. "It is imperative that we -- at the top of our militaries -- provide all necessary assistance to Ukraine, be it in the form of training or supplying weapons and other military equipment," Rehka said in an address to the conference on September 14. "By supporting Ukraine, we are also strengthening our unity and containing the Russian threat." Both Bauer and Rehka agreed on the need for greater defense expenditures, with Rehka saying, "It is evident that 2 percent of GDP on defense spending will not be enough." Rehka also warned that threats from Russia come not only on the conventional battlefield but also in the form of hybrid tactics, cyberattacks, disinformation campaigns, and kinetic acts of violence. "All these actions are designed to sow fear and undermine the unity of our societies. We must, therefore, take a broad approachthat integrates our conventional military capabilities with robust cyberdefenses and strong civil-military cooperation." BAKU, Azerbaijan, September 14. World-famous actor and musician Will Smith, who arrived in Baku to perform at a concert as part of the Formula 1 Azerbaijan Grand Prix, appeared in the paddock today, Trend reports. Will Smith visited the Formula 1 garages, met with famous teams and pilots. The American actor also met with his fans, shared sincere moments with them and gave interviews. Will Smith gave fans an unforgettable night with his spectacular performance on the first day of the Formula 1 Azerbaijan Grand Prix. WASHINGTON -- Ukrainian President Volodymyr Zelenskiy for months has been pleading with the United States and Britain for the right to use their long-range missiles to strike deep inside Russia. He finally appears to be close to achieving his goal. There has been noticeable momentum over the past few weeks to loosen those restrictions ahead of a key meeting between President Joe Biden and British Prime Minister Keir Starmer in Washington on September 13. The two leaders were expected to discuss support for Ukraine, among other topics, but not formally announce any change in policy. But the meeting itself and other bits of information reported during the week gave the appearance that something was afoot. Asked on September 11 whether he would loosen the restrictions, Biden said, We are working that out right now, signaling a possible shift in his position. The comment came as many members of Congress, including those from his own Democratic Party, called on the president to ease his policy. I expect that we will see an announcement shortly about relaxing the restrictions. There has been tremendous buildup, and it would be very hard to backtrack, Mark Cancian, a military analyst at the Center for Strategic and Institutional Studies, told RFE/RL. I suspect the United States and the U.K. are hammering out the conditions, in particular the target sets, because they will want to limit the strikes to military targets to avoid civilian casualties and suffering. The likely permissible targets for long-range strikes will include airfields, logistics hubs, depots, and headquarters, he said. Live Briefing: Russia's Invasion Of Ukraine RFE/RL's Live Briefing gives you all of the latest developments on Russia's full-scale invasion, Kyiv's counteroffensive, Western military aid, global reaction, and the plight of civilians. For all of RFE/RL's coverage of the war in Ukraine, click here. Ukraine has repeatedly struck Russian energy assets, in particular oil refineries and storage facilities, with their own homemade, long-range drones since the start of the year. Though they are legitimate military targets inside Russia, the Biden administration did not express support for the strikes. The U.K.'s Guardian newspaper reported on September 12 that London has already given Ukraine the green light to use its Storm Shadow cruise missiles, which can travel up to 250 kilometers, for long-range strikes deep into Russian territory. The U.K. requires Washington's permission because the missiles contain U.S. parts. Giving Ukraine the green light to use Army Tactical Missile Systems (ATACMS) -- a powerful, supersonic, ballistic missile that can travel as far as 300 kilometers -- to destroy Russian military assets far from the front lines following months of foot-dragging would be consistent with a pattern set by the Biden administration since the start of Moscows invasion. The administration had initially denied Ukraine's requests for Abrams tanks, F-16 fighter jets, and long-range missiles like High-Mobility Artillery Rocket System (HIMARS) and ATACMS, in part amid concern it could provoke Russia. Biden eventually gave in to all those requests as pressure mounted from Ukraine, allies, and Ukraine supporters at home. Earlier this year, as Russian forces concentrated near the border with the apparent intention to reinvade Kharkiv, Ukraines second-largest city, the Biden administration relaxed its policy and allowed Ukraine to fire ATACMS at forces just inside Russia, but no further. Glide Bombs The call to ease the restrictions on long-range strikes has grown this year as Russia continues to terrorize Ukrainian troops and cities with powerful glide bombs, which are difficult to spot on radar. Zelenskiy in June said Russia was dropping as many as 3,000 glide bombs a month. The pounding of Ukrainian positions from the sky has enabled Russian ground troops -- albeit at extraordinary costs in human life and equipment -- to gain territory, threatening key logistics towns, like Pokrovsk. The best defense against the glide bombs is to destroy the planes dropping them or push those planes farther from the front lines, something that can be achieved by targeting air bases, experts say. But Cancian agreed with U.S. Defense Secretary Lloyd Austin's statement last week that easing the restrictions will not turn the tide of the war in Ukraine's favor. The analyst pointed to the limited impact long-range Western weapons have had so far on Russia-controlled Crimea. Ukraine has struck Russian airfields and other military assets on the peninsula with those weapons. While this has weakened Moscows capabilities, it hasnt been decisive in that theater of the war, he said. He added that the use of long-range precision missiles inside Russia could make it more difficult for Moscow to launch glide bombs and force it to disperse headquarters and logistics hubs, making its military operation less efficient. It is not going to be a game-changer, but it would be helpful, he said. Putin's Threats As momentum built this week to ease the restrictions, Russian President Vladimir Putin issued his latest warning to the West about supporting Ukraine, saying he would view such a policy change as the direct participation of NATO countries in the war in Ukraine. However, he did not say how he would react. Putin in 2022 hinted at the use of tactical nuclear weapons, a comment that immediately provoked a negative response around the world, including from friendly countries like India. Earlier this year, following the latest U.S. policy change, he said Russia could supply lethal weapons to Western adversaries. Putins saber-rattling has influenced the Biden administrations cautious approach to supplying Ukraine with ever more sophisticated weapons such as F-16 fighter jets and long-range missiles and permitting strikes inside Russia. Some experts argue that Putin's threats are empty, saying he hasn't followed through on any to date, and that the United States should not heed them. David Kramer, who served as U.S. assistant secretary of state for democracy, human rights, and labor from 2008-09, told a conference on September 12 that Putin is using the nuclear threat to undermine Western resolve. I really would caution against overstating the possibility of nuclear escalation. That is what Putin wants us to think. Lets not fall for it, said Kramer, a Russia expert who is now the executive director of the George W. Bush Institute. BAKU, Azerbaijan, September 14. US Secretary of State Antony Blinken has welcomed the progress in Azerbaijani-Armenian normalization, the spokesperson of the US Department of State Matthew Miller said, Trend reports. He noted that Blinken recently spoke with Armenian Prime Minister Nikol Pashinyan and reiterated the importance of a durable and dignified peace between Armenia and Azerbaijan. The Secretary of State welcomed the recent progress between the sides, including the agreement to regulate border delimitation, he added. To note, following the Protocol of the eighth meeting of the State Commission on State Border Delimitation between the Republic of Azerbaijan and the Republic of Armenia and the Commission on State Border Delimitation and Border Security Issues between the Republic of Armenia and the Republic of Azerbaijan signed on April 19, 2024, the Commissions completed the work on the agreement of the Regulations on Joint Activities. The Regulations on Joint Activity of the Commissions were signed on August 30, 2024. At present, the Republic of Azerbaijan and the Republic of Armenia have initiated internal procedures. Strokestown Agricultural Show, which gets underway today, Saturday, September 14th, has an incredible line up and an eye catching 80,000 in total prize money. The show will take place on the grounds of Strokestown Park House. One of the main sections of the show is the cattle section, which is set to be as competitive and popular as ever. In this section, the Sweeney family has generously sponsored the All-Ireland Beef Bullock Final to the value of 6,000. The Sweeney family also sponsors the Breeding Heifer Class to the value of 2,000. Greenvale Animal Feeds sponsors the All-Ireland Beef Heifer Final to the value of 3,000. Greenvale has sponsored the All-Ireland Beef Heifer Final for Id say 25 or 30 years, said show chairman John OBeirne. Pictured at the Strokestown Show launch in the Percy French were Martin Shiel, Strokestown Show Treasurer; Anthony Geoghegan, Roscommon Sheep Breeders, sponsors Jack Beirne and Dylan Cronin, Corby Rock Mill, John Farrell Roscommon Sheep Breeders and Strokestown Show Chairperson John O'Beirne. Pic. Michelle Hughes Walsh Mr OBeirne also said that Percy Hanly and the Hanly family had been sponsoring the All-Ireland calf classes for a long number of years, and he thanked Mr Hanly for his generous sponsorship. With sponsors like that, the show is blessed, Mr OBeirne said. He also thanked the many breed societies that attend the show, highlighting that the Pedigree Angus section has a total prize fund of 13,000. Pictured at the Strokestown Show launch in the Percy French Hotel were committee members and volunteers. Pic. Michelle Hughes Walsh He also welcomed the shows many breed societies to the launch, which included the Charolais Society, Hereford Charolais, the Shorthorn Society and the Angus Society. We have had the All-Ireland Angus finals here for a long number of years, he said. He added that the Irish Simmental All-Ireland finals will also be held at the Strokestown Show this year. We have a serious show of Simmental cattle here. We cater for every breed, we cater for every society, he said, adding that the show was delighted to host the societies' finals. The Breeders Choice class is open to all traditional genotype 4* or 5* breeding heifers, suitable to make a suckler cow. This is sponsored by the Department of Agriculture and Minister Charlie McConalogue. In the sheep section, Mr OBeirne said there is a new class for the Roscommon Sheep Breeders Society. There is a serious amount of work involved in getting this show up and running," said Mr O'Beirne. He thanked the shows hardworking, efficient, and great committee. Starting in 1867, the show has run every year since then, bar two years during the pandemic. Pictured at the Strokestown Show launch in the Percy French were Strokestown Show Treasurer, Martin Shiel, Vice -Chairperson Padraic Holmes, Show Chairperson John O'Beirne, sponsor Cormac Dolan from Greenvale Animal Feeds and Allen Dolan, Show Joint Secretary. Pic. Michelle Hughes Walsh We always boasted that we were the only show that ran continuously for 150 years. Its first chairman was Percy Frenchs father. Theres history within history there. We have the original schedule that was printed in 1867 and we got it reprinted. That is thanks to David McMahon. He located it, his mother had it as it had come down through the generations fully intact," said Mr O'Beirne. September 14, UPDATE A roundup of local and international news. Newsflash Newsroom, 14.09.2024, 20:00 FLOODING More than 5,000 households in several localities in Galati county (eastern Romania) were affected by floods, the General Inspectorate for Emergency Situations announced on Saturday. At least four people died and several hundred were evacuated. Also, in Vaslui County (east) there were floods in several localities, and dozens of people were evacuated. In several eastern counties, road and rail traffic were disrupted, and the electricity supply was interrupted. The authorities have announced that several camps will be set up for the citizens evacuated following the floods. The government specified that the residents of the flooded areas in Galati county, the most affected by the episode of severe weather and the consequences of the heavy rains, will receive water and food immediately, for a period of 7 days. WEATHER The heavy rain created problems in the Republic of Moldova, in several districts near the border with Romania, as well as in the capital Chisinau, where a number of main streets in the city center were flooded, the authorities being forced to suspend the circulation of several public transport lines. There are also problems in other states in Central and Eastern Europe, after days of heavy rainfall. In the Czech capital, Prague, which suffered catastrophic flooding in 2002, flood barriers were erected. In the capital of Slovakia, Bratislava, there is a state of emergency following heavy rains. In Poland, due to the overflow of a river, the authorities decided to close a border crossing point with the Czech Republic, and it is not possible to drive on several national roads. DEFENSE The U.S. State Department on Friday announced it has approved the sale of 32 F-35 Joint Strike Fighters to Romania in a deal worth roughly $7.2 billion. Romanias deal for the Lockheed Martin-made F-35s will also include F135 engines made by Pratt & Whitney for each jet and a spare engine, the Pentagon announced. The Romanian Defense Ministry hailed the decision and specified that, in addition to the jets, the purchase would also provide logistics and maintenance support, navigation, communications and cryptographic equipment, ammunition and weapons, training for pilots and other personnel, and simulators. Romania is a key NATO Ally in promoting security and stability in the Black Sea region and beyond. The F-35As will provide Romania with unparalleled air defense capabilities and enhance interoperability among NATO air forces, U.S. Ambassador Kathleen Kavalec said. ENERGY Romanias natural gas deposits are full and the coal stocks are are at the required level. This is the conclusion of Fridays meeting of the National Energy Command, convened by Energy Minister Sebastian Burduja. He specified that the problem is the significant fluctuation of energy prices in the short term, and one cause is the lack of interconnectivity between Austria and Hungary. The energy ministers from Romania, Bulgaria and Greece will present in about 10 days, to the Council of Ministers of the European Union, a preliminary plan for accelerating investments in interconnections, so that when energy is cheaper in one part of Europe, the other part can also benefit similar prices. Romania continues to be the second largest gas producer in the EU. GERMAN BORDER The Romanian Ministry of Foreign Affairs warns its citizens traveling to Germany to prepare for longer waiting times, because the government in Berlin has decided to extend temporary checks at all land borders to reduce illegal migration. As of Monday, in addition to the temporary checks already in place at the land borders with Austria, Switzerland, the Czech Republic and Poland, there will also be checks at the borders with France, Luxembourg, the Netherlands, Belgium and Denmark, for a period of six months. Romanians facing special situations can call the embassys hotlines in Berlin, as well as the Romanian consulates in Germany. FARMERS Romanian farmers will receive a bigger advance from the European funds, the Agriculture Ministry in Bucharest announced. According to the institution, advances will be granted in the amount of 70% from the European Agricultural Guarantee Fund for direct payments and 85% from the European Fund for Rural Development, as a result of a derogation approved by the European Commission. The Romanian Agriculture Minister, Florin Barbu, has said that this will help farmers cope with the liquidity problems they face, in order to prepare for the new agricultural year. The Agency for Payments and Intervention in Agriculture specified that a budget of approximately 1 billion euros was allocated to cover these amounts and that it is making efforts to start payments on October 16. DRUGS Three Albanian and three Romanian drug traffickers were detained by the Romanian law-enforcement agency DIICOT, after they were caught with approximately 4.5 kg of heroin. The drugs were prepared in a house in Ialomita county (south) and were intended for the Romanian market. The value of the heroin is approximately 50,000 euros. According to the Romanian Police, investigations began after two Albanian citizens entered the country on September 9, with the aim of trafficking a large amount of heroin. They then met with other members of the group in a house in Ialomita, where the drugs were mixed with additives, portioned and packaged. Later, the narcotics were distributed among the members of the group, according to DIICOT. BAKU, Azerbaijan, September 14. Azerbaijani Prime Minister Ali Asadov met with a delegation headed by the President of the Supreme Court of Appeals of the Republic of Turkiye Omer Kerkez, Trend reports. In the course of the meeting, the sides expressed satisfaction with the successful development of ties between Azerbaijan and Turkiye in all spheres and emphasized that interstate relations have reached the highest level of strategic partnership and alliance due to the joint efforts of the leaders of the two countries. Furthermore, the level of cooperation between the judicial-legal systems of the two countries was highly assessed. The importance of continuing this cooperation both in a bilateral format and within the framework of international organizations was stressed. In this context, the establishment of the Conference of Supreme Courts of Turkic States in Shusha last October was highlighted. The prospects of expanding cooperation between Azerbaijan and Turkiye in various directions, including in the judicial-legal sphere, were discussed at the meeting. Chairman of the Supreme Court of the Republic of Azerbaijan, Inam Karimov, attended the meeting. BAKU, Azerbaijan, September 14. The Central Election Commission (CEC) of Azerbaijan is holding an important meeting under the chairmanship of Mazahir Panahov, Trend reports. The meeting is all about approving the protocol of the CEC meeting of September 12 in connection with the snap parliamentary election held on September 1 and considering the received appeals. To recall, a total of 112,749 local observers were registered to observe the election. More than 65,000 of them were representatives of political parties. The number of registered international observers was 598, representing 51 organizations and 69 countries. Out of 990 registered candidates in the parliamentary election, 305 are representatives of 25 political parties. Even though 371 candidates were affiliated with political parties, their respective parties did not nominate them. A total of 676 candidates were affiliated with a political party. Will be updated Subscription to paid content Gain access to all that Trend has to offer, as well as to premium, licensed content via subscription or direct purchase through a credit card. China proposes new regulation on labeling AI-generated content Xinhua) 15:49, September 14, 2024 BEIJING, Sept. 14 (Xinhua) -- The Cyberspace Administration of China (CAC) has released a draft regulation that aims to standardize the labeling of AI-generated synthetic content to protect national security and public interests. Titled "Measures for identifying AI-generated synthetic content," the draft regulation is open for public feedback until Oct. 14, 2024. AI-generated synthetic content, as defined by the proposed rules, is any text, image, audio or video created using artificial intelligence technologies. Under the draft regulation, internet information service providers must adhere to mandatory national standards when labeling such content. Providers offering functions like downloading, copying or exporting AI-generated materials must ensure that explicit labels are embedded in the files. Platforms that distribute content are also required to regulate the spread of AI-generated materials by offering identification functions and reminding users to disclose whether their posts contain AI-generated content. (Web editor: Zhang Kaiwei, Xian Jiangnan) BAKU, Azerbaijan, September 14. Serbia and Azerbaijan will sign agreement on supply of additional gas volumes, Trend reports via the Serbian Ministry of Mining and Energy. Serbian Minister of Mining and Energy Dubravka Djedovic announced the preparation of an official agreement regarding the purchase of gas from Azerbaijan. During a visit to Novi Sad city on September 13, the minister attended the Srbijagas company headquarters. "This November, we'll have 750 million cubic meters of gas in underground storage at Banatski Dvor [Serbian village] and 163 million cubic meters in gas storage facilities in Hungary. We have also secured additional gas supplies from Azerbaijan (in 2024), which we will purchase according to our needs, and we'll formalize the official agreement soon," the minister said. She mentioned that Serbia has a strategic interest in having gas storage facilities on its own territory. "By the end of 2026, 1.5 billion cubic meters of gas will be stored in Banatski Dvor. The measures being taken will crucially contribute to energy security and stability for the next 25 years," the minister added. To note, Serbia has been receiving gas from Azerbaijan since the beginning of this year, and over the past period, it has purchased more than 23 million cubic meters. On November 15, 2023, a contract was signed between Srbijagas and the State Oil Company of Azerbaijan (SOCAR) for the supply of Azerbaijani gas (up to 400 million cubic meters per year during 2024-2026, with the possibility of an increase starting in 2027). The gas is transported through Bulgaria. Stay up-to-date with more news on Trend News Agency's WhatsApp channel BISHKEK, Kyrgyzstan, September 14. Tajikistan has proposed developing an action plan for implementing the Shanghai Cooperation Organization's (SCO) Economic Development Strategy to 2030, the Ministry of Economic Development and Trade told Trend. This proposal was made by Minister of Economic Development and Trade, Zavqi Zavqizoda, during the 23rd meeting of SCO member states' ministers of economy and trade. SCO countries account for nearly half of the world's population and 27 percent of global GDP, making the region a massive market with significant opportunities. In this context, Tajikistan initiated the development of the SCO Economic Development Strategy to 2030, which has been adopted by the member states, Zavqizoda said. He suggested that participants develop an action plan to implement the strategy, focusing on areas such as the digital economy, green energy, e-commerce, large infrastructure projects in the energy and transport sectors, and innovative fields. He also highlighted the use of Tajikistans extensive transit potential. The minister emphasized that Tajikistan views cooperation within the SCO as a priority for its foreign policy. As a result, trade turnover between Tajikistan and SCO countries has shown consistent growth, with over 70 percent of Tajikistans foreign trade conducted with SCO member states. STATEN ISLAND, N.Y. New York Gov. Kathy Hochul announced her return to work Sept. 13 after undergoing an outpatient procedure to treat skin cancer. In a video posted on social media, Hochul, 66, thanked New Yorkers for their well-wishes and support during her recovery. Back at work after this mornings procedure! Thanks to everyone for the kind wishes. pic.twitter.com/DeNRQHPpSU Governor Kathy Hochul (@GovKathyHochul) September 13, 2024 STATEN ISLAND, N.Y. As the outdoor temperature drops this time of year, many people will, unfortunately, experience their body temperature going up along with the other uncomfortable symptoms of the coronavirus (COVID-19). And now that cold and flu season has become COVID-19, cold, flu and RSV season, medical experts are urging folks to head to the doctor or pharmacist for the newest preventative vaccines. And New York Gov. Kathy Hochul is urging New Yorkers to get the vaccine as the children return to school. The Food and Drug Administration has approved two new 2024-2025 mRNA COVID-19 vaccines, which protect against new variants of the virus. And the Centers for Disease Control and Prevention (CDC), along with local medical experts, say the vaccine will prevent serious symptoms in recipients, along with protecting others who have compromised immune systems and co-morbidities, including lung and heart vulnerabilities. The two newest vaccines, manufactured by Moderna and Pfizer, are both formulated to target KP.2, a strain of the virus that comes from the omicron family of variants, the same family responsible for a variety of variants beginning in 2021. It is anticipated that the new vaccines will also be effective against newer evolutions of the virus within the omicron family, according to the CDC. And while deaths and hospitalizations are nowhere near the levels we experienced in the height of the pandemic, last year more than 916,300 people were hospitalized in the United States due to COVID-19, and more than 75,500 people died from it, according to the CDC. And, most people who were hospitalized had not received last years updated shot, the according to the agency. The currently available vaccines are also expected to protect against any future variants discovered in 2024-2025, according to medical experts. We know the vaccine will still be effective going into the winter months, said Dr. Thomas Gut, associate chairman of medicine at Staten Island University Hospital and medical director of Northwells Post-COVID Recovery Program. Weve targeted specific strains of it better. The original vaccines didnt have the benefit of knowing what evolutions of the virus will come about. We can now predict, (from the) family, whats more likely to target the strains. Even if youve been vaccinated prior to this new vaccine becoming available, the earlier vaccine would not be entirely protective against the newer strains, and some of that immunity would have waned any way, said Dr. Philip Otterbeck, chief medical officer at Richmond University Medical Center (RUMC). Testing, too, will become easier in the coming weeks, as those in the United States will soon be able to order free text kits, according to the U.S Department of Health and Human Services. Protecting those more vulnerable Getting vaccinated and testing will do as much for others as it will for those receiving the shot, according to the medical experts. Its not only for the parties receiving the vaccine, it is protecting their friends and family who might be more vulnerable, said Otterbeck. The overall recommendation is that everyone 6 months of age and above should be vaccinated against COVID, with particular emphasis on those over 65 or those with multiple comorbidities. Those who are immunocompromised are at the greatest risk, the doctors said. And testing will allow people the opportunity to make good decisions, stay home, and avoid infecting those who are at particular risk. The elderly, those with any cardiovascular disease or any kind of chronic lung disease and those with any immune deficiency are at particular risk of serious complications from COVID-19 that may lead to hospitalization or even death, Otterbeck said. Can I still get COVID after getting the vaccine? Though it is still possible to contract COVID-19 after being vaccinated, there is still much to gain, even for the young and those without compromised immunity, Gut said. Even if you do end up getting COVID and youre younger, the COVID vaccine does help you get over it quicker and with fewer symptoms, he said. It might wind up being a cold with a runny nose, where it could (have been) an illness that gives you a high fever, cough, congestion, shortness of breath. And, unfortunately, the fever can knock you out for a few days. Can I get a flu shot or RSV vaccine at the same time? Yes. It is best to confirm with your doctor whether or not you should get the vaccines together or spread them out, but it is recommended that most people get them together. What if I recently got the old vaccine? Even those who have been vaccinated with the old vaccine within the past 12 months should get the newest vaccine, according to the latest CDC guidance. This one targets the more updated, the more current virus that we see circulating in the population, Gut said. Do you have to stick with vaccine manufacturer you took last time? No. You no longer need to stick to one or the other, as was the protocol in the early stages of the COVID-19 pandemic, Otterbeck said. What if I recently had COVID-19? If you recently had COVID-19, you can delay the new vaccine for up to three months, Gut said. But you dont have to, and theres no harm in getting it sooner, according to doctors and the CDC. How long does the vaccine take to become effective? It usually takes about a week to start getting proper protection, Gut said. But, immediately, your immune system starts processing and learning from the vaccine, he added. What are the side effects of the vaccine? While not everyone will feel side effects, it is possible to feel soreness at the injection site, fever and some muscle aches, the doctors both said. And they are never worse than getting sick from COVID itself, Gut emphasized. Should I get vaccinated if Im pregnant? Yes. The CDC recommends vaccination for women who are pregnant, breastfeeding, trying to get pregnant, or might become pregnant in the future. Pregnant and recently pregnant women are more likely to get severely ill with COVID-19 compared with non-pregnant people. Breastfeeding is rarely a safety concern with vaccines, according to the CDC. And there is no evidence that COVID-19 vaccines impact fertility or are harmful to the mother or infant, and vaccination is recommended by the American College of Obstetricians and Gynecologists (ACOG) and other medical experts. What about people with chronic medical conditions? According to the National Foundation for Infectious Diseases, the vaccines are safe for adults with cancer, chronic kidney disease, chronic lung disease, dementia, diabetes (type 1 or type 2), Down syndrome, heart disease, HIV, liver disease, and sickle cell disease, and these people are at higher risk of severe illness or death from COVID-19. Should I take a pain reliever before getting the shot? No. The CDC does not recommend taking ibuprofen or acetaminophen prior to receiving the vaccine, as it may affect how your immune system responds to to it. After receiving the vaccine, it is fine to take pain relievers if you have soreness or fever, according to the CDC. Can I get the vaccine for free? Many private insurance plans cover the cost of adult COVID vaccinations, as do Medicare and Medicaid. Children can get the shots for free through the Vaccines for Children program. STATEN ISLAND, N.Y. Photographer Irma Bohorquez-Geisler has spent more than 20 years capturing everyday life within the Mexican immigrant community on Staten Island. After being awarded a Staten Island Arts 2024 grant, Bohorquez-Geisler used the funding to support her solo photography exhibition, Mexican Heritage: Costumes, Masks and Photos, opening Sunday, Sept. 15, at Wagner Colleges Union Hall Gallery. With a mission to preserve, promote and share Mexican traditions, especially with the younger generations of Mexican-Americans, she displays various photographs that capture Mexican traditions and culture. Her work also seeks to introduce these cultural practices to the broader New York community through the richness of Mexican folk arts, music and dance. This exhibit will feature a selection of color photographs from her long-term and ongoing documentary series, Simple Moments of an Emerging Presence. This series captures the profound moments of everyday life within the Mexican immigrant community on Staten Island while highlighting both the ordinary and the extraordinary. Joana Ramirez in a Chinelo dance costume Carnival tradition. The Chinelos are people from the state of Morelos whose dance is known as the jump" dance dressed in costumes. September 18, 2022. Port Richmond, Staten Island. NY. (Courtesy of Irma Bohorquez-Geisler)Irma Bohorquez-Geisler Through her upcoming exhibition, Bohorquez-Geisler aims to showcase traditional dance costumes, including dresses, masks and headdresses that represent Mexicos rich cultural heritage, specifically from the states of Morelos, Tlaxcala and Puebla. These costumes are used in Day of the Dead dances and festivities, and with permission from their owners, they will be displayed for limited periods. The exhibit will include photographs of home altars honoring deceased loved ones and the preparation of pan de muerto (bread for the deceased) at home and local bakeries. Bohorquez-Geislers goal is to preserve these precious Mexican traditions while creating opportunities and building bridges that enrich the lives of the Mexican-American community. Mariachi Mexico Lindo and Irma Bohorquez-Geisler. New York City Day of the Dead Festival (Dia de Muertos). Snug Harbor Cultural Center. October 30, 2011. West Brighton, Staten Island. NY. (Courtesy of Irma Bohorquez-Geisler)Courtesy of Irma Bohorquez-Geis Awards and accolades Bohorquez-Geislers contributions have earned numerous accolades over the years. She is the founder, and artistic and program director of the annual New York City Day of the Dead Festival (Dia de Muertos) on Staten Island, which was established in 1992. In 2024, her work was featured in the 2024 National Competition Soho Photo Gallery, juried by Lyle Rexer, an internationally recognized critic, writer and curator. Her work was also shown in the Portfolio Development exhibition. Additionally, she exhibited in the curated show Remember at the Atlantic Highlands Arts Council in New Jersey. She participated in the juried exhibition, YesAnd 2022-2023, at the Staten Island Museum, and her work was featured in the online magazine F-Stop Photography Collective Exhibition in 2023. Bohorquez-Geisler was the recipient of many Staten Island Arts Council grants each year from 2000-2019 and 2023 for her photography, her annual Dia de Muertos festival, and as a teaching artist and folk artist in the community. In 2021, she was honored as one of the City of York artists to receive the City Artist Corps award. A selection of her photographic documentary series was showcased in the exhibition Migration Stories at Wilmer Gallery. Irma Bohorquez-Geisler is a photographer who lives in Silver Lake. Sept. 21, 2023. (Staten Island Advance/Jan Somma-Hammel)Jan Somma-Hammel Exhibit date, location and admission info The exhibition will be on view at Wagner Colleges Union Hall Gallery from Sept. 15 to Nov. 7. The open reception will be held on Thursday, Sept. 19, from 5:30 to 8 p.m. The Union Hall Gallery is open Monday through Sunday from 7 a.m. to 10:30 p.m. Admission is free and open to the public. This exhibition is made possible with the support of a DCA Art Fund Grant from Staten Island Arts, with public funding from the New York City Department of Cultural Affairs. ASHGABAT, Turkmenistan, September 14. Turkmenistan's Investment Forum (TIF 2024) was attended by representatives from 44 countries, including 22 international organizations, the Deputy Chairperson of the Cabinet of Ministers of Turkmenistan Hojamyrat Geldimyradov said, Trend reports. He made the statement in his report to President Serdar Berdimuhamedov at a Cabinet meeting of the Cabinet of Ministers of Turkmenistan. The forum was attended by representatives from 44 countries, including 22 international organizations, foreign government agencies, companies, and embassies accredited in Turkmenistan. The event included three plenary sessions, seven sessions on key areas of the economy, and four roundtable meetings, he emphasized. According to the deputy chairman's report, nine memoranda, five agreements, and eight contracts were signed between Turkmen agencies and foreign partners during the forum. Geldimyradov stated that more than 80 meetings were held with representatives of international organizations and financial institutions to discuss opportunities for further economic cooperation. Furthermore, Geldimyradov mentioned that the representatives of Turkmenistan demonstrated the investment potential of various sectors of the national economy, projects under implementation, and existing favorable conditions for foreign investors. In their reports, the foreign experts noted the growing authority of Turkmenistan and its commitment to international obligations. President Serdar Berdimuhamedov noted that the Investment Forum in Ashgabat has become an important platform for strengthening economic ties between Turkmenistan and the world community and establishing new business contacts. To note, the Turkmenistan Investment Forum (TIF 2024) was held in Ashgabat from September 10 through 11 and served as an important platform for attracting investments into the country's economy. The organizers were the Ministry of Finance and Economy, the Ministry of Foreign Affairs, and the Chamber of Commerce and Industry of Turkmenistan. The forum was attended by representatives of leading international companies and organizations. The main focus was on attracting investments in the hydrocarbon sector, the TAPI project, gas chemistry, electric power, construction, and transportation. rSTATEN ISLAND, N.Y. Parades, festivals and other events throughout New York City will result in road closures across all five boroughs this weekend. This weekend is the first of the 98th annual Religious Feast of San Gennaro. The event will take over Little Italy in Manhattan and continue through Sept. 22. Also, on both Saturday and Sunday, the United Nations General Assembly is expected to force the closure of multiple streets. The anticipated closures are slated to last until Sept. 24. According to an advisory from the NYPD, the closures will be at the discretion of police. Additional closure details: 98th Annual Religious Feast of San Gennaro Manhattan: Thursday, Sept. 12 until Sunday, Sept. 22, 2024 Locations: Mott Street between Canal Street and East Houston Street Mulberry Street between Canal Street and East Houston Street Baxter Street between Canal Street and Grand Street Centre Market Place between Grand Street and Broome Street Centre Street between Canal Street and Broome Street Cleveland Place between Broome Street and Spring Street Lafayette Street between Spring Street and East Houston Street Canal Street between Bowery and Centre Street Hester Street between Mott Street and Centre Street Grand Street between Elizabeth Street and Centre Street Broome Street between Mott Street and Centre Street/Cleveland Place Kenmare Street between Mott Street and Cleveland Place Spring Street between Mott Street and Cleveland Place/Lafayette Street Prince Street between Mott Street and Lafayette Street Jersey Street between Mulberry Street and Lafayette Street 8th Avenue Fall Fair Manhattan Location: 8th Avenue between 23rd Street and 14th Street Moon Festival Parade Queens Formation: 38th Avenue between Price Street and Main Street Route: Main Street between 38th Avenue and Elder Avenue Dispersal: Elder Avenue between Main Street and Colden Street Rev Angel Valentins Corner Manhattan Location: NWC of 100th Street and 3rd Avenue Closure: None Santina DiStefano Way Staten Island Location: Southwest corner of Forest Avenue and Oakland Avenue Closure: None UN GENERAL ASSEMBLY Manhattan: Tuesday, Sept. 10 until Saturday, Sept. 24, 2024 Locations: Monday, Sept. 10 through Sept. 29, 2024 FDR Drive between Whitehall Street and 42nd Street Area Bounded by East 86th Street on the North, East 80th Street on the South, Park Avenue on the East and 5th Avenue on the West; All inclusive Area bounded by 60th Street on the North, 34th Street on the South, 1st Avenue on the East and 3rd Avenue on the West; All inclusive Area bounded by 57th Street on the North, 45th Street on the South, 3rd Avenue on the East and Madison Avenue on the West; All inclusive Area bounded by West 47th Street on the North , West 44th Street on the South, 7th Avenue on the East and 8th Avenue on the West; All inclusive Grand Army Plaza between 58th Street and 60th Street Grand Army Plaza between East Drive and 5th Avenue 8th Avenue between West 49th Street and West 44th Street 6th Avenue between West 59th Street and West 46th Street 5th Avenue between East 63rd Street and East 49th Street Madison Avenue between East 58th Street and East 42nd Street Vanderbilt Avenue between 47th Street and 42nd Street Park Avenue between East 62nd Street and East 45th Street Lexington Avenue between East 57th Street and East 42nd Street East 63rd Street between 5th Avenue and Madison Avenue East 62nd Street between 5th Avenue and Park Avenue East 61st Street between 5th Avenue and Lexington Avenue West 59th Street between 7th Avenue and 5th Avenue West/East 58th Street between Broadway and 6th Avenue/Avenue of the Americas West/East 57th Street between 7th Avenue and dead end/FDR Drive West 56th Street between 7th Avenue and 6th Avenue West 55th Street between 7th Avenue and 6th Avenue West 54th Street between 7th Avenue and 6th Avenue West/East 53rd Street between 7th Avenue and FDR Drive West 52nd Street between 7th Avenue and 6th Avenue West 51st Street between 7th Avenue and 6th Avenue West/East 50th Street between 7th Avenue and Dead End/FDR Drive West/East 49th Street between 8th Avenue and FDR Drive Service Road FDR Drive Service Road between East 48th Street and East 49th Street East 48th Street between 1st Avenue and FDR Drive Service Road West 46th Street between 8th Avenue and 6th Avenue/Avenue of the Americas West/East 42nd Street between 7th Avenue and FDR Drive West/East 34th Street between 7th Avenue and FDR Drive UN General Assembly Manhattan: Sept. 10 until Saturday, Sept. 24 Locations: Sept. 10 through Sept. 29 FDR Drive between Whitehall Street and 42nd Street Area Bounded by East 86th Street on the North, East 80th Street on the South, Park Avenue on the East and 5th Avenue on the West; All inclusive Area bounded by 60th Street on the North, 34th Street on the South, 1st Avenue on the East and 3rd Avenue on the West; All inclusive Area bounded by 57th Street on the North, 45th Street on the South, 3rd Avenue on the East and Madison Avenue on the West; All inclusive Area bounded by West 47th Street on the North, West 44th Street on the South, 7th Avenue on the East and 8th Avenue on the West; All inclusive Grand Army Plaza between 58th Street and 60th Street Grand Army Plaza between East Drive and 5th Avenue 8th Avenue between West 49th Street and West 44th Street 6th Avenue between West 59th Street and West 46th Street 5th Avenue between East 63rd Street and East 49th Street Madison Avenue between East 58th Street and East 42nd Street Vanderbilt Avenue between 47th Street and 42nd Street Park Avenue between East 62nd Street and East 45th Street Lexington Avenue between East 57th Street and East 42nd Street East 63rd Street between 5th Avenue and Madison Avenue East 62nd Street between 5th Avenue and Park Avenue East 61st Street between 5th Avenue and Lexington Avenue West 59th Street between 7th Avenue and 5th Avenue West/East 58th Street between Broadway and 6th Avenue/Avenue of the Americas West/East 57th Street between 7th Avenue and dead end/FDR Drive West 56th Street between 7th Avenue and 6th Avenue West 55th Street between 7th Avenue and 6th Avenue West 54th Street between 7th Avenue and 6th Avenue West/East 53rd Street between 7th Avenue and FDR Drive West 52nd Street between 7th Avenue and 6th Avenue West 51st Street between 7th Avenue and 6th Avenue West/East 50th Street between 7th Avenue and dead end/FDR Drive West/East 49th Street between 8th Avenue and FDR Drive Service Road FDR Drive Service Road between East 48th Street and East 49th Street East 48th Street between 1st Avenue and FDR Drive Service Road West 46th Street between 8th Avenue and 6th Avenue/Avenue of the Americas West/East 42nd Street between 7th Avenue and FDR Drive West/East 34th Street between 7th Avenue and FDR Drive THE 22nd AUTUMN MOON CULTURAL FESTIVAL AND CHILDRENS LANTERN PARADE Manhattan: At the Discretion of NYPD (Sept. 15 to Sept. 17, 2024) Location: Mott Street between Canal Street and Chatham Square Shri Guru Ravidass Marg Queens Location: Northwest corner of 61st Street and Broadway Closure: None 55th Annual African American Day Parade Manhattan: At the discretion of NYPD Formation: Adam Clayton Powell Jr Blvd between W 110th Street and W 111th Street W 110th Street W 115th Street between Adam Clayton Powell Jr Boulevard and Lenox Avenue West 111th, West 112th , West 113th and West 114th Street between Frederick Douglass Boulevard and Lenox Avenue West 115th Street between Adam Clayton Powell Jr. Boulevard and Lenox Avenue St Nicholas Avenue between W 113th Street and W 114th Street Route: Adam Clayton Powell Jr. Boulevard between W 111th Street and W 136th Street Adam Clayton Powell Jr. Boulevard between W 110th Street and W 116th Street Adam Clayton Powell Jr. Boulevard between W 124th Street and W 126th Street Adam Clayton Powell Jr. Boulevard between W 125th Street and W 126th Street Dispersal: Adam Clayton Powell Jr. Boulevard between W 136th Street and W 140th Street W 135th St - W 136th St, W 137th St between Adam Clayton Powell Jr. Boulevard and Lenox Avenue Miscellaneous: W 132nd Street between Lenox Avenue and 5th Avenue W 126th Street between Lenox Avenue and Frederick Douglass Boulevard W 125th Street between Lenox Avenue and Adam Clayton Powell Jr. Boulevard Frederick Douglas Boulevard between W 127th Street and W 128th Street Lenox Avenue between W 132nd Street and W 133rd Street Lenox Avenue between W 136th Street and W 140th Street St Nicholas Avenue between Adam Clayton Powell Jr. Boulevard and W 115th Street 31st Annual Fall Symphony Fair Manhattan: At the discretion of NYPD Location: Broadway between 86th Street and 96th Street Mexican Independence Parade Brooklyn: at the discretion of NYPD Formation: 59th Street between 5th Avenue and 6th Avenue Route: 5th Avenue between 41st Street and 59th Street Dispersal: 5th Avenue between 41st Street and 43rd Street Michael P. McCauley Way Bronx Location: SEC (630 Courtlandt Avenue/dentist office) Closure: None Ralph Mercado Way Brooklyn Location: SEC at Pacific Street and Boerum Place Closure: None Nicholas Otoniel Feliz Dominici Way Bronx Location: Southwest of Kingsbridge Road and Kingsbridge Terrace on West Kingsbridge Road Closure: None Our Lady of Grace Way Queens Location: NWC of 159th Avenue Closure: None STATEN ISLAND, N.Y. An NYPD officer from Staten Island has reached a $175,000 settlement with the city in a lawsuit alleging punishment from superiors for failing to acknowledge courtesy cards flashed during traffic stops, according to the Associated Press. Officer Mathew Bianchi, formerly assigned to the traffic unit on the South Shore, initially filed the civil complaint in May of 2023. Both the city of New York and former 123rd Precinct Commanding Officer Andrey Smirnov were named as defendants in the case, as previously reported. These cards are issued by the citys police unions to members who then pass them along to family and friends. Theyre purportedly used as a way to get out of tickets for traffic infractions such as speeding, not wearing a seatbelt or in some cases, even blowing a stop light. Although not officially recognized by the NYPD, the cards are often viewed as a perk of the profession. Its a form of corruption, Bianchi told the Associated Press. My approach to how I handle them (the cards) is not going to change, even if some boss is going to try to punish me. Im still going to go out there and Im going to do exactly what I feel is right. The suit against the city The suit alleged that at one point, Bianchi was warned by an official with the Police Benevolent Association (PBA) that if he continued to write tickets for those displaying such cards he would not be backed by his union and would be reassigned. The PBA does not set policies regarding the way that police officers perform their duties, a June 2023 statement from the PBA read. The law and NYPD policies afford police officers discretion in taking enforcement action. Each police officer determines how to exercise that discretion based on the specifics of each case. Likewise, the PBA does not determine where or how the NYPD deploys its personnel. That is the sole prerogative of NYPD management. According to the suit, Bianchi was also allegedly passed up for a promotion despite his qualifications. Back in the summer of 2022, Bianchi says he was moved from the traffic unit to the night patrol just three days after he issued a citation to a friend of the NYPDs highest-ranking uniformed officer, Chief of Department Jeffrey Maddrey, the complaint alleges. Court documents further allege that Bianchis supervisors would monitor his body-camera footage to see if he was giving those in possession of the courtesy cards a hard time, as previously reported by the Associated Press. Manhattan-based Attorney John Scola, who represented Bianchi in the case, argued in the complaint that his clients first amendment right to speak out as a citizen regarding a matter of extreme public concern was violated. Namely widespread corruption, illegal practices and the manipulation of issuance of traffic tickets due to courtesy cards, as reported previously. A response from the city In December, the city sought a dismissal of the complaint and responded to the allegations. To start, wrote corporation counsel of the City of New York and attorney for the defendants, Hon. Sylvia O. Hinds-Radix: The amended complaint is barred, in whole or in part, by the applicable statutes of limitations. Conduct complained of may consist of nothing more than what a reasonable victim of discrimination would consider petty slights and trivial inconveniences, and thus plaintiffs claims under the New York City Human Rights Law must be dismissed, added the defense. Even assuming that the plaintiff engaged in speech protected by the First Amendment, and that such speech was a substantial or motivating factor in their employment decisions, defendants are not liable because they would have made the same decision in the absence of such speech. Court documents first revealed the case was heading for a settlement conference in February. Despite the settlement, Bianchi claims his attempts to advance in the NYPD have been limited by the lawsuit, the Associated Press report says. Still, Bianchi reportedly has no regrets for bringing the case. Im glad I didnt take the punishment and the retaliation lying down, he told the Associated Press. Im glad that I did something. STATEN ISLAND, N.Y. In honor of those who have died, here is a compilation of obituaries posted on SILive.com. Viewing times and guest books can be seen here. Mary Thomas.Family Photo Mary Thomas, 83, died on Sept. 11 in Mount Pocono, Pennsylvania surrounded by her children. A New Dorp High School graduate who grew up in Old Town, Mary was a homemaker with various part time jobs. Mary enjoyed spending time with her family and many pets. She attended Kingdom Hall of Jehovahs Witnesses in Eltingville, where she had many friends. Her husband, Cecil Thomas, passed in 2010. The couple had five children, 10 grandchildren and four great-grandchildren. Read Mary Thomas full obituary and service information >> Yesterdays obituaries: Former Staten Islander Rev. Dr. Romaine L. Gardner, an attorney and educator who was active in his community, died Sept. 7 at Alta Vita Memory Care Center, Longmont, Colo. While teaching philosophy, he decided he wanted to learn about the philosophy of law and enrolled in a night course at Brooklyn Law School. It intrigued him so much that he went on to complete a juris doctorate and enter the field of corporate law. Read the full obituary on SILive. Dr. Michael A. Paglia, 95, a distinguished surgical oncologist who practiced medicine for over 40 years in New York City, died June 27. He was a beloved father, grandfather and family member, a dedicated physician and a friend to many. Read the full obituary on SILive. Dana Wiley, a former Stapleton resident, died Sept. 3. He was a former member of St. Philips Baptist Church. Read the full obituary on SILive. STATEN ISLAND, N.Y. Staten Islanders on the South Shore were surprised to see fighter jets overhead Saturday morning, with one Tottenville resident describing them as extremely low-flying. The Staten Islander said that the jets had made several passes, and commenters on the Ring app were reporting sightings over South Shore neighborhoods and just across the water in Perth Amboy, New Jersey. The likely source of the jets was an event that began at 11 a.m. at the Naval Weapons Station Earle in Middletown, New Jersey. The brand new USS New Jersey technically the third ship with the name was being commissioned at a ceremony that brings the ship to life and makes it an official part of the U.S. Navy Submarine Force. A commissioning website describes the ship as the United States Navys newest fast attack submarine. Another Staten Island resident expressed anger that there was not a Notify NYC alert sent in advance, saying that the jets were alarming. This rattled us. How scary and how negligent to not bring this to our attention GIVEN the times we live in, she wrote in an e-mail to the Advance/SILive.com. Imagine seeing this happening in your backyard and you had no idea what it was? A number of Staten Islanders also posted their alarm on Facebook, and the Advance/SILive.com newsroom received phone calls inquiring about the jets. ABOUT THE COMMISSIONING After a vessel has completed rigorous testing and sea trials, the shipbuilder then deems the ship capable of performing her designed mission and is prepared to join the fleet, reads the website. Expected to attend a commissioning event is the Ships sponsor, head dignitaries, public officials and media For all purposes of law and tradition, the vessel then becomes a United States Navy ship. The Commanding Officer and crew come aboard and are entrusted with the responsibility of maintaining and operating their boat in peacetime and under conditions of war. A feed of the event was provided online: https://www.dvidshub.net/webcast/33459 The Advance/SILive.coms sister site, NJ.com, reported last week that the ship had made a dramatic arrival in Sandy Hook Bay. As one of Americas oldest towns, we have witnessed so much history and to be able to host the officers, crew and families as well as the thousands of veterans and people from across the State and nation, we are marking another incredible moment in Middletowns and Naval history, Middletowns mayor told NJ.com. Over the past week, the United States published, revised or reissued 10 different travel advisories, including warnings for the popular European tourist destinations of Italy and the United Kingdom. Both European countries fall into the lower Exercise Increased Caution alert category, a Level 2 alert. Level 4 is the highest. Issued by the Department of States Bureau of Consular Affairs, the advisories are periodically assessed and revised or reissued. Italy and the United Kingdom were reissued advisories, both identified for increased caution due to the potential threat of terrorism. Tourists considering travel to Mexico should also carefully review the revised alert published on Sept. 6, which outlines numerous areas of the country that should be avoided, largely due to crime and kidnapping. Also this week, Level 2 alerts for exercising increased caution while traveling were issued for: Sri Lanka, due to civil unrest and the threat of terrorism Jordan, due to the threat of terrorism. However, the alert warns that some parts of the country should be avoided, including the immediate area surrounding the Jordan-Syria border, due to armed conflict. Bahrain, due to the threat of terrorism Saudi Arabia, due to the threat of missile and drone attacks from Yemen and terrorism Meanwhile, the more serious Level 3 alerts, which advise Americans to Reconsider Travel, were issued this week for: Guyana, due to crime. Violent crime, including murder and armed robbery, is common, especially at night, the alert reads. Local police often lack the resources to respond effectively to serious criminal incidents. Bangladesh, due to civil unrest, crime, and terrorism. Pakistan, due to the threat of terrorism. All travel alerts issued by the United States are available on the U.S. Department of State website. STATEN ISLAND, N.Y. -- The first presidential debate between former President Donald Trump and Vice President Kamala Harris is in the books, with what many have deemed a strong performance by Harris vaulting her to a multiple point lead in several post-debate polls. The heated debate drew tons of interest on Staten Island, with both Democrats and Republicans hosting watch parties to cheer on their respective candidate. A CNN flash poll conducted immediately after Tuesdays debate found that nearly two-thirds, 63%, of respondents believed Harris turned in a better performance, compared to 37% who said the same of Trump. Subsequent polls conducted by various outlets appear to echo those sentiments, with Harris now leading by as many as five percentage points. Heres a look at how both candidates performed in some of the most recent polls. REUTERS/IPSOS A Reuters/IPSOS poll, which includes responses from 1,405 registered voters between Sept. 1 and Sept. 12, showed Harris leading Trump by five points, with 47% supporting Harris, 42% supporting Trump and 11% either undecided or planning to vote for a third-party candidate. Among those who had said they at least heard something about Tuesdays debate, roughly 53% believed Harris won, 24% believed Trump won and the remaining respondents believed neither won or declined to answer. About 52% said they believed Trump stumbled and didnt appear sharp during his performance, including 20% of Republicans, indicating that even some within his own party were underwhelmed by his showing. Voters generally gave Harris better marks than Trump on how she carried herself. Asked which of the two appeared more dignified, 56% of people familiar with the debate picked Harris, compared to 24% who picked Trump. Forty-nine percent said Harris seemed like someone who would listen to me and understand my concerns, compared to 18% who saw Trump that way, wrote Jason Lange of Reuters. NEW YORK POST A New York Post poll, which includes responses from 1,174 likely voters between Sept. 10 and Sept. 11, showed Harris leading by three points, with 50% supporting Harris, 47% supporting Trump and the remaining 3% planning to vote for a third-party candidate. Much like in the previous poll, far more people felt as though Harris outperformed Trump in Tuesdays debate, 50% to 29%. The debate, as a whole, was viewed much more favorably by the general public than the June 27 debate when President Joe Biden was still at the top of the ticket. Roughly 60% described the debate as good, compared to just 42% who described the previous debate as such. MORNING CONSULT A Morning Consult poll, which includes responses from 3,317 likely voters on Sept. 11, showed Harris leading Trump by five points, with 50% supporting Harris, 45% supporting Trump and the remaining respondents either undecided or planning to vote for a third-party candidate. The debate performances appear to be helping Harris, with Morning Consult polling prior to the debate showed Harris leading by three points, polling during the debate showing her leading by four points and post-debate polling showing her leading by five. Its too early to say whether Harris debate performance is the key driver of our latest head-to-head numbers, as our short-term trends suggest she was already building momentum ahead of Tuesdays televised match-up, wrote Eli Yokley and Cameron Easley of Morning Consult. Whats clearer is that Harris debate performance will help her sustain that momentum, keeping her in the drivers seat of a close contest that is very likely to be decided by tens of thousands of voters in a few key swing states. State Alabama Alaska Arizona Arkansas California Colorado Connecticut Delaware Florida Georgia Hawaii Idaho Illinois Indiana Iowa Kansas Kentucky Louisiana Maine Maryland Massachusetts Michigan Minnesota Mississippi Missouri Montana Nebraska Nevada New Hampshire New Jersey New Mexico New York North Carolina North Dakota Ohio Oklahoma Oregon Pennsylvania Rhode Island South Carolina South Dakota Tennessee Texas Utah Vermont Virginia Washington Washington D.C. West Virginia Wisconsin Wyoming Puerto Rico US Virgin Islands Armed Forces Americas Armed Forces Pacific Armed Forces Europe Northern Mariana Islands Marshall Islands American Samoa Federated States of Micronesia Guam Palau Alberta, Canada British Columbia, Canada Manitoba, Canada New Brunswick, Canada Newfoundland, Canada Nova Scotia, Canada Northwest Territories, Canada Nunavut, Canada Ontario, Canada Prince Edward Island, Canada Quebec, Canada Saskatchewan, Canada Yukon Territory, Canada Postal Code ASHGABAT, Turkmenistan, September 14. Ambassador Extraordinary and Plenipotentiary of Uzbekistan to Turkmenistan Akmaljon Kuchkarov has concluded his diplomatic mission in the country, Trend reports via the Turkmen Foreign Ministry. In connection with this, the Foreign Minister Rashid Meredov held a meeting with the ambassador. The meeting discussed the current state and prospects for the development of bilateral cooperation between Turkmenistan and Uzbekistan in the fields of politics, economics, and culture. Meredov expressed his gratitude to Kuchkarov for his contribution to strengthening Turkmen-Uzbek relations and wished him success in his future endeavors. The ambassador thanked the Turkmen side for support and assistance in carrying out his diplomatic mission. Stay up-to-date with more news on Trend News Agency's WhatsApp channel Where do we draw the line between legitimate expression of political opinion and the need to comply with an employer directive not to make adverse comment about their values or support for particular causes? Each case is dependent on the facts, of course, but employees should be allowed to endorse or advocate for human rights issues that are of national or international significance. For example, endorsing an Amnesty International campaign about the human rights abuses being committed in Gaza. Or supporting self-determination for Indigenous Australians. Such an exercise of freedom of speech is vastly different to criticising your boss, or complaining about fellow employees. Given the importance of the right to freedom of expression, employees should only be sanctioned if their public commentary breaches anti-discrimination or hate laws, or if it can be said to directly harm their employers reputation. A case of the latter might be to accuse the employer of supporting racism in the workplace. While that might be the case, it is reasonable that the employee raise the issue with the employer or in an appropriate legal forum. So, given what we have seen in the Gillham and Lattouf cases and more generally about the weakness of the position of employees in the political advocacy space, is there a model that would strike a fairer balance? South Africa has one of the most rights-oriented constitutions in the world, including the right to freedom of speech. This means, as legal academics Jean Chrysostome and Osman Bantu, noted in 2022, that while the contract of employment has always been regarded as the foundation of a relationship between the employers and employees, it does not allow companies to violate workers right to express their views and thoughts in or outside the workplace in social media. A simple reality is that citizens, including workers, have the right to freedom of expression. Employers can and do sack employees in South Africa for social media posts or emails that are derogatory, racist or damage the employer. But the main difference between that country and here is that in a dispute an employee can legitimately defend themselves by arguing their constitutional right is a relevant factor. As Australians start their families later, more people are needing to turn to assisted reproductive technology. This is a good news story. IVF is providing would-be parents with opportunities not available to older generations. The technology is also becoming much safer, with fewer risky multiple births as clinicians move towards single embryo transfers. But, as Angus Thomson reports in todays Sun-Herald, a sweeping review of Australias fertility policy by former health minister Greg Hunt and embryologist Rachel Swift has found the IVF sector will not cope with the forecast rise in demand without significant changes to funding, access and regulation in the sector. Among the reviews recommendations is expanding the definition of infertility for Medicare-funded IVF treatment to include any prospective parents who would need donor eggs, sperm or embryos to achieve a successful pregnancy, expanding access to same-sex couples and single women. According to UNSWs annual perinatal epidemiology report, released on Friday, single women and same-sex female couples now account for 17 per cent of people using IVF. Australia was also unusual because the proposed legislation specifically exempts the media, while plaintiffs suing media outlets in the UK and New Zealand were integral to the development of their civil causes of action, Rolph said. In the UK, in particular, celebrity plaintiffs were crucial to the development of privacy law, he said. Celebrities shaped UK law Supermodel Naomi Campbell sued British tabloid the Daily Mirror after it published photos of her leaving a Narcotics Anonymous meeting in 2001. In 2004, the UK House of Lords ruled the Mirror had infringed Campbells right to privacy by publishing details about her treatment in circumstances where she had a reasonable expectation of privacy. The landmark case adapted the existing law of breach of confidence and established the tort of misuse of private information. Naomi Campbell at The Metropolitan Museum of Arts Costume Institute benefit gala in 2019. Credit: AP Meghan, the Duchess of Sussex, successfully sued the Mail on Sunday and MailOnline for misuse of private information after it published vast tracts of a letter she sent in 2018 to her father. An appeal by the publisher failed. Her husband Prince Harry, the Duke of Sussex, relied on the same law to win a phone-hacking claim in 2023 against the Mirror Group. Actor Hugh Grant has also settled phone-hacking claims. But experts say the Australian proposal would lead to different results. Meghan, the Duchess of Sussex, successfully sued the Mail on Sunday and MailOnline for misuse of private information. Credit: AP Arguably going too far University of Sydney Professor Emeritus Barbara McDonald, who headed the Australian Law Reform Commission inquiry which recommended in 2014 that a privacy tort be enacted federally, said the exemption for journalists goes much further than the ALRC had recommended. It is an absolute, not a conditional exemption. For example, even if a journalist committed a crime while invading a persons privacy, there would be no remedy: arguably that is going too far, McDonald said. Hugh Grant, pictured in 2023, has received damages from UK media companies for phone hacking. Credit: AP There may be some difficult decisions as to what counts as news it is not limited to matters of serious public concern. McDonald said the ALRCs recommendations fell short of a complete exemption for journalists but we thought that we had recommended a version of a privacy tort that was the most protective of media freedom as it could be: by providing for a hurdle of public interest before the tort would be actionable. McDonald said businesses examining peoples private lives, such as private detective agencies, may fall foul of the laws unless they can persuade a court that their invasive behaviour is justified in some public interest, such as preventing fraud. Dr Michael Douglas, a consultant at WA law firm Bennett, said the blanket exemption for journalism was a really bad idea, for a few reasons. First, dodgy hack journalists are the most likely to engage in the kind of activity this tort could respond to think of Prince Harrys phone being hacked by tabloids in the UK. THE PROPOSED LAW Allows people to sue for damages if someone intrudes upon their seclusion, or misuses information about them, when they had a reasonable expectation of privacy. The privacy invasion must be serious and either intentional or reckless. A plaintiff must satisfy a court that protecting their privacy outweighs any public interest in the invasion raised by a defendant. A range of defences are available, including lawful authority and consent. Law enforcement and intelligence agencies have broad exemptions. There is a major exemption for journalists involved in the collection, preparation for publication or publication of journalistic material, meaning news, current affairs or a documentary. This includes commentary, opinion and analysis. Damages are expected to be capped at the maximum available for non-economic loss in defamation cases. This is currently $478,500. Why shouldnt those dodgy tabloids get sued when they do the wrong thing? Second, the tort already has a defence built in where the invasion of privacy was in the public interest. This could protect quality public interest journalistic activities that uncover wrongdoing by invading privacy good journalism is already protected. And third, the ALRC never recommended this journalism exception. He said the government appeared to be scared of copping criticism from right-wing media companies and the legislation was gutless. A lot of test cases Minter Ellison senior associate Dean Levitan predicted there would be a lot of test cases, including about what constituted a serious invasion of privacy, what is considered in the public interest, and who is a journalist. The bill accepts privacy invasion will occur, and then [effectively] it says, Have you done it for a sufficiently good or justifiable reason?, Levitan said. Some of Brisbanes most beautiful birds are disappearing. A new Griffith University study has revealed populations of small, colourful and melodic native species are declining across the city. In Brisbane in particular, youre starting to lose a lot of species in highly urbanised areas, lead researcher Dr Andres Felipe Suarez-Castro told Brisbane Times. Brisbanes birdlife is disappearing as green spaces make way for development, according to a Griffith University study. Poor urban planning that doesnt protect green spaces is having a high impact on these birds. A man is dead after his motorcycle collided with a ute in Moreton Bay overnight. The 34-year-old from Redcliffe was allegedly struck by a Nissan Dualis on Burpengary Road in Narangba about 7pm on Friday. The utes male driver and his female passenger both 21-year-olds from Caboolture suffered non-life-threatening injuries. The Narangba crash came just hours before two people were killed on the Sunshine Coast. Credit: Jorge Branco They were taken to the Royal Brisbane and Womens Hospital and were assisting police with their inquiries. Teenagers are training in martial arts in response to increasing youth violence in Victoria, with Melbourne schools turning to private instructors to keep their students safe. Victorias most recent crime report showed youth crime had climbed to its highest level in a decade, with police warning the pursuit of notoriety or social media likes had contributed to an increase in violent assaults. Avila College health curriculum leader Matthew Roberts. Credit: Eddie Jim Both public and private schools have enlisted self-defence instructors to provide safety training to students, including Avila College, which runs a program as part of its curriculum. Curriculum leader Matthew Roberts said the school wanted students to feel physically and mentally confident. Australian Border Force workers wont have to take care of asylum seekers health and safety during boat interceptions under a fresh exemption to workplace laws, which has been granted by the government despite concerns from parliaments Labor-led human rights committee. The Albanese government has renewed a rule that exempts Operation Sovereign Borders staff from taking reasonable care not to harm the health and safety of others while at sea, meaning workers cannot be prosecuted under workplace laws. Asylum seeker arrivals by boat have significantly reduced under Operation Sovereign Borders. Credit: Reuters Workplace Relations Minister Murray Watt justified the decision to the parliaments human rights committee, saying it was necessary to ensure that individuals can act confidently and quickly in the diverse and unpredictable circumstances that might arise in the operational environment. But the Labor-led committee said the measure did not seem necessary or proportionate as it issued its response this week. Chair Josh Burns said the exemption applied to no other similar workplaces and that Watt had not provided evidence it was achieving its stated purpose. TASHKENT, Uzbekistan, September 14. The trade turnover volume between Uzbekistan and Tajikistan decreased to $372.5 million from January through July 2024, Trend reports. The data from Uzbekistan's Statistics Agency show that this figure indicates a 11.7-percent decrease year-on-year ($416.2 million from January through July 2023). Tajikistan made it into the top 20 trade partners of Uzbekistan. Uzbekistan's exports to Tajikistan reached $286.9 million, which is 17.5 percent less over the year ($337.2 million in the first seven months of 2023). The volume of imports from Tajikistan to Uzbekistan reached $85.6 million from January through July 2024, increasing by 8.3 percent year-on-year ($79 million in the same period of last year). Meanwhile, Uzbekistan's foreign trade turnover volume amounted to $36.8 billion from January through July 2024. This figure indicates a 5.4 percent growth year-on-year ($34.9 billion from January through July 2023). Uzbekistan's exports reached $14.7 billion, while imports totaled $22 billion during this period. Less than an hour after Cronullas qualifying final loss to Melbourne, Sharks chief executive Dino Mezzatesta phoned the NRL and pleaded his clubs case to play a semi-final in their own backyard on Friday night. The NRL risks the embarrassing scenario of the Sharks facing North Queensland in front of less than 15,000 fans at Allianz Stadium at the same time as the AFL and Sydney Swans push for a 43,000-plus sellout next door at the SCG. Mezzatesta had alerted the NRL during the week about the potential code clash and now he hopes common sense prevails by allowing the Sharks to play their semi-final at PointsBet Stadium. The Sharks chief added he had no interest in playing at CommBank Stadium in Parramatta, which is harder to get to for Sharks fans travelling from the Shire. Jamie Kah was as ice-cold calm as the brisk winds that swept rain and hail across the grassy expanses of Flemington Racecourse on Saturday. A fortnight had passed since the star jockey made two crucial mistakes at a Caulfield race meeting that have the potential to derail her spring, and yet the pressure did not show. Jamie Kah pilots Point King to victory in the Lexus Archer Stakes. Credit: Getty Images From the moment she strode into the mounting yard for the first race, alongside trainer Ciaron Maher, she was stern-faced and focused. She rode with confidence. She rode through inclement conditions that would have sent a penguin scrambling for a huddle in Antarctica. While the Paris Olympics were a celebration of Australias all-conquering women, who won the majority of the nations medals, Paralympic gold medallist Emily Petricola says the International Paralympic Committee needs to introduce gender equity to ensure greater female representation in the Paralympics. Victorias returning Olympic and Paralympic athletes were given the warmest of welcomes at Melbourne Park on Saturday despite cold and drizzly weather with family, friends and fans forming a guard of honour. As the athletes arrived, they signed autographs and posed for photos. Emily Petricola shares her new jewellery with Kerry Young and her daughter Riley. Credit: Chris Hopkins Among the athletes returning home was parcyclist Petricola, fresh from her record-breaking stint in Paris where she set a world record of three minutes, 35.856 seconds in the womens C4 3000-metre individual pursuit qualifying, before going on to win gold in the final. Petricola described her victory as magical. Siri is getting a generative AI revamp, a move that will make Apples digital assistant powerful and useful again after years of relative irrelevancy, according to one of its original founders. Babak Hodjat invented the natural language processing technology that eventually became Siri. He said in an interview that Siri was well ahead of its time when it launched, but then fell behind its time. Until now. When Apple unleashed Siri in 2011 it was the first language assistant on the market, but received mixed reviews for lacking certain information, sub-par voice recognition and for requiring stiff user commands. It was overtaken quickly by its smarter rivals like Amazons Alexa, which was compatible with more devices and was more natural to interact with. Apple this month unveiled its iPhone 16 range that will feature a revamped, supercharged Siri, as part of its Apple Intelligence suite of AI features that will come preloaded on the new devices. Its the biggest change to Siri in its 13-year history. When it comes to American museums, few are as storied as this one in the city of New York. The great American poet Walt Whitman was there at the age of six to see the laying of its cornerstone. It was one of the first museums in the US to dedicate an exhibition to black artists. In 1999, New York Citys then-mayor Rudy Giuliani, enraged by the showing of a work by controversial artist Chris Ofili, threatened to get its lease terminated. He didnt succeed and in October, the much-loved Brooklyn Museum kicks off its 200th anniversary celebrations with a series of programs and events that highlight the best of the borough and mark two centuries of doing things the Brooklyn way. Brooklyn Museums Beaux-arts court. The museum is marking its 200th birthday with several new exhibitions. Though gentrification has swept the high-density borough on the western side of Long Island, Brooklyn is famously artistic, multicultural and forward-thinking. The 52,000-square-metre museum, New Yorks second largest behind the Met, is recognised as a pioneer in community art education and participation. The 200th anniversary celebrations start on October 4 with the launch of The Brooklyn Artists Exhibition, a group show that spotlights local artists working in various mediums. Australia PM hits back at Musk after 'fascists' quip Sydney, Sept 14 (AFP) Sep 14, 2024 Australian Prime Minister Anthony Albanese hit back at Elon Musk on Saturday after the tech mogul called his government "fascists" for proposing laws that would fine social media giants for spreading misinformation. Australia introduced a "combating misinformation" bill earlier this week, which includes sweeping powers to fine tech giants up to five percent of their annual turnover for breaching online safety obligations. "Fascists," Musk posted Thursday on his social media platform X, formerly known as Twitter. But Albanese fired back at Musk on Saturday, saying social media "has a social responsibility". "If Mr Musk doesn't understand that, that says more about him than it does about my government," he told reporters Saturday. The exchange between Musk and Australian officials is the latest in a long-running spat with the Australian government over social media regulation. Australia's government is exploring a raft of new measures that would see social media companies take greater accountability for the content on their platforms -- including a ban for those under 16 years old. The country's online watchdog took Musk's company to court earlier this year, alleging it had failed to remove "extremely violent" videos that showed a Sydney preacher being stabbed. But it abruptly dropped its attempt to force a global takedown order on X after Musk scored a legal victory in a preliminary hearing, a move he celebrated as a free speech triumph. Musk, a self-described "free speech absolutist", has clashed with politicians and digital rights groups worldwide, including in the European Union, which could decide within months to take action against X with possible fines. In Brazil, where X has effectively been suspended after it ignored a series of court directives, Musk has responded by blasting the judge as an "evil dictator cosplaying as a judge". lec/mca TASHKENT, Uzbekistan, September 14. Uzbekistan and the International Finance Corporation (IFC) discussed strengthening and expanding mutually beneficial cooperation, Trend reports. The matter was reviewed during a meeting between Uzbekistans Deputy Prime Minister, Minister of Economy and Finance Jamshid Kuchkarov, and representatives of the IFC delegation headed by the Director for Turkiye and Central Asia regions, Wiebke Schlomer. During the meeting, the parties discussed cooperation in the area of public-private partnership, in particular on projects implemented in the area of modernization of electric power networks, education, healthcare, transport, and water management. The representatives of the IFC noted the importance of the Uzbek presidents resolution, On measures to develop public-private partnerships in Uzbekistan for 20242030. In addition, the sides also reviewed current trends in the field of privatization, including the expansion of privatization processes of SOBs and SOEs and the development of the electric vehicle industry and infrastructure in Uzbekistan. At the end of the meeting, the parties agreed to conduct systematic activities and increase efficiency in achieving their goals. Earlier, Uzbekistan and the IFC joined forces to strike a new deal that aims to grease the wheels of the country's legal and regulatory frameworks, making it more enticing for foreign direct investment (FDI) to flow in and give a boost to economic growth. On tour of war-scarred Gaza, Israel army renews vow to save hostages Rafah, Palestinian Territories, Sept 13 (AFP) Sep 13, 2024 The Israeli army will use all means to bring back hostages still held in Gaza, its spokesman told a group of foreign journalists on Friday in the war-scarred city of Rafah. "We need to do everything, everything we can, in all means, to bring them back home," military spokesman Daniel Hagari told the journalists embedded with the Israeli army. "This is one of the goals of the war, and we will achieve it." Rear Admiral Hagari was speaking in front of a shaft in the Tel al-Sultan neighbourhood of Rafah that connects to a tunnel where Israel says Hamas shot dead six hostages late last month. Their deaths spurred an outpouring of grief in Israel as well as anger at the government, which critics say is not doing enough to reach a deal that would end the war in Gaza and secure the remaining hostages' release. The war was triggered by Hamas's unprecedented attack on Israel, which resulted in the deaths of 1,205 people, mostly civilians, according to an AFP tally based on Israeli official figures. The count includes hostages killed in captivity. The militants also seized 251 hostages, 97 of whom are still in Gaza, including 33 the Israeli military says are dead. Israel's retaliation has killed at least 41,118 people in Gaza, according to the territory's health ministry. The UN human rights office says most of the dead have been women or children. Israel has denied independent access to Gaza for international media during the war, now in its 12th month. Rafah, in the far south of Gaza, has been hit hard by the fighting, and AFPTV footage on Friday showed streets lined with the bombed-out shells of buildings, many partially collapsed with rubble spilling into the streets. Hagari said the destruction was intended to wipe out the network of tunnels under the city. "You have a maze of tunnels here, a maze of tunnels here in Rafah, underneath the houses. This is why the destruction," he said. "There is even not one point left without a tunnel here in Rafah. "In order to defeat (Hamas) we need to take control of this underground system." The army also showed journalists the Philadelphi Corridor, a narrow strip of land that has emerged as a key sticking point in talks towards a possible ceasefire mediated by Egypt, Qatar and the United States. Israeli Prime Minister Benjamin Netanyahu said last week that retaining control of the corridor was important to stop any arms smuggling into Gaza from Egypt. Hamas is demanding a complete Israeli withdrawal from the territory. sha-mj/rcb/kir Biden, Starmer discuss Ukraine missiles as Russia tensions mount Washington, Sept 13 (AFP) Sep 13, 2024 US President Joe Biden played down threats of war from Moscow Friday as he met British Prime Minister Keir Starmer to discuss whether to let Ukraine fire Western-supplied long-range missiles into Russia. "I don't think much about Vladimir Putin," Biden told reporters at the White House when asked about the Russian president's warning that giving Kyiv the green light would mean that NATO was "at war" with Moscow. Starmer was set to press Biden during the meeting to back his plan to send British Storm Shadow missiles to Ukraine to hit deeper inside Russia as allies become increasingly concerned about the battlefield situation. "I think the next few weeks and months could be crucial, very, very important, that we support Ukraine in this vital war of freedom," Starmer said as the talks began. But while Biden said it was "clear that Putin will not prevail in this war," he is expected to reject Ukraine's demand to be able to use long-range US-made ATACMS missiles against Russian territory. US officials believe the missiles would make a limited difference to Ukraine's campaign and also want to ensure that Washington's own stocks of the munitions are not depleted. - 'Afraid' - The White House played down the chances of any immediate decision coming from Friday's visit by Starmer, the Labour leader's second to the White House since he took office in July. "I wouldn't expect any major announcement in that regard coming out of the discussions, certainly not from our side," National Security Council spokesman John Kirby told reporters. Ukrainian President Volodymyr Zelensky however pushed Kyiv's Western allies to do more. Speaking in Kyiv, Zelensky accusing the West of being "afraid" to even help Ukraine shoot down incoming missiles as it has done with Israel. Zelensky added that he will meet Biden "this month" to present his "victory plan" on how to end two and a half years of war with Russia. He also said Kyiv's recent offensive into Russia's border region of Kursk had "slowed" Moscow's advance in eastern Ukraine. Russia has reacted angrily to the prospect of the West supplying long-range weapons to the country it invaded in 2022. In another sign of increasing tensions, Russia revoked the credentials of six British diplomats whom it accused of spying in what London termed "baseless" allegations. Kremlin spokesman Dmitry Peskov said Putin's war warning on Thursday was unambiguous: "We have no doubt that this statement has reached its recipients," he said on Friday. Russia's UN ambassador Vassily Nebenzia warned separately that letting Ukraine use long-range weapons would plunge NATO into "direct war with... a nuclear power." - Trump fears - Ukraine and the United States's allies are all meanwhile anxiously waiting for the result of a tense US presidential election in November that could upend Washington's Ukraine policy. Biden is on his way out of office while the election is a toss-up between his Democratic political heir Kamala Harris and Republican former president Donald Trump. Trump has repeatedly praised Putin, and refused to take sides on the war during a debate with Harris on Tuesday, saying only: "I want the war to stop." Trump has also repeatedly pledged to push through a quick deal to end the war if elected -- a deal many Ukrainians fear would force them to accept Russia's territorial gains. Biden has strongly supported Ukraine since Russia's invasion, but has been risk averse about stepping up to new kinds of weapons deliveries, fearful of stoking nuclear tensions with Putin. His reticence about allowing Ukraine to use longer-range US missiles follows similar delays on HIMARS rockets, tanks and F-16 jets -- all of which he eventually approved. Harris has pledged to continue steadfast support for Ukraine if elected. burs-dk/jgc Biden, Starmer put off Ukraine missiles decision Washington, Sept 13 (AFP) Sep 13, 2024 British Prime Minister Keir Starmer and US President Joe Biden on Friday delayed a decision to let Ukraine fire long-range Western-supplied missiles into Russia, a plan that sparked dire threats from Moscow of a war with NATO. Starmer told reporters at the White House that he had a "wide-ranging discussion about strategy" with Biden but that it "wasn't a meeting about a particular capability." Before the meeting officials had said Starmer would press Biden to back his plan to send British Storm Shadow missiles to Ukraine to hit deeper inside Russia as allies become increasingly concerned about the battlefield situation. But the Labour leader indicated that he and Biden would now discuss the plan at the UN General Assembly in New York the week after next "with a wider group of individuals." As they met with their teams across a long table in the White House, backed by US and British flags, Biden played down a warning by Russian President Vladimir Putin that allowing Ukraine to fire the weapons would mean the West was "at war" with Russia. "I don't think much about Vladimir Putin," Biden told reporters when asked about the comments. - 'Will not prevail' - But while Biden said it was "clear that Putin will not prevail in this war," he is understood to be reluctant to grant Ukraine's insistent demand to be able to use long-range US-made ATACMS missiles against Russian territory. US officials believe the missiles would make a limited difference to Ukraine's campaign and also want to ensure that Washington's own stocks of the munitions are not depleted. The two leaders said they also discussed the war in Gaza, with Britain having recently suspended arms deliveries to Israel over concerns that they could be used to violate international humanitarian law. The US, Israel's main military and diplomatic backer, has held off such a step. Biden and Starmer agreed on their "ironclad commitment" to Israel -- but stressed the "urgent need" for a ceasefire deal and a "need for Israel to do more to protect civilians" in Gaza, the White House said in a readout. The White House had earlier played down the chances of a Ukraine decision coming from Friday's visit by Starmer, the Labour leader's second to the White House since he took office in July. "I wouldn't expect any major announcement in that regard coming out of the discussions, certainly not from our side," National Security Council spokesman John Kirby told reporters. - 'Afraid' - Ukrainian President Volodymyr Zelensky however pushed Kyiv's Western allies to do more. Speaking in Kyiv, Zelensky accusing the West of being "afraid" to even help Ukraine shoot down incoming missiles as it has done with Israel. Zelensky added that he will meet Biden "this month" to present his "victory plan" on how to end two and a half years of war with Russia. Russia has reacted angrily to the prospect of the West supplying long-range weapons to the country it invaded in February 2022. In another sign of increasing tensions, Russia revoked the credentials of six British diplomats whom it accused of spying in what London termed "baseless" allegations. Russia's UN ambassador Vassily Nebenzia warned separately that letting Ukraine use long-range weapons would plunge NATO into "direct war with... a nuclear power." Ukraine and the United States's allies are all meanwhile anxiously waiting for the result of a tense US presidential election in November that could upend Washington's Ukraine policy. Biden is on his way out of office while the election is a toss-up between his Democratic political heir Kamala Harris and Republican former president Donald Trump. Trump has repeatedly praised Putin, and refused to take sides on the war during a debate with Harris on Tuesday, saying only: "I want the war to stop." Starmer denied he was worried about a Trump presidency, and said the need to help Ukraine in coming weeks and months was urgent "whatever timetables are going on in other countries." Four IS group leaders killed in Iraq raid last month: CENTCOM Washington, Sept 14 (AFP) Sep 14, 2024 Four Islamic State group leaders were killed in a joint US-Iraqi raid in western Iraq last month, including the head of the jihadist group's operations in the country, CENTCOM said Friday. "This operation targeted ISIS leaders and served to disrupt and degrade ISIS' ability to plan, organize, and conduct attacks against Iraqi civilians," CENTCOM said in a statement on X about the August 29 raid. A total of 14 IS operatives were killed -- revised from the 15 reported previously. Five US troops were wounded, with another two injured in falls. The four leaders killed were identified as Ahmad al-Ithawi, the operations leader for the Islamic State group in Iraq; Abu Hammam, who oversaw operations in western Iraq; Abu Ali al-Tunisi, who managed technical development; and Shakir al-Issawi, who led the group's military operations in western Iraq. "CENTCOM remains committed to the enduring defeat of ISIS, who continues to threaten the United States, our allies and partners, and regional stability," General Michael Erik Kurilla said in a statement. The operation took place amid ongoing talks between Baghdad and Washington over the presence of anti-jihadist coalition forces in Iraq. Despite Iraq's stated goal of a full withdrawal of the forces, no timeline has been made public. The United States has some 2,500 troops in Iraq and 900 in Syria as part of the international coalition against the Islamic State group. North Korea leader holds talks with Russia security chief Seoul, Sept 14 (AFP) Sep 14, 2024 North Korean leader Kim Jong Un held talks with Russian security chief Sergei Shoigu during his visit to the nuclear-armed country, Pyongyang's state media reported Saturday. Shoigu's trip comes as Moscow seeks ammunition to continue its more than 30-month offensive in Ukraine and as the West accuses Pyongyang of sending arms to Moscow. President Vladimir Putin travelled to North Korea in June and signed a mutual defence pact with the reclusive state. "There was a wide exchange of views on the issues of steadily deepening the strategic dialogue between the two countries and strengthening cooperation to defend the mutual security interests and on the regional and international situation," North Korean state media said, confirming the meeting. Kim "affirmed that the DPRK government would further expand cooperation and collaboration" with Russia based on the treaty they signed in June, it added, using the country's official name. Russia's security council also said on its website Shoigu's meeting with Kim will "make an important contribution to the implementation" of the defence pact. Shoigu heads Russia's Security Council after stepping down as defence minister in May. Experts have long believed North Korean missiles are being deployed in Ukraine. A new Conflict Armament Research report this week used debris analysis to show "that missiles produced this year in North Korea are being used in Ukraine". Moscow has historic ties with Pyongyang since the Soviet Union helped found North Korea, but has warmed relations since launching its 2022 Ukraine offensive that ruptured ties with the West. Four Islamic State leaders killed in August Iraq raid: CENTCOM Washington, Sept 14 (AFP) Sep 14, 2024 Four Islamic State group leaders were killed in a joint US-Iraqi raid in western Iraq last month, including the head of the jihadist group's operations in the country, the US military said Friday. "This operation targeted ISIS leaders and served to disrupt and degrade ISIS' ability to plan, organize, and conduct attacks against Iraqi civilians," the United States Central Command, or CENTCOM, said in a statement on X about the August 29 raid. A total of 14 IS operatives were killed -- revised from the 15 reported previously. Five US troops were wounded, with another two injured in falls. The four leaders killed were identified as Ahmad al-Ithawi, the operations leader for the Islamic State group in Iraq; Abu Hammam, who oversaw operations in western Iraq; Abu Ali al-Tunisi, who managed technical development; and Shakir al-Issawi, who led the group's military operations in western Iraq, according to CENTCOM. "CENTCOM remains committed to the enduring defeat of ISIS, who continues to threaten the United States, our allies and partners, and regional stability," General Michael Erik Kurilla said in a statement. The operation took place amid ongoing talks between Baghdad and Washington over the presence of anti-jihadist coalition forces in Iraq. Despite Iraq's stated goal of a full withdrawal of the forces, no timeline has been made public. The United States has about 2,500 troops in Iraq and 900 in Syria as part of the international coalition against the Islamic State group. CENTCOM had previously reported killing an Islamic State member Wednesday during a strike in eastern Syria. The member was reportedly in the process of planting an improvised explosive device when the strike occurred. Coalition forces have been targeted dozens of times with drones and rocket fire in both Iraq and Syria, as violence related to the Israel-Hamas war in Gaza since early October has drawn in armed groups across the Middle East. Last winter, the Islamic Resistance of Iraq, a loose alliance of Iran-backed groups, had claimed about 175 rocket and drone attacks against US troops in Iraq and Syria. US forces have carried out multiple retaliatory strikes against these militant factions in both countries. jgc/aha Gaza rescuers say 11 from one family killed in Israeli strike Gaza City, Palestinian Territories, Sept 14 (AFP) Sep 14, 2024 Gaza's civil defence agency said an Israeli air strike hit a house in Gaza City on Saturday morning and killed 11 members of a single family, including women and children. "We have recovered the bodies of 11 martyrs, including four children and three women, after an Israeli air strike hit the house of the Bustan family in eastern Gaza City," agency spokesman Mahmud Bassal told AFP. The strike took place near the Shujaiya school in the Al-Tuffah neighbourhood of Gaza City, he said. "Rescuers are continuing to search for the missing," Bassal said. The Israeli military had no immediate comment on the strike. Bassal said Israeli forces carried out similar strikes in some other parts of the Hamas-run territory overnight, killing at least 10 people. Five people were killed in northwestern Gaza City when an air strike hit a group of people near Dar Al-Arqam school, he said. Three others were killed in a strike in the Al-Mawasi area of the southern Khan Yunis governorate, where tens of thousands of displaced Palestinians have sought refuge, Bassal added. The war in Gaza broke out after the October 7 attack by Hamas on southern Israel, which resulted in the deaths of 1,205 people, mostly civilians, according to an AFP tally based on official Israeli figures. Militants also seized 251 captives during the attack, 97 of whom are still held in Gaza, including 33 the Israeli military says are dead. The count includes hostages killed in captivity. Israel's retaliatory military campaign has so far killed at least 41,118 people in Gaza, according to the health ministry of the Hamas-run territory, which does not provide details of civilian and militant deaths. The UN human rights office says most of the dead have been women or children. Serbian President wants to reinstate compulsory military service Belgrade, Sept 14 (AFP) Sep 14, 2024 Serbian President Aleksandar Vucic said on Saturday he had approved the reinstatement of compulsory military service. "I hope you all understand how much we need a strong army, how much we need to purchase and manufacture more weapons," he told a ceremony at the Military Academy. Compulsory service would last 75 days, he told cadets being promoted at the ceremony to the rank of first officer. "We don't want to attack anyone. Nor will we do so. But we want to deter those who relentlessly threaten us every day," he added. Serbia abolished compulsory military service in 2011 and has since relied on a professional army. For the provision to be reintroduced, it must be approved by government and then by parliament, where Vucic's party has solid majority. Prime Minister Milos Vucevic said on Friday the government was ready to expedite the proposal to parliament and have it implemented "in 2025 after fulfilling logistical and administrative conditions". Ukraine can produce more weapons but needs funding: officials Kyiv, Ukraine, Sept 14 (AFP) Sep 14, 2024 Ukraine is ready to scale up its domestic weapons production but is financially constrained, Ukrainian officials said Saturday as they asked for increased foreign investment in Kyiv's defence industry. Kyiv has relied on Soviet-era stocks and Western deliveries for much of its defence against Russia's invasion, while developing its own weapons production. "At this point the limit is not in production capabilities, it's all in the funding," Oleksandr Kamyshin, advisor to the President for Strategic Affairs, said at a conference in Kyiv. "Each production facility tells us: I can do more, all I need is proper funding," he said. He specified to AFP that Ukraine had a production capacity equal to $20 billion but could only manage to fund $7 billion with its own budget. "It's hard to produce with money; it is impossible without money, that's why we encourage investment in the defence and security sector," Defence Minister Rustem Umerov said on the same panel. One of the solutions, former US General David Petraeus suggested, would be to use some of the $300 billion in frozen Russian assets. Ukraine's defence industry has recently made strides including the first successful test of a domestically-produced ballistic missile and the deployment of a Ukrainian-made long-range "rocket drone" called Palianytsia. TASHKENT, Uzbekistan, September 14. Turkiyes Gurok Holding has expressed strong interest in partnering within Uzbekistan's jewelry industry, Trend reports. This was revealed at a meeting between the Deputy Director of the Department of International Relations of Uzbekistans Ministry of Economy and Finance, Shukhrat Matkarimov, and the delegation led by the Director of Business Development of Gurok Holding, Omer Alagoz. During the meeting, the sides discussed promising projects for the development of the jewelry industry in Uzbekistan and issues of attracting large Turkish companies to these projects. In this regard, Uzbekistan presented information to the company about the reforms being carried out in this area and the opportunities created in the country. The Turkish delegation visited major jewelry manufacturers and jewelry stores in Uzbekistan. Following the meeting, the sides agreed that the jewelry specialists of Gurok Holding will visit Uzbekistan in the first decade of October. Meanwhile, Uzbekistans foreign trade turnover volume with Turkiye amounted to $1.6 billion from January through July 2024. This figure has decreased by 12.5 percent year-on-year ($1.8 billion in JanuaryJuly 2023). Turkiye ranked fourth among the countries with the largest volume of foreign trade turnover in Uzbekistan. * FIRST NAME * LAST NAME * EMAIL Your email address * PASSWORD Must be at least 6 characters, include an upper and lower case character and a number Show * YEAR OF BIRTH You must be at least 18 years old to create an account 2006 2005 2004 2003 2002 2001 2000 1999 1998 1997 1996 1995 1994 1993 1992 1991 1990 1989 1988 1987 1986 1985 1984 1983 1982 1981 1980 1979 1978 1977 1976 1975 1974 1973 1972 1971 1970 1969 1968 1967 1966 1965 1964 1963 1962 1961 1960 1959 1958 1957 1956 1955 1954 1953 1952 1951 1950 1949 1948 1947 1946 1945 1944 1943 1942 1941 1940 1939 1938 1937 1936 1935 1934 1933 1932 1931 1930 1929 1928 1927 1926 1925 1924 1923 1922 1921 1920 1919 1918 1917 1916 1915 1914 1913 1912 1911 1910 1909 1908 1907 1906 * Required fields I would like to be emailed about offers, events and updates from Evening Standard. Read our privacy notice CREATE ACCOUNT I'LL TRY LATER Already have an account? SIGN IN By clicking Create Account you confirm that your data has been entered correctly and you have read and agree to our Terms of use , Cookie policy and Privacy policy . This site is protected by reCAPTCHA and the Google Privacy Policy and Terms of Service apply. TASHKENT, Uzbekistan, September 14. Uzbekistan and Germany will discuss further development of their multifaceted cooperation, according to the Uzbek presidential office, Trend reports. At the invitation of President of Uzbekistan Shavkat Mirziyoyev, German Chancellor Olaf Scholz will pay an official visit to Uzbekistan on September 15-16. The visit will include high-level talks in Samarkand city, where the two sides will explore expanding trade, economic, technological, and investment-financial partnerships, deepening cooperation with leading German companies and banks, and continuing active engagement in labor migration, ecology, education, healthcare, culture, tourism, and other sectors. Both parties will exchange views on key aspects of regional cooperation. As part of the visit, the President of Uzbekistan and the German Chancellor will also hold a joint meeting with business circles from both countries. To note, Uzbekistan's foreign trade turnover with Germany amounted to $506.3 million from January through June 2024, a decrease of 84.2 percent compared to the same period last year ($932.9 million). Stay up-to-date with more news at Trend News Agency's WhatsApp channel BAKU, Azerbaijan, September 14. Iran has sent a research satellite Chamran-1 into space today, on September 14, Trend reports. The 60-kilogram Chamran-1 satellite is at an altitude of 550 kilometers from the Earth. The mission of the Chamran 1 satellite is to assess the operation of cold gas motion, navigation, and situation control subsystems in space systems. This satellite was sent into space using the Qaem 100 satellite launcher. The Chamran 1 satellite was manufactured by the Iranian State Electronics Industries Company in cooperation with the Aerospace Research Institute and local private start-ups. To note, the Qaem 100 satellite launcher was manufactured by Iran's Islamic Revolutionary Guard Corps (IRGC) and is the second time it has launched a satellite into space. Tom Bratkowski has not moved too far from his childhood home. In fact, he is right next door to the home where he remembers the horse-drawn Quality Dairy wagon delivering milk, butter and other dairy products to his red brick family home. Those memories are much more recent than the year 1816, when the area was known as Old North and was a separate village from the City of St. Louis. It joined the city in 1841, and our home was built in 1886, Tom says. After college, he returned to the home in 1972, living upstairs from his parents. But this time he was with Gloria, whom he had met at the University of Wisconsin and married in 1971. When the house next door became available in 1975, the young couple purchased it and began 15 years of restoration work before taking occupancy in 1990. We had to chase pigeons out of the attic when we started, Gloria says. Most of the renovation in the 2,100 square-foot home was done by Tom, including restoration of 52 decorative corbels around the second-floor perimeter, updating bathrooms and repairing huge ornate ceiling medallions in the dining and living rooms. When you own an old home there are lot of big projects masquerading as small projects, he says. They usually seem easy. You never find out until you start. During his restoration years, Tom was careful not to compromise any of the original woodwork that remains as an outstanding example of the craftmanship of the late 1800s when the residence was constructed. Elaborate pine molding with a dark varnish encases the door frames that soar 10 feet up in rooms where the ceilings are 12-feet-high. Tri-fold wood shutters can be collapsed and hidden out of sight in recessed nooks inside the window frames. Original floor baseboards are 12 inches tall, twice as high as those found in homes today. Two unique slate fireplace mantels remain untouched in the living room and dining room. Although stone, when first installed both were painted to look like wood. Each feature three small hand painted murals of country scenes on the front panel over the firebox, and original etched mirrors cover the opening when the hearth is not in use. Vintage heirloom furniture and collections fit perfectly into the historic residence. The dining room table was Glorias grandmothers, and a nearby armoire belonged to Toms grandfather. On a back staircase no longer in use is a display of Toms collection of old washboards and the tools associated with hand washing clothes before the time of washing machines. Included in his collection are the copper boilers used to soak clothes in a mix of water and lye soap, and the handheld tools needed to agitate the clothes and then extract them from the boiler. We have been to the Columbus Washboard Company in Logan, Ohio, he says. It is the last factory still making washboards. One part of the residence that is not old is on the roof. In 2023 they had an array of nine solar panels installed to make the Victorian age home more energy-efficient and reduce its carbon footprint. In the back yard the same gazebo remains that Tom remembers from his childhood. That used to be a barn where a neighbor stabled his horse, he says, pointing to what is now a garage. When it was hot he would bring the horse outside and let him stand under that gazebo. Tom and Gloria Bratkowski Ages: Both are in their 70s. Occupations: Tom taught biology at Maryville University for 38 years. Gloria was a public-school teacher in St. Louis and is now an active community volunteer serving on the board of the Old North St. Louis Restoration Group. She also participates in the Ready Readers program at the Ames Visual and Performing Arts Elementary School. Home: Old North St. Louis Family: Three sons and three grandchildren. A son and Toms brother live next door to each other on the same street where Tom grew up. Old North House Tour and Arts Festival What: The annual self-guided Old North House Tour and Arts Festival. It will include the Bratkowski residence, in addition to nine other homes. The event will also feature an arts festival in Crown Square at 14th and St. Louis streets (across from Crown Candy Kitchen which opened in 1913,) with local artists, vendors, food trucks and live entertainment. When: 10 a.m. to 5 p.m. Sept. 21 How much: Tickets are $15 in advance and $20 the day of the event. More info: onsl.org/tour Photos: At Home with Gloria and Tom Bratkowski in Old North St. Louis Famous Ukrainian interviewer Ramina Eskhakzai has revealed the biggest lie of the scandalous blogger Oleksandr Voloshyn, who fled abroad, justifying his actions by "threats from the military." The Internet celebrity conducted an investigation that showed: The 27-year-old influencer went on vacation to Mexico in the winter as a volunteer. He brazenly deceived his fans and a journalist, claiming that it was just gossip. ADVERTISIMENT Ramina Eskhakzai published a detailed investigation on her YouTube channel. She collected evidence to prove the blogger's outright lies. In the new video, the journalist analyzed the fragments of an interview with Volodymyr Voloshyn, where he stated: "I have not been to Mexico. I have been to Europe, to many countries with a sea, because I had meetings with the right people there. But I have not been to Mexico specifically." In response to Anna Trincher's ex-husband's loud justifications, the 32-year-old woman released a number of facts that refute his words. Among them: a screenshot of correspondence with a foreigner who saw the fugitive in another country, the date of the internet celebrity's departure abroad, and his photo at an airport. ADVERTISIMENT "Oleksandr Voloshyn was in Mexico. This is evidenced by the information about crossing the border and the photos taken at the airport. This is evidenced by information from a foreigner, because when I asked her: "Does she know Oleksandr Voloshyn? Has he been to Mexico?" she said: "Yes". Our mutual acquaintances testify to this, and Oleksandr Voloshyn told me personally when I told him that I knew he had lied to me, looking me in the eye and showing me the evidence," Ramina said. ADVERTISIMENT After leaving Ukraine, Voloshyn claimed that he left his homeland because he felt in danger. The blogger released a 45-minute video justifying his flight abroad, in which he said he was forced to do so because he was constantly facing hate and threats. For her part, Ramina Eskhakzai says in a published investigation that the departure was planned. "He left with the help of corruption, did not pay taxes, and did not declare his income in full. He went abroad through an obscure company. Oleksandr Voloshyn's departure abroad was a planned action with the export of cars, the sale of apartments, even during the interview he mentioned Spain several times," the interviewer said. ADVERTISIMENT Only verified information is available on the OBOZ.UA Telegram channel and Viber. Do not fall for fakes! ST. LOUIS A Centreville man already serving a life term for murder pleaded guilty this week to fatally shooting a St. Louis man during a robbery. The two killings happened hours apart on June 30, 2019. Timothy B. Stokes, 56, was charged in January with first-degree murder in the death of Brian Culton, 65, at a home in the 200 block of Upton Street in the Patch neighborhood of south St. Louis. Stokes robbed Culton of about $100 around 2 a.m. that day before shooting Culton. Three hours later, Stokes shot and killed Michelle Williams, 24, outside a gas station on South State Street in East St. Louis after the two got into an argument. Later that morning, Illinois State Police encountered Stokes walking along Interstate 255 near I-64. He fired at troopers and ran away; the highway was closed for several hours while police searched for him. Stokes was found in a vacant building in East St. Louis and was arrested. He was convicted last year of murdering Williams and shooting at a police officer and sentenced to life in prison without parole, plus 20 years. He will serve a concurrent life sentence for Culton's murder. DEIR AL-BALAH, Gaza Strip Israeli airstrikes hit central and southern Gaza overnight into Saturday, killing at least 14 people as friends and family members of a Turkish-American activist killed by an Israeli soldier honored her in a funeral. The airstrikes in Gaza City hit one home housing 11 people, including three women and four children, and another strike hit a tent in Khan Younis with Palestinians displaced by the Israel-Hamas war, Gaza's Civil Defense said Saturday. They followed airstrikes earlier this week that hit a tent camp on Tuesday and a United Nations school sheltering displaced on Wednesday. A campaign to inoculate children in Gaza against polio drew down and the World Health Organization said about 559,000 under the age of 10 have recovered from their first dose, seven out of every eight children the campaign aimed to vaccinate. The second doses are expected to begin later this month as part of an effort in which the WHO said parties had already agreed to. "As we prepare for the next round in four weeks, were hopeful these pauses will hold, because this campaign has clearly shown the world whats possible when peace is given a chance, Richard Peeperkorn, WHOs representative in Gaza and the West Bank, said in a statement on Saturday. In Turkey, activist Aysenur Ezgi Eygi, the 26-year-old from Seattle who held U.S. and Turkish citizenships, was laid to rest in her hometown in the town of Didim on the Aegean Sea. The Israeli military has said that Eygi was likely shot indirectly and unintentionally by Israeli forces in the occupied West Bank on Sept. 6. Turkey announced it will conduct its own investigation into her death. An Israeli protester who witnessed the shooting said she was killed after a demonstration against Israeli settlements. We are not going to leave our daughters blood on the ground and we demand responsibility and accountability for this murder, Numan Kurtulus, the speaker of Turkey's parliament, told mourners. Eygi's body had been earlier brought from a hospital to her family home and Didim's Central Mosque. Thousands of people bid her farewell in the town's streets, which were lined with Turkish flags. Her death was condemned by U.S. Secretary of State Antony Blinken as the United States, Egypt and Qatar push for a cease-fire and the release of the remaining hostages held by Hamas. Talks have repeatedly bogged down as Israel and Hamas accuse each other of making new and unacceptable demands. The war began when Hamas-led fighters killed some 1,200 people, mostly civilians, in an Oct. 7 attack on southern Israel. They abducted another 250 people and are still holding around 100 hostages after releasing most of the rest in exchange for Palestinians imprisoned by Israel during a weeklong cease-fire in November. Around a third of the remaining hostages are believed to be dead. The war has caused vast destruction and displaced around 90% of Gazas population of 2.3 million, often multiple times, and plunged the territory into a severe humanitarian crisis. Gazas Health Ministry says over 41,000 Palestinians have been killed since the war began. The ministry does not distinguish between civilians and militants in its count, but says women and children make up just over half of the dead. Israel says it has killed more than 17,000 militants in the war. Associated Press writers Sam Metz in Rabat, Morocco and and Andrew Wilks in Istanbul contributed to this report. Updated at 7:15 a.m. An Air Force A-10 Thunderbolt II pilot with the 354th Fighter Squadron from Davis-Monthan Air Force Base, Ariz., prepares to land Oct. 27, 2023, at an undisclosed location within U.S. Central Commands area of responsibility. CENTCOM oversees military operations in the Middle East. (U.S. Air Force) The Air Force is moving on from its steady, slow-flying attack jets that have been heralded for decades of service, especially among the ground forces saved by A-10 Thunderbolt IIs while fighting in Iraq and Afghanistan. The long-coming transition away from the jet affectionally dubbed the Warthog by those ground troops who relied on it for close air support was set to take a major step Friday as the Air Force inactivated one of its storied units, the 354th Fighter Squadron, which has flown the A-10 since 1991. The unit and its associated maintenance unit the 354th Fighter Generation Squadron were set for the inactivation ceremony Friday evening at Davis-Monthan Air Force Base, near Tucson, Ariz., service officials said. The ceremony comes weeks after the unit made its official last A-10 flight over the Tucson skies on June 21, and after all its aircraft had been moved to other A-10 units or the Boneyard the final resting place at Davis-Monthan for the services retired aircraft, a base spokesperson said Friday. By the end of this decade, the Air Force plans for its entire fleet of A-10s to rest in the Boneyard. Though initially resisted by members of Congress and others who have long supported the Warthog, lawmakers agreed with Air Force brass last year to divest from the five-decade-old jet in favor of newer, more advanced aircraft the F-35 Lightning II stealth fighter, which is the most expensive weapon in U.S. history. A-10 pilots have said watching the service move on from the platform would be difficult. Nonetheless, the mission to support ground troops in battle would continue, Col. Scott Mills, the 355th Wing commander at Davis-Monthan, told Task & Purpose, an online military publication. The attack mindset is never and will never be defined by the aircraft we fly, said Mills, a longtime A-10 pilot who has flown the aircraft in combat. The attack mindset is actually ... based solely on the soldier, sailor or Marine [who is] on the ground. Its the ability to act when no one else will take action that is dangerous and put the needs of that ground team ahead of your own and ahead of your aircraft and do whatever it takes to make sure that at the end of the day, they are protected and enabled to achieve whatever their objective is for that day. The service plans to move most A-10 pilots and maintainers into F-35s in the coming years, arguing that aircraft can conduct the close air support mission that made the Warthog famous. Other A-10 units, including at Moody Air Force Base in Georgia and Gowen Field Air National Guard Base in Idaho, are expected to shed their A-10s by 2028 in favor of F-35s and F-16s, respectively. At Davis-Monthan, the A-10 mission will be replaced with a special operations mission, as the 492nd Special Operations Wing will relocate from Hurlburt Field in Florida to the Arizona base with several aircraft, including the MC-130J Commando II gunship and the fledgling OA-1K armed overwatch aircraft program. While the A-10s have proven popular for their lifesaving ability to fend off enemy ground attacks in regions where U.S. troops face little-to-no airborne threat, Air Force officials have long worried the aging Warthogs would prove easy targets against near-peer powers such as China or Russia. The planes were not designed to evade modern radar systems or for an air-to-air fight, but specifically to support ground troops by flying low and slow below 1,000 feet. The A-10 carries several air-to-ground bombs and its most famous weapon the 30mm GAU-8/A cannon, a seven-barrel Gatling gun that fires 3,900 rounds per minute. Fridays ceremony will not be the first time that the 354th Fighter Squadron has inactivated. The unit inactivated shortly after World War II, when its pilots flew P-51 Mustang fighters across the Europe, according to a unit history. It inactivated again in the 1950s before returning to fly F-105 Thunderchief fighter-bombers in Vietnam. The unit inactivated again in 1982 before its activation in 1991, when it first began flying the A-10. Lt. Col. Patrick Chapman, the squadrons commander, led the unit on its final A-10 deployment in the fall to the Middle East in support of operations in Iraq and Syria. The unit flew the aircraft out of Al Dhafra Air Base in the United Arab Emirates on its final deployment, according to the service. Chapman called the tour operationally challenging during a very turbulent time in the Middle East. He said he was proud of his units performance and expected the airmen would fare well with their new aircraft. The lieutenant colonel said he agreed the A-10 would not perform at all well in a high-end conflict with a powerful enemy, but he said ground forces would continue to need attack pilots to support them in a close-in fight. Were not defined by what we fly, he told Task & Purpose this week. Were defined by the attack we bring with it. (Nancy Montgomery/Stars and Stripes) Marjah, Afghanistan, Jan. 23, 2011: An Afghan translator working for the Marines takes a local boy for a bike ride on the boys bike on Marjahs main drag. The road had just been paved, a first in the town. Check out Nancy Montgomerys report on progress made at the time in Marjah. View of the Kenyan-led Multinational Security Support mission base located near Port-au-Princes international airport in Haiti. (Jose Iglesias) (Tribune News Service) The West African nation of Benin is supposed to be a critical partner in the multinational security mission helping Haiti fight violent gangs pledging the single-largest force of 1,500 to 2,000 soldiers, who also would be the only native French-speakers enlisted in the campaign. But now the nations deployment plans appear to be on hold. At issue is who Beninese troops, once deployed to Haiti, will be answering to and whether the international effort to stabilize and secure the country for elections should be carried out by police or military personnel. Our position and our commitment remains the same, to help Haiti, Benin Foreign Minister Shegun Adjadi Bakari told the Miami Herald in an interview. But since the beginning we said clearly, what Haiti needs is not a police mission. We believe that we need a military mission in Haiti to fight against gangs, restore the security in the country and restore the stability, which is a prerequisite for any election. The participation of Benin, where the population speaks French like many Haitians, is considered a key to the success of the mission. Its concerns about the rules of engagement recently came up in a briefing with congressional foreign affairs staff. Some congressional staff have been concerned about the pulling of resources by the Biden administration from strained areas of the globe to put out fires in other areas. Islamic State and al Qaeda militants, for example, are currently spreading violence across West Africa, and the Pentagon has turned to coastal countries such as Benin and others in the region, to house U.S. forces and assist U.S. counterinsurgency efforts after American soldiers over the summer were forced to withdraw from Niger. Benin first offered to join the Multinational Security Support mission in February amid calls from the Caribbean Community for more Francophone nations to join the effort after the United Nations agreed to the deployment of foreign forces to help the Haitian national police dismantle armed gangs controlling most of the capital. Kenya had volunteered to lead the effort and agreed to deploy 1,000 of its police officers. However, the effort was delayed due to court challenges in Nairobi and funding issues in Washington. Still, Washington was able to amass a list of countries to volunteer troops to what it continuously touted as a police-led security mission. Those countries The Bahamas, Bangladesh, Barbados, Belize, Benin, Chad, Jamaica and most recently, Guatemala. Of those, the Kenyans were the first to deploy. The first contingent of the 400 Kenyan police officers began arriving in late June. On Thursday, they were joined by 25 Caribbean security personnel including members of the Jamaican military and police force, and two members of Belizes military. In welcoming the Caribbean contingent, Godfrey Otunge, the Kenyan force commander and a policeman, said the presence of the Caribbean forces will enhance the synergy of the ongoing operations between the Multinational Security Support mission and the Haiti National Police. Until now, both groups have struggled to make a significant impact against the armed gangs, which have grown even more emboldened amid the missions lack of resources, which include a lack of security personnel, equipment and financing. Bakari said Benin has several concerns about the mission, which the government shared with partners from the onset. Among them was about the command structure, which was voiced the minute it offered to contribute between 1,500 to 2,000 military soldiers. They came back to us and said are you ready to send your military right now? We said, Yes. But you cannot ask the military to be led by policemen, he said. It hasnt happened anywhere in the world. You need the military to command military people.This is where we stand today, we said We cannot send our military persons in Haiti to be led by policemen coming from Kenya. The State Department did not respond to a request for comment. This is not the first time the question of who is better equipped at taking on Haitis armed gangs has come up. A confidential U.N. document obtained by the Herald ahead of Kenyas pledge to lead the effort suggested that the international armed force could consist of a mix of military and police units, but must have the muscle, assets and intelligence capabilities necessary to fight heavily armed kidnapping gangs. Bakari said Benin raised this very idea in its own conversations, suggesting that the security mission be a mix of army and police, or just army. All of us know that it is impossible for policemen to solve this issue, he said. They dont know how to do this kind of operation; its a pure war, we know that. He also raised another concern, one that also help lead to the decision by Canada not to take the lead despite heavy courting by the United States to do so. They should understand us also, how can I send my people to Haiti and they will be shot at? What will I tell my constituents? Bakari said. While some in Washington view the uncertainty around Benin as a blow to U.S. efforts to securing Haiti enough for the country to be able to hold long-overdue general elections next year, Bakari said he remains hopeful that his nation can keep its commitment to the troubled Caribbean country that not only shares the French language but other cultural touchstones. There is a diplomatic meeting with U.S. Secretary Antony Blinken later this month, he said, where Benin plans to once more raise its concerns. The U.S. is the largest financial contributor to the Kenya-led Multinational Security Support mission, providing more than $300 million toward its operations and the construction of a base near the international airport in Port-au-Prince. Despite the largess, there still isnt enough money to support the 2,500-security personnel it who are expected to field the operation. Concerns are also growing that while the current funding, including $84.5 million in a U.N.-controlled Trust Fund, is enough to support the troops currently employed, funding will soon run out by early next year. To address the funding and resource issues, the U.S. plans to push for more funding during the upcoming U.N. Genera Assembly that begins on Tuesday. It is also pushing to transform the non-U.N. mission into a formal U.N. peacekeeping operation. Along with Ecuador, the U.S. is floating a resolution among members of the Security Council for a years extension on the mandate of the current mission until October 2025, and for planning to begin on transforming it into a peacekeeping operation. As a peacekeeping mission, funding would come from member state assessed contributions, and not voluntary contributions. It would also have assets like helicopters and a hospital capable of doing surgeries, which doesnt currently exist. But for any of this to happen, the U.S. will first need to convince U.N. Secretary-General Antonio Guterres that a formal peacekeeping mission is the way to go, and then it will have to ensure that neither Russia nor China vetoes the idea when the resolution comes up for a vote on Sept. 30, two days before the mandate expires. I believe at some point our partners will hear us and they will understand our position and what we are asking for, Bakari said. Our position is simple, he added. We are ready. Our people are trained, they have all of the clearances but at the same time the United States, Canada, France and other countries, they have to commit in terms of financing. 2024 Miami Herald. Visit miamiherald.com. Distributed by Tribune Content Agency, LLC. Migrants rest outside the bus station. (Mary Beth Sheridan/The Washington Post) VILLAHERMOSA, Mexico With detentions at the U.S. border plunging to a four-year low, everyone is angling for the credit. Democrats highlight President Joe Bidens tougher asylum policies. Republicans point to Gov. Greg Abbotts razor-wire barriers on the Texas border. But a major reason for the drop can be seen 800 miles south of the border in this sleepy, palm-shaded city closer to Guatemala than to the United States. Here, sleek white government buses roll in, one after another, to disgorge groups of bewildered migrants. The foreigners have been stopped at highway checkpoints or plucked from buses and trains, caught in a massive dragnet set up by Mexico under U.S. pressure. But the government cant afford to deport them. So it sends them back here to southern Mexico where many simply turn around and head north again. Officials call it El Carrusel. The merry-go-round. Yoleida Aponte, 34, a Venezuelan migrant, rests with her son at an encampment outside the Villahermosa bus station. The family was detained in central Mexico and bused back to the south. They intend to head north again. (Mary Beth Sheridan/The Washington Post) The tactic isnt totally new, but is being employed more aggressively than ever before. Since the start of this year, the Mexican government has bused around 10,000 migrants a month to the south, roughly double the figure last year, according to official data obtained by the migrant activist Gretchen Kuhner. Thousands more captured migrants are loaded onto planes or minibuses for the trip. Senior U.S. officials and migrant advocates say the operation is a major factor in the stunning fall in apprehensions at the U.S. border, down 77 percent since December. But its unclear whether the results are sustainable. The number of migrants camped out in Mexican cities is rising. And advocates and aid workers worry about the human toll. I call it the policy of wearing people out, said Eunice Rendon, head of the nonprofit advocacy group Agenda Migrante. Maria Rosa Barrios, 33, a Venezuelan X-ray technician, is stuck on the carousel. She entered Mexico with her partner and their three sons in February. Now they were at a migrant shelter in Villahermosa. This is our fourth time trying to go north, she said. The family was first picked up by immigration agents outside the northern city of Monterrey in March and bused to southern Chiapas state. In May, they were ordered off a train near Piedras Negras, across from Eagle Pass, Tex., and returned again. In August, they were stopped just hours after setting out from Chiapas. By now, Barrioss dyed blonde hair has gone dark. Shes dropped two pants sizes for want of food. Her skin, once the color of almond milk, is now rough and browned by the sun. Round and round she goes. Sometimes I say, why did I make this decision? she said. Tears welled in her eyes. But I have to continue, to help my parents, to help my children. Maria Rosa Barrios, 33, comforts her 4-year-old son, Jose, in a blue T-shirt, as her 9-year-old son, Crhistian, looks away at the Amparito shelter for migrants in Villahermosa, Mexico. Barrios is hoping to reach the United States to earn money to pay for treatment for Crhistians growth disorder. (Mary Beth Sheridan/The Washington Post) Mexico triples its migrant detentions Mexico launched the crackdown just after Secretary of State Antony Blinken led a delegation to Mexico City in December. Record numbers of migrants were reaching the U.S. southern border and Republicans were pounding Biden on the issue ahead of the presidential election. Blinken pressed President Andres Manuel Lopez Obrador to step up enforcement, according to U.S. and Mexican officials. Mexicos migration agency went into overdrive. Aided by the military, agents added checkpoints on highways and ramped up searches of trains and buses. In the first half of 2024, Mexico logged 712,226 apprehensions nearly triple the number in the same period last year. Mexico couldnt possibly deport that many people. It wasnt just a question of money. Its Supreme Court had ruled that migrants could be detained for only 36 hours, not enough time to process most for a return home. So authorities dramatically scaled up a program to send them to cities near the Guatemalan border. The merry-go-round is just one of the governments tactics. Authorities also tightened access to humanitarian visas, which many migrants were requesting under the guise of seeking asylum in Mexico but using instead to travel to the U.S. border. Officials leaned on railway operators to keep migrants from hopping freight trains. Those detained are being sent to southern cities that are often ill-equipped to handle them. Villahermosas only migrant shelter, known as Amparito, is hosting twice as many migrants as a year ago. When the 60 beds in its dorm-style rooms fill up, the staff squeeze mattresses into the main meeting room and the childrens play area. An infrastructure with 60, 90, 100 beds isnt remotely enough for the number of people who come, director Karina Vidal said. Barrios outside her dormitory-style room at the shelter. (Mary Beth Sheridan/The Washington Post) Mexican policy leaves migrants in a state of helplessness Barrioss case shows why migrants continue to push two, three or four times to get through Mexico to the United States. The economy in her native Venezuela has collapsed, the result of mismanagement by the socialist government and punishing U.S. sanctions. Nearly 8 million people have fled. Barrios and her partner, Mario, a taxi driver, couldnt make ends meet. And they were growing increasingly anxious about their 9-year-old son, Crhistian. At the shelter, Barrios watched Crhistian skip off to play with his 4-year-old brother. They were the same size. The treatment he needs is very expensive, she said. There was no way to earn enough in Venezuela to deal with his growth disorder. Barrios left Venezuela in January with her Bible and a suitcase of dreams. The family hiked through the treacherous Darien Gap, the 60-mile stretch of jungle between Colombia and Panama, and worked their way to Mexico by bus and on foot. Eight months later, theyre broke. Like other migrants who cluster at stoplights or on street corners in Villahermosa, the family peddles lollipops or begs for change outside convenience stores. Theyre often reduced to sleeping in the street. We use our shoes for pillows, Barrios said. Migrants at the shelter choose from a pile of donated shoes. (Mary Beth Sheridan/The Washington Post) Shes adopted a determined cheerfulness. Theres no going back to Venezuela; shes sold her house. And, she figures, other Venezuelans made it to the United States. Why cant they? She keeps her kids going with stories of life in America. They ask, Mama, when will we reach the beautiful country? When will you take me to Disney? Barrios said. Analysts say the merry-go-round is subjecting vulnerable people to horrific conditions, including kidnapping and robbery by criminal groups. Many migrants are escaping life-threatening violence or economic collapse back home, said Tonatiuh Guillen, a former head of Mexicos migration agency. These arent the migrants of years ago. Mexico denies its being cruel; it accepted a record 140,000 applications to its own refugee program in 2023. But the figure has plunged this year, as officials try to crack down on abuse. Aid workers fear its becoming harder to access the system. Biden is also taking measures to control migration Andrew Selee, president of the nonpartisan Migration Policy Institute in Washington, has been watching detentions fall at the U.S. border. I keep saying its not sustainable, he said. And then they sustain it. Thats due not just to the Mexican merry-go-round. The Biden administration has steadily ratcheted up penalties for asylum seekers who arrive illegally. Texas officials claim credit for Operation Lone Star a three-year-old program thats fortified the border with razor wire, National Guard and police. Analysts, however, note that other states have recorded similar drops in border-crossers without such measures. Some migrants may have postponed their trips because of the cooling U.S. job market, or an effort by Panama to curtail traffic through the Darien Gap. This is all happening at the same time, said Selee. It may have created enough reinforcing factors to keep the numbers down for a bit. The Mexican government is hoping that the combination of U.S. policies and its own enforcement will transform the dynamics at the U.S. border. Around 75,000 migrants a month are now entering the United States through legal channels many using an app called CBP One to schedule appointments for asylum interviews. Washington recently made the app available in the southern Mexican states that border Guatemala in addition to those in the central and northern parts of the country. Mexico has promised to begin transporting those in the south who have appointments to the U.S. border. We believe that guaranteeing safe migration is part of our job, Foreign Minister Alicia Barcena said. But only around 1,450 appointments are available each day through CBP One, far less than the number of migrants seeking them. Many people struggle for weeks to get a date via the app and when they do, its often several months in the future, leaving them waiting in Mexico. Other factors could foil the efforts to keep border arrivals down. Smugglers typically find ways around enforcement measures. A new wave of Venezuelans could reach the United States in the wake of the countrys July presidential election, which was widely denounced as fraudulent. Its not easy, Barrios said. But its also not impossible. Video: The Mexican Government is routinely bussing immigrants to their southern states before they can reach the U.S. border. Valentina Munoz Castillo in Mexico City contributed to this report. (c) 2024, The Washington Post. China's consumer market continues recovery with increased retail sales Xinhua) 15:50, September 14, 2024 BEIJING, Sept. 14 (Xinhua) -- China's consumer market has maintained stable recovery this year with expanding retail sales, official data showed Saturday. Retail sales of consumer goods went up 2.1 percent year on year in August, the National Bureau of Statistics (NBS) said in a statement. Rural consumption gained 3.9 percent last month from a year ago and the catering sector saw its revenue rise by 3.3 percent -- well above the average level, according to the data. In terms of products, sales of communications equipment climbed 14.8 percent, while sales of cereals, oil and food went up by 10.1 percent. Medicine sales increased by 4.3 percent, and that of home appliances and audio and video products rose by 3.4 percent. In the first eight months of 2024 combined, retail sales of consumer goods increased 3.4 percent compared to a year earlier. Online sales remained a bright spot, rising by 8.9 percent year on year in the January-August period. In particular, sales of physical goods increased by 8.1 percent and accounted for a quarter of total retail sales. Commenting on the data, NBS spokesperson Liu Aihua highlighted the recovery trend of the consumer market, especially in services, new-type consumption and online sales. Recent vibrant summer travel and strong cultural and tourism demand underlined the great potential of service consumption, while digital and green consumption also emerged as a new fashion and favorites of consumers, Liu said. China has rolled out an array of measures to boost consumption this year, including a program promoting large-scale equipment upgrades and consumer goods trade-ins. Recently, the government further stepped up efforts to encourage the purchase of automobiles and home appliances, among others. While recognizing policy impacts, Liu also said that consumption recovery still faces restraints, prompting authorities to channel even more energy into strengthening consumer sentiment and stimulating domestic demand. The presence of improved government policies and better products and services on the market, means the potential of consumption will be unleashed at a faster pace and thus the consumer market will have a more solid foundation for recovery, Liu added. (Web editor: Zhang Kaiwei, Xian Jiangnan) During the exchange of prisoners with Russia on September 14, 23 servicemen who were part of the Azov Regiment of the National Guard of Ukraine returned home. In total, Ukraine returned 103 servicemen as part of this exchange. ADVERTISIMENT The defenders of Mariupol and Azovstal spent more than two years in captivity. This was reported by the head of Azov's patronage service, Olena Tolkacheva. "It's a miracle! 23 Azov people are returning to their homeland today after more than two years of captivity!" she said in a statement. ADVERTISIMENT Earlier, President Volodymyr Zelenskyy announced the exchange of prisoners. The exchange brought back 82 privates and sergeants, as well as 21 officers. Among the released soldiers are members of the Armed Forces, the National Guard, border guards, and police who defended Kyiv, Donetsk, Mariupol, and Azovstal, as well as Luhansk, Zaporizhzhia and Kharkiv regions. As reported by OBOZ.UA, on September 13, Ukraine and Russia conducted the 56th exchange of prisoners of war. As a result, 49 Ukrainians returned home, including 15 defenders of Azovstal. At the same time, 49 Russian soldiers who had surrendered in the Kursk region went to Russia. ADVERTISIMENT As a reminder, the Ukrainian Parliament Commissioner for Human Rights, Dmytro Lubinets, showed the emotions of our fellow citizens upon their return home. The Ombudsman emphasized that the health condition of the returnees is extremely serious, many of them have lost tens of kilograms of weight. Only verified information is available on our Telegram channel OBOZ.UA and Viber . Do not fall for fakes! U.K. Prime Minister Keir Starmer meets U.S. President Joe Biden for a bilateral meeting in the White House on July 10, 2024. The two leaders are meeting again on Friday, Sept. 13, 2024, and they are expected to discuss the issue of allowing Ukraine to make long-range strikes deep into Russia. (Simon Dawson/U.K. Prime Ministers Office via Flickr) Russia on Friday accused six British diplomats of spying and announced it has stripped them of accreditation, ahead of a crucial White House meeting between President Joe Biden and British Prime Minister Keir Starmer, who are expected to discuss later Friday whether to allow Ukraine to strike military targets deep inside Russia using some long-range Western weapons. On the eve of the meeting, President Vladimir Putin also warned that if Ukraine fires Western missiles deep into Russia, it would mean that Russia was at war with NATO and would respond accordingly. Russias Federal Security Service (FSB) said that Britains Foreign Office was coordinating the escalation of the political and military situation in Ukraine to ensure Russias defeat in the war. It said the diplomats were threatening the security of the Russian Federation. The British Foreign Office described the FSBs accusations as completely baseless and said Russia revoked the diplomats accreditation last month in retaliation for earlier British action in response to Russian state-directed activity across Europe and in the United Kingdom. We are unapologetic about protecting our national interests, it said. Putins threat underscored Moscows unease about the impact that a decision allowing Ukraine to target Russia using some long-range weapons might have on the strategic balance in the war. The issue is not whether to allow the Ukrainian regime to make strikes on Russia or not. The issue is to decide whether the NATO states are directly involved in the military conflict or not, Putin said. If this decision is made, it will mean nothing other than the direct involvement of NATO states, European states, in the war in Ukraine, Putin said in a television interview late Thursday. Such a move would change the very nature of the conflict, he said. This will mean that all NATO states, the United States, the European countries are fighting with Russia. And if so, then given the change in the very essence of this conflict, we will make corresponding decisions based on the threats that will be created for us. John Kirby, the White House national security spokesman, suggested that Bidens meeting with Starmer would not produce a shift in Washingtons reluctance to let Ukraine fire American-provided long-range missiles deeper into Russia. There is no change to our view on the provision of long-range strike capabilities for Ukraine to use inside Russia, and I wouldnt expect any sort of major announcement in that regard coming out of the discussions, certainly not on our side, Kirby told reporters. He largely declined to comment, however, on whether the U.S. would support the British or French if they decided to authorize Kyiv to launch long-range strikes with the weapons they have provided. We have and will continue to have meaningful conversations with our alliesabout what were all doing to support Ukraine, about what can be done, what should be done, the pros and the cons of all these moves, Kirby said. In response to Putins remarks on NATO, Starmer told British reporters that Russias invasion was illegal and that Ukraine has a right to defend itself. We dont seek any conflict with Russia. Thats not our intention in the slightest. But they started this conflict, and Ukraines got a right to self-defense, he said. Ukraine has repeatedly dismissed such threats from Russia, maintaining that Putin never follows through. On Friday, Polish Prime Minister Donald Tusk also recommended not getting too worried over the Russian leaders remarks. I would not attach excessive importance to the latest statements from President Putin, Tusk told a news conference. They rather show the difficult situation the Russians have on the front. Kirby agreed that Putins statements are unreliable, while adding a note of caution. Its hard to take anything coming out of Putins face at his word, Kirby said. But he emphasized that the U.S. carefully watches potential Russian threats. He starts brandishing the nuclear sword, yes, we constantly monitor that kind of activity, Kirby said. He obviously has proven capable of aggression. He has obviously proven capable of escalation over the last now going on three years. Throughout the war, Kremlin and senior Russian officials have spelled out a series of red lines, for example the supply of F-16 warplanes to Kyiv or any invasion of Russian territory, and these have been crossed without major repercussions. In addition, until recently Kremlin officials seemed to be playing down the matter, stating that the decision to lift restrictions on using Western weapons for long-range strikes into Russia had already been made. But on Friday, speaking after the responses from Starmer and Tusk, Kremlin spokesman Dmitry Peskov sought to reinforce the gravity of Putins warning in comments to journalists during a regular conference call. The statement that Putin made yesterday is very important, he said. It is extremely clear, unambiguous and does not allow for any double readings. We have no doubt that this statement has reached its addressees. The Russian daily Kommersant also suggested that this time around, Putin is serious. In an article headlined, Vladimir Putin drew his red line, Kommersant reported that such strikes would be classified as NATO strikes against Russia. Previously, Russian officials, including Vladimir Putin himself, have repeatedly said that NATO countries are directly or indirectly involved in the confrontation between Russia and Ukraine, but this is the first time this topic has been raised so harshly and unequivocally, Kommersant reported. The war against Ukraine, planned as a short, sharp operation, has instead turned into a long, bloody war of attrition, which British intelligence recently estimated has cost Russia more than 610,000 soldiers killed or wounded in action. Ukrainian President Volodymyr Zelensky and other officials have been pressing Washington for months to lift the restrictions against using Western missiles to target military sites such as airfields where Moscow bases the planes that have launched devastating strikes, knocking out much of Ukraines energy infrastructure as well as killing civilians. Anyone who simply looks at the map and sees where Russia is launching strikes, where it is preparing forces and holding reserves, where its military facilities are located, and what logistics it uses anyone who sees all of this clearly understands why Ukraine needs long-range capabilities, he posted on Telegram. At a conference Friday, Zelensky also said that he would soon brief Biden on his victory plan to end to war and bring about a reliable peace. Biden was asked Tuesday whether the United States was ready to lift the restrictions. We are working that out right now, he said. A British government statement ahead of Fridays White House meeting accused Russia of escalating the war, notably by importing Iranian ballistic missiles for use against Ukraine, calling it a significant escalation bolstering Putins capability to continue his illegal war. The FSB said expelling the six diplomats is a first step in response to the numerous unfriendly steps taken by London. It said it found signs of spying and sabotage by the six British diplomats from the political department of the Moscow Embassy. An FSB officer who appeared on state television on Friday morning said British diplomats being expelled had met with journalists from Novaya Gazeta newspaper and from the rights group Memorial. Memorial, a Nobel Peace Prize laureate, has, like hundreds of media, civil society organizations, journalists and activists, been declared a foreign agent by Russian authorities. Its a classical British spy. Its fun watching him, but it cant be tolerated any further, the FSB officer said. We got sick and tired of tolerating this circus as they went jogging across Moscow and through urban forests, their one-day visits to neighboring towns for the purpose of sitting on a bench for several hours in the frost, or multiple changes of public transport and taxis to escape from security services in order to visit some gathering of foreign-agent NGOs that lobby for migrants interests, he said. State television broadcast the names and photographs of the accused diplomats. Ukrainian President Volodymyr Zelenskyy, left and U.S. President Joe Biden hold a bilateral meeting at the Intercontinental Hotel in Paris on June 7, 2024. (Saul Loeb/AFP/Getty Images/TNS) (Tribune News Service) President Joe Biden will meet his Ukrainian counterpart at the U.N. General Assembly to discuss Ukraines strategy for the war ahead of the U.S. elections, said White House national security adviser Jake Sullivan. With little more than four months before a new U.S. president is sworn in, we are going to treat each single one of those days preciously when it comes to supporting Ukraine, Sullivan said via a video link to the annual Yalta European Strategy conference in Kyiv, Ukraine, organized by businessman Victor Pinchuk. I believe that were at a vital moment, at a crossroads, Sullivan said of the Biden meeting with Volodymyr Zelenskyy meeting at UNGA. Zelenskyy, whos repeatedly criticized allies for the slow supply of promised weapons, has said hell lay out a victory plan when he meets with Biden that would force Russia to halt its full-scale invasion, though he hasnt offered specifics. Ukraines leader said he would also brief the U.S. presidential candidates, Vice President Kamala Harris and former President Donald Trump, on the proposal. Ukraine is pressing its allies to allow the use of Western weapons to strike deeper inside Russia to target military objects that have been moved further back from the nations border. Our job is to put Ukraine in a strong position on the battlefield so that they are in a strong position at the negotiating table, Sullivan said. Having a conversation that puts all of the pieces together is what the White House hopes to bring together at the New York meeting. Sullivan warned that any peace proposal suggested for Ukraine that ran counter to fundamental principles of sovereignty and territorial integrity, democracy and freedom wouldnt be sustainable. Ukraine has to be in the lead when it comes to diplomacy and negotiations, he said. Kremlin forces recently stepped up missile attacks on Ukraine, damaging its energy facilities and causing blackouts across the country. Russian ground troops are advancing in Ukraines eastern region of Donetsk as the war moves through its third year, outnumbering Kyivs army in personnel and ammunition. The strategic town of Pokrovsk in Donetsk is of unique concern, Sullivan said. I meet with my team every single day to think about what tools we need to put into place to help stabilize the front and make sure that those grinding advances by the Russians are met with stiff resistance, Sullivan said. We are currently working on a substantial package, pulling together a range of different capabilities that we are going to try to get out the door before the end of this month. Ukraine has repeatedly called for more air defense systems and the U.S. is in the process right now of securing those from partners, Sullivan said. Kyiv also needs to build more concrete barriers around energy transformers and substations to protect them from Russian drones, Sullivan said, adding that were trying to deliver the tools to be able for them to build that out around more energy infrastructure. The U.S. has made it a priority to help protect Ukraines nuclear power plants, Sullivan said. Russia has proved completely brazen about its attacks on civilian energy infrastructure, he added. 2024 Bloomberg L.P. Visit bloomberg.com. Distributed by Tribune Content Agency, LLC. Since coming to power in July, Irans new political leadership has signaled its interest in reengaging with the West which could bring restored ties and sanctions relief for the isolated country. For Iran, engagement is more difficult now than ever. Tehran is deeply enmeshed in the Israel-Lebanon conflict. The nuclear deal is in tatters. Sanctions have crippled the economy. And this week, the United States accused Iran of sending ballistic missiles to Russia and imposed new sanctions. Still, regional diplomats and officials say, the developments this week are unlikely to derail Iranian President Masoud Pezeshkians efforts to bring his country out of isolation, which one Arab diplomat described as the countrys only option. In this case, time is not on Irans side, said the diplomat, who meets regularly with Iranian officials, and who spoke on the condition of anonymity due to the sensitivity of the subject. He said Iran appears eager to secure some kind of progress toward engagement to relieve domestic and international pressures. When Secretary of State Antony Blinken announced the sanctions Tuesday, he addressed Irans diplomatic overtures directly. Irans new president and foreign minister have repeatedly said that they want to restore engagement with Europe, they want to receive sanctions relief, he said. Destabilizing actions like these will achieve exactly the opposite. The sanctions appear milder than expected, when European countries warned Iran that ballistic missile transfers to Russia approximated a red line in the Ukraine war. The measures largely target Irans civil aviation and could take months to go into effect. Irans Foreign Ministry said the weapons transfer allegations were a vile propaganda ploy and a blatant lie. The ballistic missile deal with Russia, which the Treasury Department alleged was negotiated long before Pezeshkian took power, is an example of how Iranian policy often plays out on two tracks, according to Nicole Grajewski, a fellow at the Carnegie Endowment. While civilian leadership may push for reform or diplomacy, the military and security apparatus maintains its own long-term goals, often independent of the political leadership, said Grajewski, who is the author of the book Russia and Iran: Partners in Defiance from Syria to Ukraine. Just a few months into his term, Pezeshkian is juggling multiples crises at home and abroad. The war in Gaza has dragged on for nearly a year, and in that time Israel has traded nearly daily fire with Hezbollah, Irans most powerful allied militia. Domestically, Irans economy has repeatedly hit record lows. And widespread frustration over the use of heavy-handed tactics by security forces continues to simmer. After the killing of Hamas leader Ismail Haniyeh in Tehran in July, Iran vowed a decisive response. That was over a month ago. U.S. officials believe that every day that passes lessens the chances of a major incident and escalation, although additional American military assets remain in the region as a deterrent. Since Pezeshkians election, Iran has indicated to the Biden administration that it is interested in talks, but U.S. officials are waiting for signs of support from Irans supreme leader, who is the ultimate decision-maker. By standing with Russia in its war, Iran is defending its interests and existence, said a Lebanese official who deals regularly with Tehran, speaking on the condition of anonymity to discuss a sensitive matter. The official said the most recent sanctions on Iran are part of a policy to put it under constant pressure, but recently, he said, that approach appears to be yielding diminishing returns. These sanctions have become a double-edged sword, he said, adding that they have crippled Irans economy and ability to project power but have also necessitated stronger ties with countries like Russia. Iran has continued to advance its nuclear program and thwart international inspectors. Uranium-enrichment experts have been barred from inspection teams and Iran has failed to explain uranium traces found at undeclared sites. But at a board of governors meeting this week in Vienna, U.N. nuclear watchdog chief Rafael Grossi said Pezeshkian agreed to meet to discuss improving cooperation. I encourage Iran to facilitate such a meeting in the not-too-distant future so that we can establish a constructive dialogue that leads swiftly to real results, Grossi said in a statement. When asked by reporters if the not-too-distant future meant after the U.S. election, Grossi responded: No, hopefully before that. Reviving the 2015 nuclear deal had been a priority for the Biden administration. Talks progressed enough that in 2022 the two sides were reviewing final texts of a potential agreement, but failed to secure a breakthrough. The deal had curbed Irans nuclear program in exchange for sanctions relief, but collapsed when then President Donald Trump withdrew in 2018 and imposed new maximum pressure sanctions on Iran. Irans president campaigned on promises to improve the economy by ending isolation. And as he built his cabinet, his appointments reflect a greater emphasis on diplomacy. Former foreign minister Mohammad Javad Zarif, who negotiated the 2015 nuclear deal, was appointed as strategic vice president. And career diplomat Abbas Araghchi, another member of the nuclear negotiating team, is Irans new foreign minister. He has all the right people in place, said Sina Azodi, an expert on Iran and a lecturer at George Washington University, of Pezeshkians government formation. The question is if he can get the approval of [Ali] Khamenei, Irans supreme leader. Over the past month, Pezeshkian has appeared to go out of his way to keep Khamenei on his side, Azodi said. Its a kind of bear-hugging approach, he said, that could serve Pezeshkian well if he comes under fire from Iranian conservatives opposed to engagement. Khamenei addressed Pezeshkians cabinet directly last month. We do not have to pin our hope to the enemy. For our plans, we should not wait for approval by the enemies, Khamenei said in a video broadcast by state television. It is not contradictory to engage the same enemy in some places. Theres no barrier. The comments, while vague, were interpreted by many as opening the door to potential talks with the West. But in the same address, Khamenei included a warning: Do not trust the enemy. Irans supreme leader has always provided a little bit of leash to his governments to test the waters and see what they can achieve, said Suzanne Maloney, director of the foreign policy program at the Brookings Institution and an Iran expert. But what kind of deal would even be possible is unclear. The structure of what worked 10 years ago is essentially inconceivable today, she said. Secretary of State Antony Blinken speaks during a press conference following a strategic dialogue meeting with Britains Foreign Secretary David Lammy at the Foreign, Commonwealth and Development Office (FCDO) on Sept. 10, 2024, in London. (Alberto Pezzali/Pool/Getty Images/TNS) (Tribune News Service) The U.S. and U.K. are increasingly concerned that Russia is sharing with Iran secret information and technology that could bring it closer to being able to build nuclear weapons, in exchange for Tehran providing Moscow with ballistic missiles for its war in Ukraine. The Kremlin has increased its cooperation with Iran over its ambitions to obtain atomic weapons in recent months, according to Western officials familiar with the matter, who spoke on the condition of anonymity to discuss assessments that havent been made public. The development was discussed by U.S. and U.K. officials in Washington this week, the people added, as British Prime Minister Keir Starmer met President Joe Biden at the White House for a strategic meeting on foreign policy. They described it as worrying, and an escalation of Russia and Irans military ties. Russias foreign ministry, Irans embassy at the International Atomic Energy Agency in Vienna, and the U.S. National Security Council didnt respond to requests for comment. Secretary of State Antony Blinken suggested that Russia was exchanging nuclear technology with Tehran during a press conference in London last week, during which he said Moscow had received a shipment of Irans Fath-360 ballistic missiles. For its part, Russia is sharing technology that Iran seeks this is a two-way street including on nuclear issues, as well as some space information, Blinken said. Iran insists it isnt looking to produce nuclear weapons, although thereve been growing concerns the Persian Gulf nation could build them in response to escalating tensions with Israel. In April, a senior Iranian general said the Islamic Republic could revise its nuclear doctrine if Israel targeted its atomic facilities, remarks that were seen as a warning that it could seek to produce a warhead, having long said its atomic capabilities were only for civil purposes. IAEA, the United Nations watchdog, said Irans nuclear-fuel levels rose between June and August, enough to fuel a handful of warheads should Iran make a political decision to pursue weapons. At a conference in London last weekend, U.S. Central Intelligence Agency Director William Burns said Russia and Iran were deepening their military relations. With assistance from Jenny Leonard. 2024 Bloomberg L.P. Visit bloomberg.com. Distributed by Tribune Content Agency, LLC. President Joe Biden and Vice President Kamala Harris greet Paul Whelan at Joint Base Andrews in Maryland on Aug. 1, after he was freed from Russian captivity. (Demetrius Freeman/The Washington Post) Members of Congress agree they must change the law so that Americans held hostage or wrongfully detained by terrorist groups or foreign governments dont owe penalties for failing to pay taxes while theyre captive. But their attempt to address that problem is stuck in a fight over other legislation. The Senate in May unanimously passed a measure that would prevent the Internal Revenue Service from assessing penalties to freed hostages who didnt file or pay taxes during their ordeal. On Wednesday, the House Ways and Means Committee unanimously advanced similar legislation. But the House committee packaged the hostage tax bill with a measure that would make it easier for the government to strip tax-exempt status from nonprofit groups over allegations of support for terrorism. And because of an arcane procedural step Congress took to try to speed passage of the hostage bill, that move probably prevented it from becoming law. The Senate used an obscure tool called a deeming resolution to approve the hostage provision it unanimously declared that once the legislation passes the House, it would also be considered passed by the Senate. But if the bill is altered in any way in the House, the Senates action is moot. The House bill, though, is different from the Senates because of the section on nonprofits. Free speech and pro-Palestinian advocacy groups oppose that provision, concerned that it could be leveraged to silence organizations with dissenting views or halt the work of humanitarian agencies that operate in areas controlled by terrorist entities, especially in the context of the Israel-Hamas war in Gaza. That opposition probably dooms the House bills chances in the Senate. Ways and Means, by adding an unrelated bill to it, guarantees that [the deeming resolution] wont work and that we will either have to revise it, change it, send it back, or that we wont get this done in this Congress, Sen. Chris Coons (D-Del.), one of the hostage bills main supporters, told The Washington Post. My hope is that the House will recognize they have a chance to just send this bill to us and it goes directly to the presidents desk. Ways and Means Committee Chair Jason T. Smith (R-Mo.), though, said in a meeting Wednesday that the two provisions were closely related. Terrorist group Hamas is believed to be holding seven Americans hostage in Gaza, four of whom are said to be alive. When they are released, they could face substantial tax penalties, Smith said. Our hearts break for the hostages kidnapped by Hamas on October 7th, including the Americans still being held captive. Russia and other nations continue to wrongfully detain other Americans abroad as well. The last thing these Americans and their families need are penalties from the IRS for a situation entirely outside of their control, Smith said. At the same time, U.S.-based organizations that enjoy not-for-profit status in our tax code while supporting terrorism or providing financial support to terrorist organizations should not be able to benefit from tax-exempt status. Groups that provide support to terrorist groups defined in federal law as financial, material or logistical assistance, with exemptions for medicine and religious items are already subject to having their nonprofit status revoked. They fall under the same provisions that regulate terrorist activity and financing, and those convicted under the statute could face a decades-long prison sentence. The Houses bill would create a new suspension and review process for nonprofit groups, according to the nonpartisan Congressional Research Service. Smiths committee advanced the legislation by a 38-0 vote; Democratic Reps. Lloyd Doggett (Tex.) and Don Beyer (Va.) said they voted for the bill in committee but hoped it could be amended to limit the use of the nonprofit provision. But theres very little time left in the congressional session, so the Senate probably will not be able to consider the bill this year, and lawmakers will have to start the process from the beginning in 2025. The legislation would have a negligible impact on federal finances but has an significant effect on individuals returning home from captivity. When Post columnist Jason Rezaian returned to the United States in 2016 after 544 days in Iranian captivity, he paid a $6,000 tax penalty. Rezaian said in an interview that Smiths assertion that his bill will help people held hostage by Hamas is based on a misunderstanding: The IRS has a long-standing practice of forgiveness for people who are held hostage by non-state organizations, including Hamas and groups like the Islamic State or Boko Haram, Rezaian said. The goal of the new bill is to expand that to people held by foreign governments, like Russia or China. When I returned home from Iran in 2016 after being imprisoned for nearly a year and a half, I found that the IRS had charged me with thousands of dollars in penalties for not filing my taxes on time, Rezaian wrote in The Post last month, after several Americans were released from captivity in Russia. The usual penalties had compounded. I was sleeping less than three hours a night, repeatedly waking from nightmares that I was back in prison. During the day, I was distracted and having trouble concentrating. So I neglected to sit down and address the problem. Kia Hamadanchy, senior policy counsel at the ACLU, said he has been urging members of Congress to vote against the bill because of the component on nonprofits tax exempt status. The law already provides ways to strip organizations of their tax-exempt status if they provide material support for terrorism, he said; the proposed legislation broadens the governments powers to do so in ways that Hamadanchy fears could be weaponized against nonprofits that fall out of favor with future White House administrations. This is really a way of trying to stifle dissent and chill speech, he said. NATO Secretary General Jens Stoltenberg said that the Alliance could have done more to prevent Russia's invasion of Ukraine. According to him, providing Ukraine with weapons could have helped avoid a large-scale war. ADVERTISIMENT He said this in an interview with the Frankfurter Allgemeine Zeitung. The Secretary General explained that fear of Moscow prevented NATO countries from taking these steps. "Now we provide military equipment for war then we could provide military equipment to prevent war," Stoltenberg said. Stoltenberg noted that the Allies were hesitant to provide the weapons requested by Ukraine before the invasion because of fears of escalation of the conflict with Russia. He also emphasized that the war can only be ended through negotiations. "To end this war, at some point, we will have to re-engage in a dialog with Russia. But it should be based on Ukrainian strength," he added. Earlier, the commander of the Finnish Defense Forces, General Janne Jaakkola, said that he did not rule out an escalation of the military conflict in Europe. In his opinion, the aggressor country Russia is not deterred from using military force. However, right now, Moscow does not pose a military threat to Finland. ADVERTISIMENT As reported by OBOZ.UA, Russian dictator Vladimir Putin said that allowing the use of Western long-range weapons to strike Russian territory would "change the nature of the conflict" and would be seen as direct participation of NATO countries in the war in Ukraine. He threatened that this would provoke a "retaliatory reaction" from Moscow. Only verified information on our Telegram channel OBOZ.UA and Viber. Do not fall for fakes! Bizarrely, this defendant seems to have exaggerated what he is alleged to have done, said the Antrim Magistrates Court judge, sitting in Ballymena A Belfast man accused of deliberately running over his six-month-old puppy bizarrely seems to have exaggerated his actions, a judge has said. Releasing Sean Norris on his own bail of 500 with a range of conditions, including not being allowed near any animal, District Judge Nigel Broderick commented that usually defendants who appear in front of me tend to minimise their actions during police interviews. Bizarrely, this defendant seems to have exaggerated what he is alleged to have done, said the Antrim Magistrates Court judge, sitting in Ballymena. Appearing via videolink last Tuesday, Norris, from Colinbrook Drive, Dunmurry, faces five charges across two cases. He faces three charges arising from incidents on May 19 this year including two of causing unnecessary suffering to two dogs and making a threat to kill his brother. Norris is also charged with and has admitted causing criminal damage to windows and possessing a weapon, namely a hammer, at Oakglen in Antrim on June 25 this year. During Tuesdays bail application the court heard he had been reported as missing last May after arguing with his mum and brother over concerns he was not taking care of his six-month-old border collie pup. The officer told the court his colleagues spotted what they believed to be fur and blood on the bumper of Norris car, after fining him on the motorway. He claimed hed driven over the dog several times and there were fears it was severely injured or dead, but following searches at Glenshane Road, she was found alive and well. The threats towards his brother related to a number of text messages sent by Norris. The second charge of animal suffering his mothers Bichon Frise when Norris told police, I hate it - I gave it a few good slaps and boots to shut it up. Defence counsel Grant Powles said a psychiatrist had assessed Norris as mentally fit to stand trial but incapable of forming the necessary means to commit the offences, even though he admitted criminal damage and having a weapon. Both cases were adjourned to September 24. The court heard fake Chinese Apple phones were dispatched to Ireland and swapped for genuine iPhones sent to China A DUBLIN-based Chinese businessman has been accused of money laundering connected to an international 9.7m "zombie" iPhone fraud. Chengwen Guo, 41, of Ivy Exchange, Parnell Street, D1, was arrested at his home on Wednesday following a three-year investigation by the Garda National Bureau of Criminal Investigation into an illegal counterfeit Apple phone trade. He was taken to Kevin Street Garda station in the city centre and charged with three offences under section seven of the Criminal Justice (Money Laundering and Terrorist Financing) Act 2010 for possessing crime proceeds totalling 170,460, following searches at three locations in Dublin in January 2022. The offence carries a maximum 14-year sentence. The father of four, who was believed to be running a chain of phone shops since moving to Ireland in 2012, allegedly had 143,245 at his home, 20,700 at Murray Mobile, 15 Main Street, Dundrum, D14, and 6,515 in a 2019-reg Audi Q2 car at Shanowen Road, D9. The court heard fake Chinese Apple phones were dispatched to Ireland and swapped for genuine iPhones sent to China. He was refused bail when he appeared before Judge David McHugh on Saturday at Dublin District Court, which heard gardai believed he was a "central figure in the complex deception that was undertaken to defraud Apple". Detective Garda Conor Cadogan said Mr Guo "made no reply" to the charges, and the officer objected to bail, citing flight risk. The contested bail hearing was told that the GNBCI probe opened in December 2021 following a report from tech giant Apple about product warranty fraud. "It was suspected that an international organised crime gang (OCG) are involved in procuring counterfeit products and sending them to members of the OCG in Ireland for processing," Detective Garda Cadogan said. He claimed that in July 2021, Customs officers intercepted a package "full with counterfeit items and Apple products" destined for the Murray Mobile phone company at 15 Main Street Dundrum. The court heard a different name was on the package, but it also had the same address and mobile phone number as the accused. Gardai believed the accused controlled eight Murray Mobile stores in Dublin and Kildare. Ten searches under warrant were carried out on January 10, 2022, and gardai found the cash at the three locations named in court and on the charges. Detective Garda Cadogan said the investigation team froze 14 bank accounts connected to Murray Mobile, the accused, and his wife. He told Judge McHugh there was a total of 168,902 in them. The officer explained that the probe focused on genuine and unique IMEI (International Mobile Equipment Identity) numbers applied to counterfeit Apple phones. Known as "zombie phones", the court heard, they were allegedly supplied to Mr Guo and subsequently used to defraud Apple. This activity involved the phones being brought to a specific phone repair shop. Some 10,685 were submitted for repair from 2019 to 2021, and 9,761 of them have been allegedly confirmed to be counterfeit zombie phones. It was estimated that the accused and his associates made approximately 9.7m from executing this fraud. Detective Garda Cadogan said he was satisfied that it involved members of the international gang in China and Ireland. The court heard that it was believed the fake devices were shipped to Ireland, where genuine IMEI numbers were applied to them. The accused allegedly brought them to the phone repair shop to be processed, and he received genuine replacement iPhones, which were sent to Asia and sold to the benefit of the organised crime gang. Mr Guo's phone was seized in January 2021 and allegedly contained evidence that he was a "central figure in the complex deception that was undertaken to defraud Apple". The detective believed the accused was a "major flight risk", adding that he was a person of considerable means with connections. He contended that it would be easy for Mr Guo to flee the jurisdiction to evade justice if granted bail. Judge McHugh heard that the investigation team would recommend that the Director of Public Prosecutions bring further serious charges under organised crime legislation and for trademark, money laundering and theft offences. The court heard that Mr Guo had a Chinese passport, and Ireland did not have an extradition agreement with China. The detective said 57 witness statements had been taken. Questioned by defence solicitor Conor Ruane, the officer agreed that Mr Guo was arrested on Wednesday at his home, which had been searched in January 2022. Mr Ruane stressed that his client continued to work and live here despite knowing of the ongoing investigation. Family members were in court. Mr Ruane said his client's wife was an Irish citizen, and his ties to the country were solid. The detective said the businessman travelled to China numerous times a year and had family and friends there, but agreed with the solicitor that the majority of his accounts have now been frozen. Pleading for bail, Mr Ruane said his client would surrender his passport, which is currently in the UK embassy, because he had applied for a visa to visit his adult daughter, who is studying in the UK. The detective said he had recently learned about that, and it strengthened the case to object to bail. Furthermore, he did not know if gardai had jurisdiction to seize the passport from the embassy. The defence solicitor said there was a 9,000 bail offer from his client's wife, but the garda would not agree to any terms being set. Mr Ruane said the accused has the presumption of innocence, the wait for further charges would increase his client's time in custody more than normal, and he was entitled to bail with terms. The court heard he spoke very good English, and he also had the assistance of an interpreter. However, he did not address the court or indicate a plea. Judge McHugh said he was satisfied Mr Guo was a flight risk and refused bail. The accused was remanded in custody to appear at Cloverhill District Court on Wednesday. in court this week, Arakas said he was offered 50k by an expat Lithuanian gang boss living in Spain to murder MMA fighter Remigijaus Morkevicius. Kinahan gunman Imre Arakas has described in detail how he stalked his victims before murdering them in cold blood as he lifted the lid on his life as an international hitman-for-hire this week. Arakas pleaded guilty to the murder of a MMA fighter, Remigijaus Morkevicius, in Lithuania in Kaunas District Court on Friday. The veteran criminal also admitted his role in a separate attempt to kill a witness in another murder. In court, Arakas described in detail his chosen career as a gunman for hire a job which saw him hired by the Kinahan Cartel to kill James Mago Gately in 2017. He told how he carefully staked out his targets and tried to throw police off his scent before gunning down his victim at close range. Arakas based himself on Spains Costa Del Crime where he worked for a range of organised crime gangs across the continent - including the Kinahan Cartel and Balkans Mafia gangs. in court, Arakas said he was offered 50k by one expat Lithuanian gang boss living in Spain to murder MMA fighter Remigijaus Morkevicius. The convicted killer revealed how he botched a first attempt to killer Morkevicius but, ultimately, killed him in December 2016 with a Kalashnikov assault rifle. "He [Morkevicius] was slightly turned because he was putting on his coat. "There was a distance of about seven meters between us. I fired single shots. I didn't count the shots. "I saw how he fell to the ground," he said In court, Arakas described how he was provided with a gun and detailed information about Morkevicius movements in the Lithuanian capital, Kaunas, by the Spanish-based gangster. "He [the ex-pat mobster] mentioned that Morkevicius is a bastard, that he causes problems. But I didn't remember the details. He added: "There was a lot of information about the situation in Kaunas. "Where the car is parked (near the casino), where Morkevicius lives, what kind of staircase. "He also described his appearance to me - a small man, sporty, provided his car numbers, indicated where there are video surveillance cameras, places of police raids", Arakas said in court. Arakas was extradited to Lithuania last year after serving a sentence imposed by the Special Criminal Court in December 2018, where he admitted to conspiring with others to murder James 'Mago' Gately in Northern Ireland between 3 and 4 April 2017. Arakas had been contracted by the Kinahan cartel to carry out the shooting and boasted in messages that he would take out Gately with one shot in the head Imre Arakas In court this week, Estonian national Arakas told how he received he instructions for the hit in Lithuania on an encrypted Blackberry phone. "The information came in Russian. I spent nine years in a Russian prison, so I know the Russian language," he said. The murder in Lithuania happened just four months before Arakas travelled from Spain to Ireland to kill Mago Gately in April, 2017, as the Hutch Kinahan feud was threatening to spiral out of all control. Arakas told the court that he had previously tried to shoot Morkevicius with a handgun but failed when the gun jammed. "I saw him, he was walking with a child, he was filming something on the balcony of the house," "In Kaunas, near the house, we passed a short distance. "Then I turned around, pulled out my pistol, but it jammed. "He [Morkevicius] turned around and ran. Arakas then went to Spain, was given a Kalashnikov assault rifle, and returned to shoot the victim in December 2016. During his court hearing, he also admitted attempting to kill a key witness in another murder, Gija Zabachidze, on July 22, 2016. Arakas claimed that he had agreed to kill people for money due to his difficult financial situation. He described in details his careful preparation for the hit on Zabachidze and how he even bought extra-large runners to throw police off the scent if they found footprints. Arakas said that he initially he staked his victim before shooting him "It was a block of private houses, I went there by bicycle, I bought it here in Kaunas. "It was a folding bike. I went to that area and luckily I saw him. "He was driving the car and I knew the numbers. "He passed me, I turned around and followed him, I saw where he turned. "The same day, or maybe the next day, I threw the bike away, then I returned to the house, went around from the other side and saw him through the big window," he said. Arakas said the fired a number of shots through the window hitting his target. "He was lying on the bed watching TV, the window was open from above. " I didn't want to shoot through the glass because it changes the trajectory of the shot. I hid on the bench to be higher, but I couldn't aim well, I shot twice. Some movements, sounds, a woman's voice were heard. I didn't shoot anymore and retreated. I didn't know if I hit him, I thought I did. Yes, I could have kept shooting through the window glass, probably would have killed them both. I had something [bullets] still to shoot, I still had 12-14 rounds left. I didn't want to kill a woman, he said. Arakas then described how he then dumped anything he had which could link him to themurder. "I bought scissors to cut wires. I threw them into the river. I also bought sneakers at the mall. And I threw them away. In an interview last year with an Estonian media outlet, he said serving his sentence in Ireland for the Gately murder plot was like staying in a three star hotel and said, prison in Ireland is OK if you were friends with the Kinahans. Imre Arakas in Dublin Despite pleading guilty to the Gately murder plot, he bizarrely claimed he was only in Ireland at the time to go camping. Everyone thinks I am an international killer but I was in Ireland for camping. Look at the things I had in my bag. I wasnt going to kill anyone. Arakas is also now facing firearms and conspiracy-related charges in relation to the shooting of Deimantas Diamond Bugavicius in November 2015. The murder had been widely covered in Lithuania. Bugavicius was previously linked to one of the country's most famous pop stars, Vita Jakutiene. Arakas allegedly travelled to the Lithuanian city of Kaunas along with the two other Estonians and they stayed in an apartment rented by a Lithuanian former boxer Ricardas Baika ahead of the murder of Bugavicius. Police said they found Arakas' fingerprints and DNA during a search of the apartment. Imre Arakas appeared by video-link in court Arakas told authorities at a previous court hearing that he could not explain how his fingerprints and DNA were in the apartment and said he never lived in the apartment. it was the murder of Diamond more than 3,000km away in the Baltics that resulted in Arakass arrest in a Kinahan safehouse in Dublin and swept up senior mob men in the plot to kill Gately. Ago Leis, head of Estonias Organised Crime Bureau, previously described how Arakas had been named by underworld sources as Diamonds killer when Lithuanian authorities called in help from their Estonian neighbours. They began to track his movements and discovered that he had become a regular visitor to Ireland during 2016 and 2017 and that the Kinahan gang was known to have used his services before. When it was discovered that he was planning a trip to Dublin in April 2017, Eastern European authorities contacted the Gardai and Arakas was placed under surveillance as he disembarked a flight from Alicante. Disguises belong to the conspiracy. Later, while searching Arakas Tallinn home, we also found face masks and clip-on fake noses, in addition to gloves, hats and a bulletproof vest which should have ensured his safety, the Estonian police chief said. Homeless man, Sean Kerr, has been charged with criminal damage following the incident at Creggan Court Hotel in Athlone Judge Bernadette Owens barred Sean Kerr from Athlone alongside imposing a number of other conditions after the 23-year-old appeared at a sitting of Mullingar District Court. Sean Kerr (23) was charged with causing criminal damage and using threatening, abusive or insulting behaviour at the Creggan Court Hotel in Athlone on Thursday evening. A homeless man who allegedly smashed the front door of a midlands hotel that provides State accommodation to dozens of Ukrainian refugees told gardai upon his arrest: They should be looking after Irish people, not Ukrainians, not Arabs, not blacks, my mother agrees with me on that. Twenty-three-year-old Sean Kerr, of no fixed abode, issued the reply shortly after his arrest on Thursday evening following an incident at Athlones Creggan Court Hotel. Kerr was later charged with causing criminal damage to a large pane of stained glass that belonged to the hotels main door and of using threatening, abusive or insulting behaviour. Sean Kerr (23) was charged with causing criminal damage and using threatening, abusive or insulting behaviour at the Creggan Court Hotel in Athlone on Thursday evening. The three-star hotel first began providing accommodation to up to 150 Ukrainian refugee families, comprising mainly of women, children and more senior individuals in early spring 2022. Kerr, meanwhile, was further charged with public order and assaulting a securing guard at Dunnes Stores, Irishtown, Athlone in a separate and unrelated incident earlier the same day. Those charges resulted in Kerr being brought before Judge Bernadette Owens at a sitting of Mullingar District Court. The court heard there were no objections to bail subject to a number of conditions. Judge Bernadette Owens barred Sean Kerr from Athlone alongside imposing a number of other conditions after the 23-year-old appeared at a sitting of Mullingar District Court. They included orders for Kerr to stay out of Athlone with the exception of pre-arranged legal consultations. Kerr was similarly instructed to steer clear of the Creggan Court Hotel and Kilmartins N6 Service Station, to remain intoxicant free, provide a mobile phone number to gardai and remain contactable at all times. Sgt Paul McNally, for the State, said directions from the Director of Public Prosecutions (DPP) were awaited. Counsel for Kerr applied for legal aid owing to his lack of residing accommodation in a request which was granted by Judge Owens. Upon signing his bail bond, Judge Owens warned Kerr it was within the Gardais remit to apply to have his bail revoked should there be any breach of those conditions. Kerr was consequently remanded on bail to a sitting of Athlone District Court on November 13. Appearing at Lisburn Magistrates Court by videolink from police custody, 23-year-old Thomas Macauley confirmed he understood the eight charges against him, all alleged to have been committed on 13 September this year A Co. Antrim sex offender, previously jailed for the sextortion of nine teenage girls, was remanded back into custody today accused of a catalogue of offences including breaching his Sexual Offences Prevention Order. Appearing at Lisburn Magistrates Court by videolink from police custody, 23-year-old Thomas Macauley confirmed he understood the eight charges against him, all alleged to have been committed on 13 September this year. Macauley, originally from Ballymena but whos address is subject to a court imposed reporting restriction, faces three driving offences including dangerous driving, failing to stop, driving while disqualified, for drug offences including being concerned in the supply of class A and C drugs and simple possession of class A and C drugs and finally, breaching his SOPO. The SOPO was put in place for five years when Macauley was jailed in 2022 by Judge Patricia Smyth for a series of sex offences including possessing and distributing indecent images of a child, engaging in sexual activity with a child, blackmail, harassment and making threats to kill, as well as common assault, all committed between August and November 2018. At the time of sentencing in August 2022, Belfast Crown Court heard that Macauley met the teenagers on social media and persuaded them to send him pictures in various states of undress but once they did, he then used these images to either blackmail or harass the girls and also sent indecent images to the parents of two of the teenagers. Imposing a 40 month sentence with 16 to be served in custody and two years on licence Judge Smyth said the case was shocking. In court today Det. Const. Taylor did not disclose any of the alleged background facts but gave evidence that she believed she could connect Macauley to each of the charges. Defence solicitor Don Mahoney confirmed he was not lodging a bail application on behalf of Macauley, revealing that his prison release licence has been revoked so he is likely to be in custody for some time. District Judge Eamon King remanded Macauley into custody and adjourned the case to Craigavon Magistrates Court this Friday, 20 September. Paedophile Marcia Walker (51) is currently banged up in HMP Frankland in Durham known as Monster Mansion due to the many murderers, rapists and terrorists locked up there A transgender child rapist who threatened to cut off a warden's genitals and put them in a blender is to spend an extra 33 months behind bars. Paedophile Marcia Walker (51) is currently banged up in HMP Frankland in Durham known as Monster Mansion due to the many murderers, rapists and terrorists locked up there. She is considered too dangerous for a women's prison having raped two young girls, one of whom was aged four, but is legally recognised as female by the prison authorities. However, she is kept isolated at Frankland for everyone's sake according to a prison source quoted by the Daily Mail as she is trouble She makes life difficult for herself, said the source. If she just tried to get on with people, her time inside would go a lot quicker. Guildford Crown Court was told Walker had sent voice messages threatening to cut off a man's penis, place his genitals in a blender, cut the brakes on his car, burn down his house and place a bomb under his car. She sent further threatening voicemails, letters and emails to staff, including one in which she vowed to shoot a warden dead and others in which she made vile racist comments. On January 24, Walker phoned Crimestoppers from Category-A HMP Frankland, in County Durham, with the intention of inducing a false belief that a bomb was present in the staff car park. She also physically assaulted two prison officers at Frankland and was charged with two counts of racially aggravated public disorder, for which she got 18 more weeks in jail. Two counts of assaulting an emergency worker added an extra 31 weeks while charges of sending malicious communications led to an extra year of prison time. Walker also admitted communicating false information about the bomb with intent, for which she got six months. She will spend an extra two years and three months locked up for sending a communication threatening serious harm. However, as some of the sentences, handed down on August 20, were ordered to run concurrently, they total 33 months. One further charge of sexually assaulting a man was dropped. Walker was originally jailed for 13 years in 2003 after raping two girls, one of whom was aged four. Formerly known as Mark Walker, she is legally recognised as female by the prison authorities but has been in a long-running dispute over access to gender realignment surgery. In 2021, Durham Crown Court heard Walker attacked guards after they objected to her having razor blades. She claimed that being unable to shave herself worsened her gender dysphoria. When prison officers entered her cell, she spat at one and told him: I have Covid. The court heard that Walker was already angry that copies of National Geographic magazine sent into jail by a charity had been confiscated from her cell because they contained pictures of naked children. During interview, Walker had insisted she had no sexual interest in the images in the magazine, adding: They cannot take my razors from me. Despite her decision to identify as a female, prison bosses decided Walker would pose a risk if moved to a women's jail and should be kept in isolation. Other inmates were said to be furious because they were kept in their cells for 45 minutes so Walker could wash and eat alone. One source told the Daily Star: She has to be treated as a woman but cannot be moved to a female prison because she poses a risk. And, as she's legally a woman, she must be kept apart from male inmates. The Prison Service said transgender prisoners do not receive special treatment and have access to the same facilities as male prisoners. Walker's fellow inmates at the jail include terrorist Michael Adebolajo, who murdered soldier Lee Rigby, serial killer Levi Bellfield, who murdered schoolgirl Milly Dowler, and former police officer Wayne Couzens, who kidnapped, raped and murdered Sarah Everard James Ward (30) had his case adjourned at Blanchardstown District Court, to decide how he intends to plead. A warehouse worker was found with nearly 3,000 of ecstasy in his Dublin home when it was searched by gardai, it is alleged. James Ward (30) had his case adjourned at Blanchardstown District Court, to decide how he intends to plead. Judge David McHugh remanded him on continuing bail. Mr Ward, of Wheatfield Grove, Clondalkin, is charged with possession of MDMA with intent to sell or supply at that address on December 3 last year. Read more Jailed gang boss Liam Byrne delivers message from prison to funeral of dad Jaws Detective Garda Ronan Doolan told the court he arrested the accused at Ronanstown garda station by appointment and Mr Ward was charged. The Director of Public Prosecutions consented to the case being dealt with at District Court level subject to a judge considering the issue of jurisdiction. Det Gda Doolan said Mr Ward was alleged to have been in possession of 2,960 of MDMA and no paraphernalia were found. Judge McHugh accepted jurisdiction, allowing the case to remain in the district court. The judge adjourned the case to a date in November, when the accused will be expected to enter a plea. Mr Ward will be given a date for hearing if he is denying the charges. The judge granted free legal aid following an application by defence barrister Ciaran MacLoughlin, who said Mr Ward was a warehouse operative. The only way to end the war in the next 10 years is to move it to Russian territory. To do this, Ukraine at least needs permission to strike at Russian targets deep in the country. ADVERTISIMENT This opinion was expressed by political analyst and publicist Vitaliy Portnikov in an exclusive interview with OBOZ.UA. He explained that this would reduce Russian arsenals and, consequently, the number of strikes on our country. "We will be able to negotiate with Russia that it stops its shelling of Ukrainian infrastructure in exchange for not destroying Russian energy infrastructure or oil depots. We will already have the opportunity to talk about this because we have the weapons to do so," Portnikov said. He noted that drones are one thing, but Western missiles are quite another. "I keep saying this all the time. The only way to talk about ending the war in the next 10 years is to move it to Russia. So that Russia understands that yes, it can exhaust Ukraine and turn it into a wilderness, but the European part of Russia, where the majority of the Russian population lives, may become such a wilderness too, and it may not be ready for this," the analyst said. ADVERTISIMENT Earlier, Portnikov called on President Volodymyr Zelenskyy to wait until after the US elections and show the victory plan to the next president of the country. According to his impressions, in Ukraine, "high officials worry too much" about the US elections. As reported, President Zelenskyy said that the strategic plan for Ukraine's victory in the war, which he is going to present to US President Joe Biden, will be "frank" and "clear." In addition, it will not contain many points. Only verified information is available on OBOZ.UA Telegram channel and Viber. Do not fall for fakes! A group of men wearing balaclavas had smashed windows and attempted to set fire to the empty property Gardai are investigating an incident of criminal damage by fire at a terraced house in Charleville, Co Cork yesterday evening which, it is believed, arose as a result of a feud between two families. Emergency services were called to the property shortly before 9pm yesterday. A group of men wearing balaclavas had smashed windows and attempted to set fire to the empty property. Shots were also fired inside the premises. The house was extensively damaged. A car was also criminally damaged in the incident. The Garda Press Office has confirmed that scenes of crime investigators attended at the house and conducted an examination of the premises. The house was vacant at the time of the incident. Gardai have indicated that enquiries are ongoing. Meanwhile, it is understood that the incident occurred arising out of a dispute between two families in Kilmallock in Co Limerick and in Charleville. Yesterday morning shots were fired at an empty house in Kilmallock as part of the ongoing rivalry. A petrol bomb was also thrown at a property in Knocknaheeny in Cork city earlier this week as a result of the dispute. A number of files on different incidents which have taken place arising out of the feud have been sent to the Office of the Director of Public Prosecutions. This afternoon, a Garda spokesperson issued an appeal for witnesses Gardai are investigating an incident of criminal damage by fire that occurred at a domestic residence in the Fortlands area of Charleville, Co Cork yesterday evening, at approximately 8.50pm, the spokesperson said. "No persons were in the building at the time of the incident, and no injuries were reported at the time. "The scene was preserved and a technical examination was carried out. Gardai are also investigating an incident of criminal damage to a car that occurred in the Rathgoggin area of Charleville later that evening, at approximately 9.55pm. Gardai are appealing to anyone who may have information in relation to these incidents to contact them. The Garda spokesperson added: Any person, including road users and pedestrians, who were in the Charleville area, between 5pm and 11pm on Friday, September 13 and who observed any unusual activity, is asked to contact investigating gardai. Gardai are also appealing for information in relation to an Audi A6, a white Skoda Rapid, a BMW and a silver Ford Focus car, travelling in the Charleville area at this time. Any road users or pedestrians who were travelling in the area during this time and who may have camera footage (including dash cam) are asked to make this available to Gardai. Anyone with information is asked to contact Mallow Garda Station on 022 31450, the Garda Confidential Line on 1800 666 111, or any Garda Station. Investigations are ongoing. Ref: PR22806/2024 Issued by Emma Farrelly on 14/09/2024 16:26:06 Mission Statement: Ag Coinneail Daoine Sabhailte - Keeping People Safe Follow Garda Traffic on Twitter Follow Garda Info on Twitter Like us on Facebook Disclaimer Faisneis i seo don te sin no don eintiteas sin a bhfuil a sheoladh uirthi, agus do siud amhain, agus d'fheadfadh abhar runda agus/ no abhar faoi phribhleid a bheith iniata. Toirmisctear aon athbhreithniu, atarchur no leathadh a dheanamh ar an bhfaisneis seo, aon usaid eile a bhaint aisti no aon ghniomh a dheanamh ar a hiontaoibh, ag daoine no ag eintitis seachas an faighteoir beartaithe. Mas tri bhotun a fuair tu e seo, cuir sceala chuig an seoltoir le do thoil agus scrios an t-abhar d'aon riomhaire. Is e polasai An Gharda Siochana seoladh abhair choluil a dhicheadu, agus mas doigh leat gur abhar coluil ata sa teachtaireacht seo ba cheart duit dul i dteagmhail leis an seoltoir agus le postmaster@garda.ie laithreach. The information transmitted is intended only for the person or entity to which it is addressed and may contain confidential and/or privileged material. Any review, retransmission, dissemination or other use of, or taking of any action in reliance upon, this information by persons or entities other than the intended recipient is prohibited. If you received this in error, please contact the sender and delete the material from any computer. It is the policy of An Garda Siochana to disallow the sending of offensive material and should you consider that the material contained in this message is offensive you should contact both the sender and postmaster@garda.ie immediately. He has been sent back to Australia An ally of Daniel Kinahan, who has been described as Australia's biggest cocaine trafficker, has been unceremoniously booted out of Dubai. Hells Angels boss Angelo Pandeli had lived the high life in the Gulf State since 2018 where he owned a stunning mansion on the famous Palm Jumeriah island. But his days as an ex-pat drug lord came to an end when he was deported back to Sydney Airport last week. Niall speaks to Sunday World reporter Eamon Dillon about Pandeli, biker gangs and Australia's underworld. MORE EPISODES The English-born poet, who died earlier this year, has remained the sole garda suspect for the unsolved murder since his first arrest in 1997. Ian Bailey was nearly intercepted and arrested for drink-driving on the night that Sophie Toscan du Plantier was killed, a new book reveals. The English-born poet, who died earlier this year, has remained the sole garda suspect for the unsolved murder since his first arrest in 1997. But now it has emerged that Bailey, who had previously been handed a driving ban, was spotted at the wheel of his partners car in Schull in the early hours of December 23, 1996. When dawn came that day, the body of French filmmaker Ms du Plantier was found at the bottom of the driveway leading to her holiday home at Dunmanus West, on the Mizen peninsula, in West Cork. An effort had been made to intercept Bailey when driving his partners Ford Fiesta home after a nights Christmas drinking. But he managed to give a garda squad car the slip. Read more Jailed gang boss Liam Byrne delivers message from prison to funeral of dad Jaws Witnesses said Bailey had first been to one pub in Schull on his own before he joined partner Jules Thomas in The Galley pub, on Main Street, on the Sunday night of the murder. There, one local noted he appeared to have a lot of drink taken. The barman estimated he consumed five to six pints of Guinness and maybe one or two shorts. When leaving after midnight, Bailey downed a last drink, a Powers whiskey, in one go. Bailey later admitted to only two or three pints and one or two whiskeys, but added: I remember seeing a garda car, after leaving the premises. Unknown to Bailey, the garda cars occupant had also seen him. Sergeant Gerard Prendeville said he was observing Ian Bailey sitting in the white Ford Fiesta he and Jules Thomas use. He was alone in the car, seated in the drivers seat and parked on my left, between the supermarket and Brosnans car park. He was waiting for Ms Thomas to join him. I can clearly remember this, as it was my intention to stop Bailey if I met him driving, Sgt Prendeville said. From what I have seen in the past, if he was out for the night with his friend Jules, she would normally drive home and I took it as unusual to see Bailey in the driving seat alone. With that in mind, I drove up to the top of the town and turned around at that junction. I then travelled back down to town. But he did not meet any traffic as he travelled once more along Main Street. Baileys car was gone. I turned right and went down the Pier Road. There was no sign of Bailey. I presumed therefore he had gone ahead and either by accident or design avoided me. Had Bailey been challenged and failed a breath test, he would have been arrested on the spot and forced to spend another night away from home. Nonetheless, he made his way out of Schull in his car, then taking what he said was an unusual route home. He stopped at Hunts Hill, where he could see a light on in Ms du Plantiers house across the valley. Ms du Plantiers uncle told the Irish Independent it was just a matter of bad luck. There is not any matter of responsibility here, since it is impossible or very difficult to exactly predict what would happen that night, said Jean-Pierre Gazeau. In garda interviews, Bailey admitted to a premonition that something bad was going to happen. His partner Ms Thomas told gardai in a signed statement later repudiated that Bailey expressed an intention to go over to the house of Alfie Lyons, which was directly behind Ms du Plantiers holiday home. Sgt Prendeville was one of two gardai who were first on the scene when Ms du Plantiers beaten body was found. He and Garda Billy Byrne answered a 999 call from Mr Lyons at 10.30am, arriving in the same Schull squad car that had attempted to detect and detain Bailey for suspected drink driving. Both Bailey and his partner acknowledged he had driven home from the pub. He had a past conviction for drink-driving and would later be charged with drug-driving but was appealing against that offence when he died. The DPP never directed a charge of murder be brought against Bailey, yet he was convicted after being tried in absentia by three judges in a French court in 2019. Sophie: The Final Verdict is published by Hachette Books Ireland, and is available from Easons at 15.99. Adele Rennie (33) pretended to be a male pharmacist when she matched with her victim on the dating Adele Rennie used dating app Tinder to match with her victim (PA) A woman who used a voice-changing application to sound like a man during a sophisticated stalking campaign has been jailed for more than two years. Adele Rennie, 33, from Kilmarnock, East Ayrshire, pretended to be a male pharmacist when she matched with her victim on the dating platform Tinder in August 2023. They exchanged mobile phone numbers with Rennie, a registered sex offender, later arranging to have flowers delivered to the victims home address, prosecutors said. The woman then arranged to go on a date with the person who she believed to be a man from the fake profile. On August 21 2023, Rennie cancelled the meeting at the last minute which resulted in the victim believing that the Tinder account was a catfish profile. Six days later she received a voice note from a woman who called herself Cheryl, claiming to be a friend of the man and asking her not to give up on him. She then received calls from the man which she believed sounded like the voice had been altered. On September 5, the victim received an unwanted explicit image from Rennie, who stated she did not mean anything by it and was unable to delete it. A week later the woman received a message from Rennie containing a photograph taken outside the complainers workplace. Prosecutors said that on October 8, Rennie sent her victim a screenshot of Google Maps which showed someone outside her home. The woman then received a photograph of her street which had been taken through a car window. Five days later the victim was sent a ticket for a gig in London by Rennie but decided she did not want to go. Rennie then asked her to send the ticket on via Ticketmaster to an email address. The woman was then shown pictures from the concert by a witness showing Rennie in attendance there. She then contacted police who searched Rennies home on November 14, 2023. A mobile phone was examined which revealed an email address with the name of the man and searches for the victim in its internet history. The police also found a photograph matching the fake male profile and recovered several bank cards, which had not been declared in accordance with Rennies sex offender notification requirement. Rennie previously appeared at Kilmarnock Sheriff Court on July 30 where she admitted four charges. On Friday this week, Rennie was sentenced to 28 months in prison and made subject to a 12-month supervised release order at the same court, the Crown Office said. She was also placed on the sex offenders register for 10 years. David Bernard, procurator fiscal for north Strathclyde, said: Adele Rennie carried out a sophisticated stalking campaign despite being subject to strict notification requirements as a registered sex offender. We recognise that the trauma suffered by victims of stalking can be profound and distressing. We hope this conviction brings some comfort to those affected by Rennies concerning and manipulative behaviour. I would encourage anyone who has been the victim of such offending to come forward, report it and seek support. You will be listened to, and we will use all the tools at our disposal to seek justice. A non-harassment order, banning Rennie from approaching, contacting, or attempting to approach or contact her victim for a period of five years was granted at the court on Friday. She was also made subject to a sexual harm prevention order for five years. Mr Martin made his comments at the launch of the Senan Molony book, Sophie, The Final Verdict yesterday Ian Bailey who was convicted in absentia of the murder of the French film producer, Sophie Toscan du Plantier pictured at his house outside Schull in County Cork shortly after the news came through to him. Photo: Mark Condren A solicitor who represented the late Ian Bailey for over twenty years has described as extraordinary and astonishing a decision by the Tanaiste Micheal Martin to say that his client should have been tried for the murder of French woman Sophie Toscan Du Plantier. Mr Martin made his comments at the launch of the Senan Molony book, Sophie, The Final Verdict on Thursday. The Fianna Fail leader was critical of the decision by the DPP not to prosecute Mr Bailey who passed away earlier this year at the age of sixty six. He said that that there were significant questions that remained unanswered in relation to the death of Ms Du Plantier at her remote holiday home in Toormore on the outskirts of Schull in West Cork on December 23rd, 1996. In an interview with the Opinion Line on Corks 96FM Frank Buttimer, solicitor for the late English national, said he couldnt understand why the Tanaiste opted to weigh in on the case at this juncture. He described his comments as a feral attack on many of the pillars of the justice of the State. "I was just saying to someone else there a while ago that its almost as if he (Martin) woke up after twenty eights years of not knowing that something has happened, reads a book, which is pompously titled, quote-unquote, 'The Final Verdict' and says, 'my God, this is dreadful. Why didn't we do something about this?'" Mr Buttimer said that the Tanaiste seemed to fully take on board the contents of the book by Mr Molony which he feels contains nothing in the way of hard evidence. "The author concedes, I'm lifting quotes here from a newspaper, he says, quote, there are sequences here that would not be allowed in a court of law', end quote. Another quote, 'there are pieces of evidence here that would be struck out by the law and would not be allowed into their court system', end quote. "What's astonishing is he (Martin) seems to be basing his opinion on the content of a book where stuff is in there that would not be evidential. And he goes on to disparage the office of the DPP for declining to prosecute Ian Bailey, the Supreme Court, which he seems to suggest was used in an effort to prevent Bailey's removal, and the other two high courts which refused to extradite Bailey. Tanaiste Micheal Martin "So it's a feral attack on many of the pillars of justice of the state. Where it comes from, I have absolutely no idea. I would not have any adverse comment to make about Micheal Martin as a politician generally, but I'm surprised at this. I'm just wondering what this rant is all about. Mr Buttimer said that he has no intention of reading the book by Mr Molony as he is certain it wont contain any new evidence in relation to the Du Plantier case. "I did a lot of research in to the murder in to the dreadful murder of Madame Toscan du Plantier. I absolutely assure anybody who's out there listening that there was nothing tangible by way of evidence against Ian Bailey, such as would even have justified a prosecution against him, let alone a removal to the crowd over in France to their system of justice. Where you are effectively guilty until proven innocent with all of the consequences that would have flowed from there. He maintains that his late client was 100 per cent innocent. "100 percent. Absolutely. Without question. The first time he walked in the door to my office in or about March 1997... he comes to me and he just says to me, 'look, if I'm ever prosecuted because you do defend, you know, you defend people who are accused of crimes and so on'. I said, absolutely. So I knew about the case, but I never had met him. So I spoke to him for a while. Ian Bailey who was convicted in absentia of the murder of the French film producer, Sophie Toscan du Plantier pictured at his house outside Schull in County Cork shortly after the news came through to him. Photo: Mark Condren "One gets impressions and one forms opinions of people as one meets them professionally or non-professionally. From that time onwards, I pretty much knew that the concoction against him was a load of rubbish. It was absolutely, manifestly obvious that there was nothing to go on. Mr Buttimer added that he fears the Du Plantier case will go unsolved. Passage of time is the enemy of acquisition of information. So in all probability no. (It wont be solved). But ultimately the tragedy is as say what happened? Like what actually happened? The failure is going right back to 1996, stroke 1997, to conduct a proper inquiry. We cant turn back the clock on that one. Mr Bailey collapsed and died in Bantry, Co Cork last January. He was on two occasions detained by gardai for questioning in relation to the murder of the 39 year old French national. Mr Bailey always denied any wrongdoing in relation to the murder of the film producer. The poet and former journalist was convicted in absentia after a trial in France four years ago. He was sentenced to twenty five years in prison. Officials in France were unable to extradite Mr Bailey to Paris to serve the sentence handed down by the courts. In an interview with Virgin Media News a month before his death Mr Bailey said that he had immense sympathy for the family of the late mother of one. I know that I cannot do anything about their belief that I am responsible. They have always had my full sympathies. I have expressed that. People are entitled to believe what they want to believe. We cant change what people are going to believe. As previously revealed by the Sunday World, there have been discussions about an Army Council-style leadership model. PACEMAKER BELFAST 24/10/2005 William Mo Courtney arrives at Belfast high court this morning for the start of the Alan McCullough murder trial. Courtney and another UDA man Ahab Shoukri are accused of the murder of McCullough last year when he arrived back in Northern Ireland after being exiled with former UDA leader Johnny Adair. The UVF command structure will dissolve once the current veteran leadership move on, the Sunday World has been told. There are fears the terror group will splinter into organised crime gangs with their own independent leadership models, independent of a central command. The future shape of the UVF has been a matter of speculation and conjecture for some time, with veteran Chief of Staff John Bunter Graham now an elderly man and in poor health. He has been at the helm for more than four decades and there is no one available who could rely on the trust of the membership. His trusted right-hand man, Harmless Harry Stockman, would be considered by many as the obvious choice, but he is deeply distrusted and disliked in the ranks. As previously revealed by the Sunday World, there have been discussions about an Army Council-style leadership model. Harry Stockman Senior figures in the current command structure are known to favour a shared leadership, rather than rely on a figurehead like Graham. There has been an ongoing internal leadership discussion for a number of years, with Graham understood to lean towards Stockman. Leading loyalist and alleged UVF commander Winston Winkie Irvine is also believed to be a candidate despite deep unpopularity among the membership and the fact hes facing criminal charges over alleged possession of a guns and ammunition. He remains a high-profile figure and is close to the UVF leadership and was present at the groups show of strength in east Belfast during the summer. But there are fears that individual areas will turn their back on the traditional central command. Should the UVF go down the road of the deeply fractured UDA, it will be a body blow to any attempts to transition the organisation away from criminality. John 'Bunter' Graham It leaves the prospect of organised crime gangs using the name of the UVF as a cover for drug dealing and racketeering. The disintegration of the UDA presented police with a major headache. With the ruling Inner Council effectively disbanded, they broke up into a renegade collection of organised crime gangs, answerable to no one but themselves. The breakaway South East Antrim UDA is the most glaring example. They are now considered to be one of the largest organised crime gangs in the country. North Antrim UDA have also effectively broken away. The old UDA leadership has been powerless to intervene. In the west and the north of the city the organisation dominates the drugs, with the likes of UFF C Company chief Mo Courtney controlling the trade on the Shankill. Should the UVF suffer the same fate, the prospect of a successful transition from criminality will all but disappear. The failure of the Graham and Stockman to deal with the renegade East Belfast UVF has further disillusioned the leadership. Graham has been under intense pressure from the British security services paymasters to deliver the UVF as a peaceful organisation. So far he has failed. For more than 40 years Graham has been the undisputed and unquestioned leader of the terror group. He has had the final word on all major decisions. For years the membership accepted and followed his decisions and directions but, as it emerged he had been working for British intelligence and security services, trust in him waned. The broad daylight murder of Bobby Moffett on the Shankill Road in May 2010 was final straw for many. Moffett was murdered after he had a run-in with a senior figure in the organisation. Moffett was shot in the face with a sawn-off shotgun as he made his way to a meeting with the leadership. His funeral a few days later was one of the largest seen on the Shankill for many years, with UVF members defying Bunters orders not to attend. Sources have now told us that support for an Army Council-style leadership is waning. There is so much suspicion and distrust at the top of the organisation they would struggle to find half a dozen people who could work together, said our source. There are too many agendas while the older guys are anxious to move the UVF away from drugs, they realise they are probably fighting a losing battle. There have been discussions with government negotiators which could see a sizeable number of members transition. The hope is should they make that journey they will be immune from prosecution for historic crimes. The controversial Legacy Act offers them protection but with the new Labour government pledging to repeal the legislation, there is a degree of uncertainty. Sources acknowledge time is running out to find a solution. Criminality is a reality and is not going to go away, said our source. We need to find a way to separate those who have no interest and let them escape the stigma of being a criminal gang. Police attended the scene in the Castlereagh Road area in the early hours of Saturday morning. Police at the scene of the assault in east Belfast. Photo: Kevin Scott Police at the scene of the assault in east Belfast. Photo: Kevin Scott Police at the scene of the assault in east Belfast. Photo: Kevin Scott A man has been arrested after a serious assault in east Belfast. Police attended the scene in the Castlereagh Road area in the early hours of Saturday morning. A 21-year-old man has been arrested and remains in custody. Police forensic teams in white suits were at the scene overnight. An animal health scientist said the government's commitment to eradicate foot and mouth should it arrive here is the right approach. Last week, Biosecurity Minister Andrew Hoggard said the government would carry out a plan of urgent eradication if the rapidly-spreading viral disease arrived in New Zealand. An economic impact report showed an incursion of foot and mouth disease would cost New Zealand $14.3 billion. The disease can infect cloven-hoofed animals including cows, pigs, sheep, goats, deer and alpaca. Livestock can exhibit high fever, blisters and sores around the mouth and feet, and they can become lame. Hoggard said an incursion would have dramatic impacts as animal product exports would halt immediately. AgResearch chief scientist Axel Heiser agreed the approach to 'stamp it out' was right. He said eradication was possible, as the Mycoplasma bovis programme illustrates, but foot and mouth disease moves more quickly than M bovis. "Foot and mouth can spread with the wind from one farm to the next, and it spreads faster than it shows signs in the animals, so your animals are infected before you know it," Heiser said. "That makes it a really, really nasty disease. And so it's absolutely critically important that if we have an incursion that we find it quickly and that we react within the first 72 hours." Heiser said farmers and veterinarians must be on the lookout for symptoms, and vets are required to report any cases to the Ministry for Primary Industries. "And then this rapid response plan kicks into gear." MPI was also considering the use of an emergency vaccination, if appropriate. Hoggard said it would take 10 months to stamp out the disease using an emergency vaccine, or 15 months without it - but the latter would have higher operating and conpensation costs and nearly double the value of lost trade - at $15 billion. Heiser said once New Zealand knew the type of virus it was, it would call on its emergency vaccine provider in the United Kingdom to ramp up production. "We are prepared but all this takes a few days. And then again, it will not eradicate the disease - as a vaccine it will only slow it down and control it - so that we can put the test-and-slaughter programme in place side by side with the vaccine." The government said it was also committed to maintaining a strong border and robust biosecurity systems. Monique Steele/RNZ A family fun night including an open-air movie will help raise money for Omokoroa Point School. The animated film Encanto will start at 7pm with Night Owl Cinema at the school field on Saturday, September 14. This is one of our final fundraisers for the year and weve had really successful community support with our other fundraisers, says PTA treasurer Dayna Baker. Gates open from 5pm and we have food trucks coming from Omokoroa Beach Pizzeria, Bok Bok Chicken, Burger Lab, Lil Orbits Donuts and Fika. The idea is for families to spread their picnic blankets to enjoy dinner before the movie. Well also have popcorn and glow sticks available for sale, so make sure to bring cash as well, says Dayna. There are a number of silent auctions organised by our major sponsor for the event, Barfoot & Thompson Omokoroa, as well as a treasure hunt, balloon animals and fun activities for the kids. The PTA is hoping to raise at least $3000 from the event which will go towards a new playground for the school. The existing playground is out of date so we hope to upgrade that to keep it safe, says Dayna. Our last movie night event was super colourful and fun, so wed love to see lots of people there, Tickets are available from Humanitix: https://events.humanitix.com/encanto-movie-night-by-omokoroa-point-school-pta and follow the Omokoroa Point School Facebook page for more information on the event. Rotarys image as an exclusive club for retirees and older adults is rapidly evolving. Ask 34-year-old Indeevar Duhan the new president of the Rotary Club of Tauranga Sunrise. A dedicated club member for four years, Indeevar represents a wave of younger individuals joining the ranks of Rotary. I first encountered Rotary while pursuing my MBA at Waikato University, says Indeevar, a registered nurse who also launched his own company. I was eager to enhance my business skills and found Rotary to be a valuable networking platform. Introduced to the club by Nathan Capper in 2021, Indeevar was initially drawn by the networking opportunities. However, he soon appreciated Rotarys commitment to service. No-judgement perspective As a nurse, I saw first-hand how Rotary could assist those in need. What kept me involved was the camaraderie, the laughter people cracking jokes and the welcoming environment. "I love the fellowship where people try to get to know each other from a zero non-judgemental perspective. Having moved to New Zealand in 2008, Indeevar adapted well to Kiwi culture and hopes his parents will eventually join him. He began his nursing career at age 20, inspired by a strong family tradition of care. Nursing felt like a natural fit for me. Id seen the culture of care in my own home with my parents looking after my grandparents. Nursing marries up my knowledge of human anatomy and physiology with a deep-seated desire to care for others. Indeevars pursuit of an MBA was a logical extension of his nursing career. I wanted a broader understanding of healthcare management, particularly the complexities of funding and administration. This new perspective has informed his ongoing work as a registered nurse and his recent venture into business with Signature Health Group, a home and community health service he founded in March 2024. We go out to people and make sure they stay happy and healthy living in their homes with their families, away from the hospital. Given me the wings Indeevars progression from Rotary club director to president reflects his commitment and enthusiasm for the organisation. Rotarys multifaceted aspects motivated me to take on more responsibilities. The culture of the club has given me the wings, and its fun. The team Im joining is fantastic and supportive with assistant governor Pat Taylor, and previous president and secretary Michele and Simon Beaton just a phone call away, and they respond with the precise information I need. As he steps into his role as president, Indeevar has outlined four key goals for the 2024/2025 year: increasing membership and impact, expanding the clubs reach, enhancing adaptability; and, most importantly, ensuring the club remains enjoyable. We need to be responsive to community needs, such as the rising cost of living, says Indeevar. Growing our membership will foster camaraderie and enhance our community outreach, supporting charities like Waipuna Hospice and the Graeme Dingle Foundation. Enriched voice Secretary Kathy Webb says the clubs membership has become more diverse in the past five years, with members like Indeevar, Shiv Thammalla, and Arshdeep Singh bringing new perspectives. This shift has enriched our clubs voice. With an ambitious agenda for the year ahead, the Tauranga Sunrise club is to continue to make a positive impact and embrace a vibrant new era of service. The key message I want to convey is that Rotary is fun, says Indeevar. Im excited about making a positive difference and enjoying the camaraderie. Its a motivating experience, and I look forward to what well achieve together, making one change at a time. Upcoming project The Kopurererua Valley Rotary Centennial Trust needs 200 volunteers to plant 4500 native trees and shrubs on September 29. The valleys network of walking and cycle paths gives the public access to this open green space, its rich history, and a convenient way to bypass traffic congestion. Volunteers who can help from 9.30am-12.30pm on September 29 can register at: www.eventspronto.co.nz/event5622 The Commander-in-Chief of the Armed Forces of Ukraine Oleksandr Syrskyi has published a new series of photos from the front line. In these pictures, the commander-in-chief personally communicates with the defenders before their battle with the occupiers. ADVERTISIMENT Syrskyi posted the photos on his official Telegram channel on September 14. They show him together with Ukrainian soldiers. "On the eve of the battle," the commander wrote briefly. In particular, the photo shows the head of the Armed Forces of Ukraine shaking hands with the soldiers and talking to them before the defenders are about to go into battle with the enemy. ADVERTISIMENT The media assume that this is a flanking attack by Ukraine, which is allegedly being implemented in the Glushkovsky district of Kursk region. As reported by OBOZ.UA, the ISW report for September 13 states that the Ukrainian Defense Forces have entered another district of the Kursk region. They have advanced in the Veseloye area of the Glushkovsky district, and fighting was also reported in the areas of Novy Put and Medvezh. The Ukrainian Armed Forces have also advanced in the area of Sudzha, while the enemy command has deployed Wagner PMC units to Kursk region. ADVERTISIMENT Earlier, analysts stated that the Russian army would be forced to redeploy forces from other areas to Kursk to counter the Ukrainian army. The forces currently concentrated in the region are poorly trained. At the same time, a few days ago, the Russian Federation announced the first large-scale counterattack in the Kursk region, involving paratroopers and marines. The propagandists claimed that the aggressor's troops had allegedly recaptured several settlements. These statements have no confirmation, although the Russians have made some progress , and it will be slower and slower, ISW stated. Only verified information is available on our Telegram channel OBOZ.UA and Viber. Do not fall for fakes! Peering through a microscope, youd probably see tiny bowls floating around in Niamh Tysons blood. The 13-year-old Pyes Pa student is the fifth generation of her family to fall in love with the sport. Thats not a typo. Niamh started playing bowls just over a year ago. This week her mum and grandparents watched her compete in the indoor bowls competition at the Zespri AIMS Games for the second year in a row. I thought Id give it a try and I enjoyed it so I kept going, Niamh said. Her second AIMS Games has been pretty cool and pretty scary with the nerves of not being sure shed qualify on the first day. But she did. I did it, Im going to do better than last year. Her mum, Bronwyn, picked up the sport when she was Niamhs age, and her brother competed in the code last year. Niamh Tyson, 13. Photo: Alan Gibson. Her mum paused it for a while due to work commitments but started up again when Niamh started getting into it. Watching her daughter grow in the sport has been great. Her grandparents also played. And her great-grandparents. And her great-great-grandparents. The latter two generations have unfortunately passed away. Niamhs grandparents, Noelene and Jim Hill, 74 and 76 respectively, made the trek over from Te Awamutu to watch her at Mercury Baypark Stadium Lounge in Mount Maunganui this week. Noelene started playing seriously 47 years ago when she was pregnant with Bronwyn. Theyre born into it. She got her husband Jim into it, and hes been playing the beautiful game on-and-off since 1986 for the past 38 years. Im not social by any means, I want to win, he said with a smile, speaking fondly of the memories and friendships cultivated on the bowling green. The couple were very competitive, Jim said, and would play pairs competitions together when they were younger. Noelene stopped playing in 2010 after starting a treatment and Jim has recently blown the dust off the bowls after an injury-related hiatus. They all loved watching Niamh, and seeing so many young bowlers. I get quite emotional, Noelene said of watching all the young competitors. Youre just so happy for them and theyre doing so well. Niamh has had to work hard through self-doubt but loves the sport and has been really enjoying it. She's also playing pairs this week. She said theres a huge community behind it with everyone supporting each other. Same age and older, everyone can play, and at any point. The five generations of bowlers in her family are proof of that. Cira Olivier The Taos News delivered to your Taos County address every week for a full year! We offer our lowest mail rates to zip codes in the county. Click Here to See if you Qualify. Plan includes unlimited website access and e-edition print replica online. Your auto pay plan will be conveniently renewed at the end of the subscription period. You may cancel at anytime. In the Pokrovsk sector, the occupiers Dmytro Lysakovskyi "Goodwin" and Serhii Hrytsay "Ernest" were eliminated. In the ranks of the invaders, they were called "one of the most effective UAV crews." ADVERTISIMENT Russian "military commanders" complained about the destruction of the occupiers. They stated that Lysakovsky and Hrytsay were allegedly deliberately sent by the command for a "meat assault." In particular, shortly before the liquidation, the occupier "Goodwin" wrote in Telegram that he and his colleagues went to the Memryk area of Pokrovsk district of Donetsk region. "According to the source, the commander ordered us to be sent on a one-way trip," he complained. Lysakovskyi had been fighting against Ukraine since 2014, and was formerly the "head of air reconnaissance" of the terrorist "DPR." He and "Ernest" were killed four days after they were transferred to attack aircraft, Z-military writes. ADVERTISIMENT Both occupants recorded a video before their deaths in which they accused their command of working for Ukraine. According to them, the commanders allegedly do not provide intelligence information, do not strike at the reconnoitered positions of the Ukrainian Defense Forces, are involved in drug trafficking, and are involved in "schemes" with army property together with the "DPR authorities." Now, because of the elimination of the "legendary drone operators," the FSB's Military Counterintelligence Department (DVKR) has allegedly launched an investigation. ADVERTISIMENT Allegedly, the case has "reached the very top" and it is allegedly about "premeditated murder." As reported by OBOZ.UA, according to the General Staff of the Armed Forces of Ukraine, the Russian army lost another 1210 of its occupants at the front in Ukraine yesterday. The total number of the aggressor country's losses in killed and wounded since the beginning of the full-scale war has already reached 632,630 people. Only verified information is available on our Telegram channel OBOZ.UA and Viber. Do not fall for fakes! shankar.balan Senior - BHPian Join Date: Apr 2008 Location: Bangalore Posts: 11,421 Thanked: 23,912 Times View My Garage Infractions: 0/2 (9) Re: A 1000 kms round-trip to buy a Hyundai Kona | EDIT: 10000 km review on page 5 Apologies to my good friend GKR9900 for hijacking this thread temporarily. As you all know, after much consultation, test driving, agonising, and hours of yakety-yak with him, EV Fan, and various other Distinguished Members of the League of EV Gentlemen, I bought my Hyundai Kona in March. I've mostly used it in the city since. I did 2 drives to Krishnagiri and back and managed it on one single charge both times. But it is not a big distance. I also did two Bangalore Mysore Bangalore trips but I did charge the vehicle at Mysore at the Mall of Mysore. It has taken me until last week to find the time to go to Ooty in the Kona. And Ooty and Coorg are my most visited destinations. The cars state of charge was at about 75-80% when we started because I had charged a week earlier and used the car here and there in the city. As usual, it was only me and my wife and our relatively light luggage. We stopped at Swad Mysore for a quick breakfast. Sadly the Jio BP charger there is still not functional. We then stopped at Shell outside of Mysore for a fast charge up to 83%. It was at 17% and it took 40mins so we had some coffee and hung around chatting with the serving lady. And then we attacked the rest of the drive including the steep Sigur Ghat. The Kona performed perfectly. The instant torque and responsive behaviour are very very enjoyable. Upon reaching our destination I saw that we still had 99kms of range left. Later that day I charged the car back to 85% for our local usage. And then after driving about 85-90 kms locally up and down the hills the next day, I found the charge had come down to 62% so I charged it back up to 83% to be prepared fot my return journey. Then we did the return journey and it was very nice to see how the natural regen helped maintain the SOC and range down to Mudumalai. We reached Mysore (Shell) with around 199kms range left. I guess I could have attempted the Mysore Bangalore run. But I chose to be prudent and charged the car for about 25 mins while we ate a small meal next door. Returning to the car we found it had reached 83% so I stopped charging and drove back to Bangalore, reaching home with 111kms range left. I find the eco mode and level 1 regen is nice and I do use the regen paddles much like one would use the gear paddles in an ICE car. I frankly drove all the way on the highway at speeds around 85-95kmph. Im really not into Hypermiling and all those things to increase range. I just want to enjoy my car without caring too much and falling victim to this insidious range anxiety. In the hills, I always stay well within the sensible speed limit, irrespective of all my years of experience, driving around those hills. The Kona feels solidly planted and handles very nicely indeed with its low centre of gravity - battery located under the car. It is absolutely fun to drive on the highway and in the hills too. I never thought I would like an EV so much. But I certainly love my Kona. One has to change the driving thinking and driving style in an EV as compared to an ICE. It is not necessary to start with full charge and nor is it necessary to top up to full every time. Just keep using and charging when needed just like the mobile phone or watch. No need to get obsessed and tense with trying to will the charger to take the car to 90-95%.it is much easier if one breaks all these taboos. Also, I mostly charge using public and private charging infrastructure - fast chargers usually and once in a while plugging it into my office. But then considering I drive an average of 500kms a month in this car my charging also happens only around once or twice a month or so when in the city and obviously as described here, more frequently when on a road trip. One allows for charging stops and food breaks. And generally goes at an easier pace. And the interesting thing is in an EV one tends to hear better and somehow enjoy the journey more calmly with some nice music and conversation and watching life go by, unlike in a fast ICE car where one feels instinctively like listening to the engine growl and play with the gears and generally send it. But then, one can accelerate fiercely when needed and accomplish overtaking maneuvres with consummate ease. EV acceleration is teeth blisteringly addictive as I have opined in the past. (But it also drinks the juice nicely if one fiddles too much with the accelerator.) In conclusion: I'm happy overall with my first Blr-Nilgiris-Blr EV trip. The total charging cost incurred was around 1600-1800 Rupeesand the performance of the car in the hills and thise lovely curvy twisty roads was excellent. The Ground clearance is more than adequate for my needs. The smooth acceleration is lovely. The vehicle is Stable and planted and highly confidence-inspiring. If it had been AWD instead of FWD then that would have been the icing on the cake. But, as expressed before, I love the FWD Konas size and proportions much more than I do, those of the AWD Kia EV6 and the RWD Ioniq5. Best of all, compared to my erstwhile petrol AT Thar, where a trip like this always costs me 10,000Rs and more, this Kona is a whole world apart. From the perspective of my pocket and the fuel costs, I am very happy that I sold that Thar and bought the Kona. Attached Thumbnails Last edited by Turbanator : 11th September 2024 at 08:15 . Reason: As requested. Kindly take your time and post when you have crosschecked everything. shortbread Senior - BHPian Join Date: Apr 2008 Location: KL 7 Posts: 2,625 Thanked: 7,452 Times Infractions: 0/2 (11) Re: Ford confirms restart of manufacturing cars in India | To focus on exports Ford's journey in India reads like a dramatic screenplay, filled with soaring highs, gut-wrenching lows, and questionable-comical decisions. After exiting the Mahindra joint venture (like any other Mahindra JV), Ford made a remarkable impact on it's own with the Ikon, a very successful model that established the brand as a household name. It remains baffling why they discontinued such a strong model instead of innovating it further. Despite the subsequent successes of the EcoSport and the well-regarded Endeavour, Ford faltered with a costly investment in the state-of-the-art Sanand manufacturing facility, only to sell it off cheaply to Tata Motors. Rather than focusing on more prudent expansions like the Maraimalai Nagar factory, Ford seemed to stray from strategic foresight. After investing years and resources into building a reputation in the Indian market, their abrupt exit highlighted a remarkable miscalculation, only for them to reconsider their position years later and attempt a comeback now. To regain Indian consumers' trust, to regain that confidence, Ford must invest significantly, but success in the automobile industry often hinges on just one standout vehicle. Ford needs to channel the spirit of the Ikon by launching an exceptional product that offers great value and specificationsit's time for Ford India to reignite its appeal and launch another Josh machine! SmartCat Team-BHP Support Join Date: Jun 2007 Location: Bangalore Posts: 6,822 Thanked: 47,837 Times View My Garage Re: China urges its EV manufacturers to avoid investing in India and Turkey Quote: dust-n-bones Originally Posted by No Chinese company would be eager to, given what happened to BYD So what exactly happened to BYD? They made a .ppt file and it was rejected? That's not the way the business world works. If carrot (PLI schemes) & stick (import tariffs) approach is used, Chinese companies will form a line to invest in India. The article speculates that Chinese Govt has asked companies to not invest in India/Turkey. The conclusion we can draw is that they fear India/Turkey's manufacturing capabilities, perhaps going by the way India smartphone supply chain/exports is growing. Quote: Who do you think is the net loser in all this? I think that's the Indian citizen, specially one who is entering the job market, with no prospect for succeeding. Right now, India has negligible BEV know-how. China has arguably the best. How does one navigate this without first packing away our knee-jerk tendency? To begin with, India does not exactly have significant know-how in modern petrol/diesel engines either. Both Tata/Mahindra consult with AVL Austria for their engines, even now. So Chinese entry will not magically improve India's EV know-how either. And in other news, the two wheeler EV market is booming despite negligible Chinese presence. What it means is that four wheeler EV market too will grow, with or without Chinese brands, when the inflection point is crossed. Quote: I would only add that thinking of relations with China (& India's progress) purely tactically like this is foolhardy. And generally speaking, every foreign investment should be welcomed because it means small but additional ($$ inflows + jobs). So in that way, Indian Govt did respond 'tactlessly' to the whole situation. So what exactly happened to BYD? They made afile and it was rejected? That's not the way the business world works. If carrot (PLI schemes) & stick (import tariffs) approach is used, Chinese companies will form a line to invest in India.The article speculates that Chinese Govt has asked companies to not invest in India/Turkey. The conclusion we can draw is that they fear India/Turkey's manufacturing capabilities, perhaps going by the way India smartphone supply chain/exports is growing.Attributing great loss to Indian consumer or employment scene or automotive business environment due to BYD decision is quite an exaggeration.To begin with, India does not exactly have significant know-how in modern petrol/diesel engines either. Both Tata/Mahindra consult with AVL Austria for their engines, even now. So Chinese entry will not magically improve India's EV know-how either. And in other news, the two wheeler EV market is booming despite negligible Chinese presence. What it means is that four wheeler EV market too will grow, with or without Chinese brands, when the inflection point is crossed.However, I agree that not allowing BYD to invest in India was foolhardy, but for different reasons. Even after Galwan, imports from China was allowed unhindered & was actually trending upwards. Allowing the Chinese to invest in India would have given us some leverage.And generally speaking, every foreign investment should be welcomed because it means small but additional ($$ inflows + jobs). So in that way, Indian Govt did respond 'tactlessly' to the whole situation. Last edited by SmartCat : 15th September 2024 at 10:39 . Cutting corners: Samsung's Texas semiconductor fab was intended to be a key facility for the mass production of advanced processes below 4nm. However, several setbacks have raised questions about Samsung's ability to compete in the advanced chip manufacturing space. The company is rapidly losing ground to TSMC, and unless it regains its footing, its decline could significantly impact the semiconductor landscape. Samsung is facing ongoing challenges with 2nm chip yields, prompting the company to withdraw personnel from its $17 billion Taylor, Texas plant, which was designed to be a hub for mass production of advanced processes below 4nm. This decision, first reported by Business Korea, comes amid repeated delays in the company's mass production timeline, now pushed back from late 2024 to 2026. Samsung's foundry yield for processes below 3nm currently stands below 50 percent, with its Gate-All-Around (GAA) technology yield reportedly as low as 10 to 20 percent. In contrast, the advanced process yields at its main competitor, TSMC, stand around 60 to 70 percent. Meanwhile, Samsung's chip manufacturing market share is dwindling as the performance gap widens, with TSMC holding 62.3 percent of the global foundry market, while Samsung's share has dropped to 11.5 percent. Top 10 foundries by revenue (Q2 2024) Ranking Company Revenue (2Q24) Revenue (1Q24) QoQ Market Share (2Q24) Market Share (1Q24) 1 TSMC 20,819 18,847 10.5% 62.3% 61.7% 2 Samsung 3,833 3,357 14.2% 11.5% 11.0% 3 SMIC 1,901 1,750 8.6% 5.7% 5.7% 4 UMC 1,756 1,737 1.1% 5.3% 5.7% 5 GlobalFoundries 1,632 1,549 5.4% 4.9% 5.1% 6 Huahong Group 708 673 5.1% 2.1% 2.2% 7 Tower 351 327 7.3% 1.1% 1.1% 8 VIS 342 306 11.6% 1.0% 1.0% 9 PSMC 320 316 1.2% 1.0% 1.0% 10 Nexchip 300 310 -3.2% 0.9% 1.0% Total of Top 10 31,962 29,172 9.6% 96.0% 96.0% To see how far Samsung has fallen behind, one only has to look at TSMC's Arizona facility, where recent trial production has yielded results comparable to those of its established plants in Taiwan. The facility is on track to begin production at its first fab in the first half of 2025. The second fab, set to begin production in 2028, will produce chips using 2nm process technology with next-generation nanosheet transistors, in addition to 3nm technology. Samsung is now rethinking its strategy and has kept only a skeleton crew at the Taylor plant. The company has a preliminary agreement with the U.S. government for close to $7 billion in subsidies under the CHIPS Act, which is now at risk, as the deal requires the plant to be operational. To be fair, TSMC's Arizona facility also experienced setbacks in its construction and staffing. However, Samsung has been unable to resolve its issues, despite Chairman Lee Jae-yong's personal intervention, including visits to major equipment suppliers like ASML and Zeiss in search of solutions. It may be that Samsung's challenges extend beyond technical issues. The pervasive bureaucracy within Samsung, slow decision-making, and low compensation are the main reasons for the decline in foundry competitiveness, a semiconductor professor told Business Korea. "The delayed investment timing compared to 20-30 years ago also indicates that the management is not fully aware of the current reality, necessitating a fundamental overhaul of the management system," this person said. Samsung's recent struggles are particularly striking, given its history in semiconductor manufacturing. In 2022, the company became the first foundry to achieve volume production of 3nm chips, outpacing TSMC by nearly six months and Intel by several years. Samsung had ambitious plans to maintain its technological edge by being first to market with 2nm transistors. However, it now appears that this goal may be out of reach. Being involved in an auto accident can be a traumatic experience, leaving you with physical injuries, emotional stress, and financial concerns. One of the most critical steps you can take after an accident is finding the right attorney to represent you. With so many options available, it can be challenging to know where to start. Finding the best auto injury attorney in Tampa requires thorough research and careful consideration. Start by seeking recommendations from friends, family, or online reviews to find experienced attorneys with positive client feedback. Look for lawyers specializing in auto injury cases, as their expertise will be crucial in navigating complex legal processes. Verify their credentials, track record, and success rate in similar cases. Schedule consultations to discuss your case, evaluate their communication style, and ensure they offer personalized attention. Consider their fee structure and ensure it aligns with your budget. The right attorney will provide dedicated representation and work diligently to secure the best outcome for your case. If you're in Tampa and need legal representation, this blog will help you find the best auto injury attorney to ensure you receive the compensation you deserve. Why You Need an Auto Injury Attorney? After an auto accident, dealing with insurance companies, medical bills, and legal procedures can be overwhelming. An experienced Tampa auto injury lawyer can help you navigate these complexities, advocate for your rights, and maximize your compensation. Whether it's negotiating a fair settlement with insurance companies or representing you in court, the right attorney can make all the difference in the outcome of your case. Steps to Find the Best Auto Injury Attorney in Tampa 1. Research Local Attorneys Start by compiling a list of potential attorneys in Tampa who specialize in auto injury cases. You can do this by searching online, asking for recommendations from friends or family, or checking with local bar associations. Look for attorneys with positive reviews, high ratings, and a strong online presence, as these can be indicators of their reputation and success rate. 2. Check Experience and Specialization Not all attorneys are created equal, and when it comes to auto injury cases, experience matters. Look for an attorney who specializes in personal injury law, specifically auto accidents. An experienced attorney will have a deep understanding of Florida's traffic laws, local court procedures, and the tactics insurance companies use to minimize payouts. Ask potential attorneys about their experience handling cases similar to yours. How many years have they been practicing? What is their success rate? Have they handled cases that went to trial? These questions can give you insight into their level of expertise and whether they are equipped to handle your case. 3. Evaluate Their Track Record A proven track record of success is a strong indicator of an attorney's ability to win cases and secure fair compensation for their clients. Ask potential attorneys about their past cases, particularly those similar to yours. How much compensation have they secured for clients in the past? Do they have any notable case results? Additionally, look for any accolades, awards, or recognition the attorney has received. These can further validate their competence and success in the field. 4. Schedule Consultations Most auto injury attorneys offer free initial consultations, giving you an opportunity to meet them in person and discuss your case. During these consultations, pay attention to how the attorney communicates. Do they listen to your concerns? Do they explain legal concepts clearly and without jargon? Are they approachable and willing to answer your questions? The consultation is also a good time to ask about their fee structure. Most personal injury attorneys work on a contingency fee basis, meaning they only get paid if you win your case. Clarify the percentage they will take from your settlement and any additional costs you might incur. 5. Assess Their Communication Skills Effective communication is essential when working with an attorney. You need to feel confident that your attorney will keep you informed about the progress of your case and respond promptly to your questions and concerns. During your initial meetings, evaluate their communication style. Are they transparent about the process? Do they provide regular updates? Clear and consistent communication is key to a successful attorney-client relationship. 6. Consider Local Expertise Hiring a local attorney who understands Tampa's legal landscape can give you an edge in your case. Local attorneys will be familiar with the local courts, judges, and insurance companies, which can be beneficial in negotiations and litigation. They may also have established relationships with local experts, such as accident reconstruction specialists or medical professionals, who can support your case. 7. Check References and Reviews Before making your final decision, check the attorney's references and online reviews. Ask the attorney for contact information of past clients who can speak to their experience working with them. Additionally, read online reviews on platforms. While one or two negative reviews shouldn't be a deal-breaker, a pattern of dissatisfaction could be a red flag. 8. Trust Your Instincts Ultimately, choosing an attorney is a personal decision. After meeting with potential candidates and evaluating their qualifications, trust your instincts. Do you feel comfortable with the attorney? Do they inspire confidence? Remember, you'll be working closely with them throughout your case, so it's important to choose someone you trust and feel at ease with. What to Expect After Hiring an Auto Injury Attorney Once you've selected the best auto injury attorney in Tampa, they will begin working on your case immediately. Here's what you can expect: Case Evaluation and Investigation Negotiation with Insurance Companies Filing a Lawsuit if Necessary Ongoing Communication and Support Conclusion Finding the best auto injury attorney in Tampa is crucial to ensuring you receive the compensation you deserve after an accident. By researching local attorneys, evaluating their experience and track record, and trusting your instincts, you can find a legal advocate who will fight for your rights and guide you through the complexities of your case. With the right attorney by your side, you can navigate the aftermath of an auto accident with confidence and secure the best possible outcome. The iPhone 16 lineup is still on the hype train as it was only recently announced by Apple. It will be the first iPhones to take advantage of Apple Intelligence aside from A18 and A18 Pro chips. Now we know what its first-ever AI-generated image looks like, it's about time to deep dive into its components inside, particularly its random access memory or RAM. In a new interview, Apple's Senior Vice President of Hardware Technologies Johny Srouji has provided some invaluable information about these models, urging that all four iPhone 16 models are equipped with 8GB of RAM for guaranteed improved performance as compared to the previous generations of Apple products. New Ram Hike Across iPhone 16 Series: Here Comes 8 GB RAM For reference, the 6 GB RAM was present in the standard iPhone 15 model and iPhone 15 Plus. However, the two Pro variants are far more superior with 8GB RAM. This was one of the reasons why the Pro models could run Apple Intelligence, and the other models - could not. This year, however, Apple is making all four iPhone 16 models balanced by providing all of them with 8GB of RAM to support the Apple Intelligence. In an interview with Geekerwan, Srouji confirmed this RAM upgrade and this indicates the first time ever Apple has released specific RAM specs for its iPhones. The executive said that the decision to increase the RAM was primarily aimed at ensuring that Apple Intelligence had better performance but other functions too will reap from it. Apple Intelligence and the Need for More RAM During the interview, Srouji elaborated on the importance of RAM for Apple Intelligence and the overall user experience. As 9to5Mac extracted, Apple has lots of data that tell them what is capable of running a particular feature. One of the important features mentioned here is Apple Intelligence. From there, the team takes a closer look at various computations, memory capacity, and configurations to see what trade-offs need to be established. For iPhone 16, Srouji said that Apple Intelligence is considered a major factor in their decision to upgrade the existing RAM to 8GB. "The 8GB will help immensely with other applications, including high-end gaming and AAA-titled games. This is going to be a huge advantage for those who play games on their devices," he said. Optimized Software and Hardware Integration One of Apple's major strengths lies in its ability to seamlessly integrate hardware and software, and Srouji emphasized how this benefits memory optimization. Srouji explained that the software team also focuses on optimizing memory footprint on top of optimizing computing capability. With this, they will not waste any memory to utilize the best of the available RAM. This level of integration means that Apple can fine-tune both the hardware and software to deliver the best possible performance without overbuilding or wasting resources. Apple's Approach to Silicon vs. Competitors When asked about how Apple Silicon compares to competitors who focus on increasing core counts, Srouji provided an insightful explanation: "When you look at single-thread performance, our cores are the best in the industry. We lead the way in both performance and efficiency cores. Rather than just adding more cores, we focus on making sure each core performs at the highest level possible." Srouji explained that Apple uses extensive simulation and performance modeling to optimize each product. As such, designing an iPhone comes with careful consideration of its battery size, thermal envelope, and more. Overbuilding only leads to wasting the capability of a product that's why they emphasize that settling for a perfectly balanced configuration is so much ideal. If you want to check the full interview, click the video below. The U.S. State Department has responded to Russian dictator Putin's latest threats that allowing Ukraine to strike targets on Russian territory with long-range weapons would be seen as direct participation of NATO countries in the war. They emphasized that they are closely monitoring the Kremlin leader's rhetoric, but advised him to end the war in Ukraine if he does not like discussions about strikes on Russia. ADVERTISIMENT This was stated by US Foreign Policy spokesman Matthew Miller at a briefing on Friday, September 13. According to him, the Kremlin head has been issuing similar statements over the past two and a half years. "So, its not really a new statement by President Putin, but of course we pay close attention to everything that he says. I would say President Putin is the one who could end this war today if he wanted to. The only reason we are even having a discussion at all about the provision of American capabilities to Ukraine and the provision of capabilities by our NATO Allies and the coalition of 50 countries that weve assembled around the world to Ukraine is because Putin continues to press this illegal war, that he continues to try to forcibly take territory of Ukraine. So, if the president of Russia doesnt want to have a conversation about what capabilities we provide to Ukraine, theres a very easy way to get to the end of that conversation, and thats for him to end this illegal war," the official stated. ADVERTISIMENT The US official added that the United States will not participate in the war in Ukraine but will continue to help it defend itself along with a coalition of allies. Earlier, the White House responded to Putin's threats too. Karine Jean Pierre said that the dictator's statements were addressed not to Americans or Europeans, but to the Russian audience. Although Putin has "dangerous rhetoric," his words are nothing new, she said. The day before, Russian dictator Putin said that allowing Western long-range weapons to strike Russian territory would "change the nature of the conflict" and would be seen as direct involvement of NATO countries in the war in Ukraine. He threatened that this would provoke a "corresponding reaction" from Moscow. As reported, Yaroslav Chornohor, director of the Russian and Belarusian studies program at the Prism UA think tank, believes that such statements by Putin should not be taken too seriously as it is not the first time he has made them. There are no specifics in Putin's statements. ADVERTISIMENT Only verified information is available on our Telegram channel OBOZ.UA and Viber. Do not fall for fakes! German Chancellor Olaf Scholz said that the country will not send long-range Taurus missiles to the Ukrainian Defense Forces. Despite persistent appeals and requests from the Ukrainian authorities, Berlin's stance on the transfer of these weapons to Kyiv remains unchanged. ADVERTISIMENT The German Chancellor said this at a press conference in Berlin on Friday, September 13. His words were quoted by The Guardian. "Germany has made a clear decision about what we will do and what we will not do. This decision will not change," the official added. Scholz's statements came amid discussions between British Prime Minister Keir Starmer and U.S. President Joe Biden about the possibility of allowing Ukraine to use Western weapons to strike military targets of the Russian occupation forces on the territory of the aggressor state. Earlier, federal government spokesman Steffen Hebestreit noted that the weapons discussed by the United States and Britain have a longer range than those provided by Germany. Meanwhile, German Defense Minister Boris Pistorius also noted that the agreements between Washington and London on this topic remain exclusively their business. ADVERTISIMENT Earlier, it was reported that Biden held talks with Kieran Starmer on September 13. During the meeting, the politicians reaffirmed their unwavering support for Ukraine and "expressed concern" about Iran and North Korea's arms sales to Russia and China's support for the aggressor country's defense industry, the White House said. At the same time, they did not agree to allow Kyiv to launch Storm Shadow missiles at military targets in Russia. As reported, German Defense Minister Boris Pistorius said that the United States and Britain could give Ukraine permission to use their weapons to strike deep into Russia, as international law allows it. He also urged Washington and London not to be afraid of Russian dictator Putin's threats. Only verified information on our Telegram channel OBOZ.UA and Viber. Do not fall for fakes! ADVERTISIMENT Myanmar junta makes rare request for foreign aid to cope with deadly floods Taungoo, Myanmar, Sept 14 (AFP) Sep 14, 2024 Myanmar's junta chief made a rare request Saturday for foreign aid to cope with deadly floods that have displaced hundreds of thousands of people who have already endured three years of war. Floods and landslides have killed almost 300 people in Myanmar, Vietnam, Laos and Thailand in the wake of Typhoon Yagi, which dumped a colossal deluge of rain when it hit the region last weekend. In Myanmar, more than 235,000 people have been forced from their homes by floods, the junta said Friday, piling further misery on the country where war has raged since the military seized power in 2021. In Taungoo -- around an hour south of the capital Naypyidaw -- residents paddled makeshift rafts on floodwaters lapping around a Buddhist pagoda. Rescuers drove a speedboat through the waters, lifting sagging electricity lines and broken tree branches with a long pole. "I lost my rice, chickens, and ducks," said farmer Naung Tun, who had brought his three cows to higher ground near Taungoo after floodwaters innundated his village. "I don't care about the other belongings. Nothing else is more important than the lives of people and animals," he told AFP. - Flee by any means - The rains in the wake of typhoon Yagi sent people across Southeast Asia fleeing by any means necessary, including by elephant in Myanmar and jetski in Thailand. "Officials from the government need to contact foreign countries to receive rescue and relief aid to be provided to the victims," junta chief Min Aung Hlaing said on Friday, according to the Global New Light of Myanmar newspaper. "It is necessary to manage rescue, relief and rehabilitation measures as quickly as possible," he was quoted as saying. Myanmar's military has previously blocked or frustrated humanitarian assistance from abroad. Last year it suspended travel authorisations for aid groups trying to reach around a million victims of powerful Cyclone Mocha that hit the west of the country. At the time the United Nations slammed that decision as "unfathomable." AFP has contacted a spokesperson for the UN in Myanmar for comment. After cyclone Nargis killed at least 138,000 people in Myanmar in 2008, the then-junta was accused of blocking emergency aid and initially refusing to grant access to humanitarian workers and supplies. - 'Terrible experience' - The junta gave a death toll on Friday of 33, while earlier in the day the country's fire department said rescuers had recovered 36 bodies. A military spokesman said it had lost contact with some areas of the country and was investigating reports that dozens had been buried in landslides in a gold-mining area in central Mandalay region. Military trucks carried small rescue boats to flood-hit areas around the military-built capital Naypyidaw on Saturday, AFP reporters said. "Yesterday we had only one meal," Naung Tun said from near Taungoo. "It is terrible to experience flooding because we cannot live our lives well when it happens." "It can be okay for people who have money. But for the people who have to work day to day for their meals, it is not okay at all." More than 2.7 million people were already displaced in Myanmar by conflict triggered by the junta's 2021 coup. Vietnam authorities said Saturday that 262 people were dead and 83 missing. Images from Laos capital Vientiane, meanwhile, showed houses and buildings inundated by the Mekong river. CORRECTED: Myanmar junta makes rare request for foreign aid to cope with deadly floods Taungoo, Myanmar, Sept 14 (AFP) Sep 14, 2024 Myanmar's junta chief made a rare request Saturday for foreign aid to cope with deadly floods that have displaced hundreds of thousands of people who have already endured three years of war. Floods and landslides have killed almost 300 people in Myanmar, Vietnam, Laos and Thailand in the wake of Typhoon Yagi, which dumped a colossal deluge of rain when it hit the region last weekend. In Myanmar, more than 235,000 people have been forced from their homes by floods, the junta said Friday, piling further misery on the country where war has raged since the military seized power in 2021. In Taungoo -- around an hour south of the capital Naypyidaw -- residents paddled makeshift rafts on floodwaters lapping around a Buddhist pagoda. Rescuers drove a speedboat through the waters, lifting sagging electricity lines and broken tree branches with a long pole. "I lost my rice, chickens, and ducks," said farmer Naing Tun, who had brought his three cows to higher ground near Taungoo after floodwaters innundated his village. "I don't care about the other belongings. Nothing else is more important than the lives of people and animals," he told AFP. - Flee by any means - The rains in the wake of typhoon Yagi sent people across Southeast Asia fleeing by any means necessary, including by elephant in Myanmar and jetski in Thailand. "Officials from the government need to contact foreign countries to receive rescue and relief aid to be provided to the victims," junta chief Min Aung Hlaing said on Friday, according to the Global New Light of Myanmar newspaper. "It is necessary to manage rescue, relief and rehabilitation measures as quickly as possible," he was quoted as saying. Myanmar's military has previously blocked or frustrated humanitarian assistance from abroad. Last year it suspended travel authorisations for aid groups trying to reach around a million victims of powerful Cyclone Mocha that hit the west of the country. At the time the United Nations slammed that decision as "unfathomable." AFP has contacted a spokesperson for the UN in Myanmar for comment. After cyclone Nargis killed at least 138,000 people in Myanmar in 2008, the then-junta was accused of blocking emergency aid and initially refusing to grant access to humanitarian workers and supplies. - 'Terrible experience' - The junta gave a death toll on Friday of 33, while earlier in the day the country's fire department said rescuers had recovered 36 bodies. A military spokesman said it had lost contact with some areas of the country and was investigating reports that dozens had been buried in landslides in a gold-mining area in central Mandalay region. Military trucks carried small rescue boats to flood-hit areas around the military-built capital Naypyidaw on Saturday, AFP reporters said. "Yesterday we had only one meal," farmer Naing Tun said near Taungoo. "It is terrible to experience flooding because we cannot live our lives well when it happens." "It can be okay for people who have money. But for the people who have to work day to day for their meals, it is not okay at all." More than 2.7 million people were already displaced in Myanmar by conflict triggered by the junta's 2021 coup. Vietnam authorities said Saturday that 262 people were dead and 83 missing. Images from Laos capital Vientiane, meanwhile, showed houses and buildings inundated by the Mekong river. Myanmar junta makes rare request for foreign aid to cope with deadly floods Taungoo, Myanmar, Sept 14 (AFP) Sep 14, 2024 Myanmar's junta chief made a rare request Saturday for foreign aid to cope with deadly floods that have displaced hundreds of thousands of people who have already endured three years of war. Floods and landslides have killed almost 300 people in Myanmar, Vietnam, Laos and Thailand in the wake of Typhoon Yagi, which dumped a colossal deluge of rain when it hit the region last weekend. In Myanmar, more than 235,000 people have been forced from their homes by floods, the junta said Friday, piling further misery on the country where war has raged since the military seized power in 2021. In Taungoo -- around an hour south of the capital Naypyidaw -- residents paddled makeshift rafts on floodwaters that reached the roofs of some buildings. Around 300 people were sheltering at a monastery on high ground in a nearby village. "We are surrounded by water and we don't have enough food for everyone," one man said. "We need food, water, and medicine as priority." Outside another temple, Buddhist nuns in pink and orange robes waded through knee-deep water. "I lost my rice, chickens, and ducks," said farmer Naing Tun, who had brought his three cows to higher ground near Taungoo after floodwaters inundated his village. "I don't care about the other belongings. Nothing else is more important than the lives of people and animals," he told AFP. - Flee by any means - The rains in the wake of typhoon Yagi sent people across Southeast Asia fleeing by any means necessary, including by elephant in Myanmar and jetski in Thailand. "Officials from the government need to contact foreign countries to receive rescue and relief aid to be provided to the victims," junta chief Min Aung Hlaing said on Friday, according to the Global New Light of Myanmar newspaper. "It is necessary to manage rescue, relief and rehabilitation measures as quickly as possible," he was quoted as saying. Myanmar's military has previously blocked or frustrated humanitarian assistance from abroad. Last year it suspended travel authorisations for aid groups trying to reach around a million victims of powerful Cyclone Mocha that hit the west of the country. At the time the United Nations slammed that decision as "unfathomable." AFP has contacted a spokesperson for the UN in Myanmar for comment. After cyclone Nargis killed at least 138,000 people in Myanmar in 2008, the then-junta was accused of blocking emergency aid and initially refusing to grant access to humanitarian workers and supplies. - 'Terrible experience' - The junta gave a death toll on Friday of 33, while earlier in the day the country's fire department said rescuers had recovered 36 bodies. A military spokesman said it had lost contact with some areas of the country and was investigating reports that dozens had been buried in landslides in a gold-mining area in central Mandalay region. Local media reported that six people had been killed in a landslide Friday in Tachileik in eastern Shan state. Military trucks carried small rescue boats to flood-hit areas around the military-built capital Naypyidaw on Saturday, AFP reporters said. "Yesterday we had only one meal," farmer Naing Tun said near Taungoo. "It is terrible to experience flooding because we cannot live our lives well when it happens." "It can be okay for people who have money. But for the people who have to work day to day for their meals, it is not okay at all." More than 2.7 million people were already displaced in Myanmar by conflict triggered by the junta's 2021 coup. Vietnam authorities said Saturday that 262 people were dead and 83 missing. Images from Laos capital Vientiane, meanwhile, showed houses and buildings inundated by the Mekong river. Climate march shuts down Hague motorway during police strike The Hague, Sept 14 (AFP) Sep 14, 2024 Extinction Rebellion climate activists blocked a major motorway running through The Hague on Saturday, their "most disruptive" action yet to protest against billions of euros in Dutch fossil fuel subsidies. The demonstration coincided with a police strike over pensions. While officers were present in case of emergencies, they were not set to break up the protest as usually happens. Many of the activists had conducted a week-long march from Arnhem in the east of the Netherlands that culminated in the protest on the A12 motorway that serves The Hague. Extinction Rebellion (XR) said some protesters planned to take advantage of the police absence to camp out overnight in the motorway tunnels. "We will keep coming back until the subsidies are abolished," said XR spokeswoman Rozemarijn van 't Einde, adding that they amounted to between 39.7 and 46.4 billion euros ($44.0-51.4 billion) per year. Authorities have not ruled out shutting off large sections of the motorway to traffic to ensure the activists' safety. The XR group regularly targets the A12 motorway and police often arrest hundreds of protesters. "The blockade will be the most disruptive since the first blockade on July 6, 2022," said the group. "The severity of the climate and ecological crisis justifies the additional nuisance." Dutch Justice Minister David van Weel said police "always act in emergencies, even during work stoppages" so he was not concerned about public safety. He said it was a "bit ironic" the protesters were urging authorities to ensure their safety given they rail against what they call disproportionate and heavy-handed policing. Myanmar junta makes rare request for foreign aid to cope with deadly floods Taungoo, Myanmar, Sept 14 (AFP) Sep 14, 2024 Myanmar's junta chief made a rare request Saturday for foreign aid to cope with deadly floods that have displaced hundreds of thousands of people who have already endured three years of war. Floods and landslides have killed almost 300 people in Myanmar, Vietnam, Laos and Thailand in the wake of Typhoon Yagi, which dumped a colossal deluge of rain when it hit the region last weekend. In Myanmar, more than 235,000 people have been forced from their homes by floods, the junta said Friday, piling further misery on the country where war has raged since the military seized power in 2021. In Taungoo -- around an hour south of the capital Naypyidaw -- residents paddled makeshift rafts on floodwaters that reached the roofs of some buildings. Around 300 people were sheltering at a monastery on high ground in a nearby village. "We are surrounded by water and we don't have enough food for everyone," one man said. "We need food, water, and medicine as priority." Outside another temple, Buddhist nuns in pink and orange robes waded through knee-deep water. "I lost my rice, chickens, and ducks," said farmer Naing Tun, who had brought his three cows to higher ground near Taungoo after floodwaters inundated his village. "I don't care about the other belongings. Nothing else is more important than the lives of people and animals," he told AFP. - Flee by any means - The rains in the wake of typhoon Yagi sent people across Southeast Asia fleeing by any means necessary, including by elephant in Myanmar and jetski in Thailand. "Officials from the government need to contact foreign countries to receive rescue and relief aid to be provided to the victims," junta chief Min Aung Hlaing said on Friday, according to the Global New Light of Myanmar newspaper. "It is necessary to manage rescue, relief and rehabilitation measures as quickly as possible," he was quoted as saying. Myanmar's military has previously blocked or frustrated humanitarian assistance from abroad. Last year it suspended travel authorisations for aid groups trying to reach around a million victims of powerful Cyclone Mocha that hit the west of the country. At the time the United Nations slammed that decision as "unfathomable." The UN's Office for the Coordination for Humanitarian Affairs (UNOCHA) in Myanmar said it could not currently comment on the junta's request for foreign aid. "It is estimated that thousands of people have been forced to flee, but numbers are difficult to verify amid telecommunications blockages and a challenging operational context," a spokesperson told AFP. A spokesperson for the International Committee of the Red Cross (ICRC) in Myanmar said it had no comment on the request. After cyclone Nargis killed at least 138,000 people in Myanmar in 2008, the then-junta was accused of blocking emergency aid and initially refusing to grant access to humanitarian workers and supplies. - 'Terrible experience' - The junta gave a death toll on Friday of 33, while earlier in the day the country's fire department said rescuers had recovered 36 bodies. A military spokesman said it had lost contact with some areas of the country and was investigating reports that dozens had been buried in landslides in a gold-mining area in the central Mandalay region. Local media reported that six people had been killed in a landslide Friday in Tachileik in eastern Shan state. Military trucks carried small rescue boats to flood-hit areas around the military-built capital Naypyidaw on Saturday, AFP reporters said. "Yesterday we had only one meal," farmer Naing Tun said. "It is terrible to experience flooding because we cannot live our lives well when it happens," he added. "It can be okay for people who have money. But for the people who have to work day to day for their meals, it is not okay at all." More than 2.7 million people were already displaced in Myanmar by conflict triggered by the junta's 2021 coup. Vietnam authorities said Saturday that 262 people were dead and 83 missing. Images from Laos capital Vientiane, meanwhile, showed houses and buildings inundated by the Mekong river. Storm Boris wreaks havoc across eastern and central Europe Slobozia, Romania, Sept 14 (AFP) Sep 14, 2024 Four people have died in Romania in floods triggered by Storm Boris, which has brought torrential rains and widespread disruption to central and eastern Europe, rescue services said on Saturday. Since Thursday, swathes of Austria, the Czech Republic, Hungary, Romania and Slovakia have been hit by high winds and unusually fierce rains. "We are again facing the effects of climate change, which are increasingly present on the European continent, with dramatic consequences," said Romanian President Klaus Iohannis. "We must continue to strengthen our capacity to anticipate extreme weather events." In Romania, four bodies were discovered in the worst affected region, Galati in the southeast, where 5,000 homes were damaged. Hundreds of people have been rescued across 19 parts of the country, rescue services said, releasing a video of flooded homes in a village by the Danube river. "This is a catastrophe of epic proportions," said Emil Dragomir, mayor of Slobozia Conachi village in Galati, where he said 700 homes had been flooded. Prime Minister Marcel Ciolacu is visiting the area, while President Iohannis sent his "condolences to grieving families". Around 100,000 firefighters have been mobilised in the Czech Republic, where nearly 2,900 incidents were recorded on Friday, most of them due to fallen trees and floods. Almost 50,000 homes were without electricity on Saturday, Czech power company CEZ said, and a hospital in the southeastern city of Brno was evacuated on Saturday morning. "The ground is now saturated so all the rainwater is going to stay on the surface," Environment Minister Petr Hladik said on X, formerly Twitter. Residents are being offered free bags of sand to shore up their homes. - 'Peak is yet to come' - Neighbouring Slovakia has declared a state of emergency in the capital, Bratislava. Meanwhile in Poland, the government warned the situation would be the most difficult in the southwest going into Saturday afternoon and evening. Authorities have shut the Golkowice border crossing with the Czech Republic after a river flooded its banks, closed several roads and halted trains on the line linking Prudnik to Nysa. Austria registered winds of 146 kilometres (91 miles) an hour in the south. Firefighters have intervened around 150 times in the capital Vienna since Friday to clear roads blocked by storm debris and pump water from cellars, local media reported. Four thousand homes in the Styrie region are without power and the "peak is yet to come", Chancellor Karl Nehammer warned. In mountainous areas of the west, snow halted traffic and rescue services were searching for a man reported missing after an avalanche. Parts of north-east Austria have been declared a natural disaster area. Some areas of the Tyrol were blanketed by up to a metre (three feet) of snow -- an exceptional situation for mid-September, which saw temperatures of up to 30 degrees Celsius (86 degrees Fahrenheit) last week. burs-bg/am/gv/rox Salvage operation for stricken Red Sea tanker underway: Greek defence source Athens, Sept 14 (AFP) Sep 14, 2024 An operation to tow an abandoned tanker struck by Yemen's Huthi rebels in August, threatening environmental disaster, began Saturday and is ongoing, a Greek defence ministry source told AFP. The Greek-flagged Sounion is being towed northwards under military escort, the source said. The tanker was hit last month by Iran-backed Huthis off the coast of Hodeida while carrying 150,000 tonnes of crude oil. "(The tugboat) Aigaion Pelagos has begun to gradually tow the tanker northwards, escorted by military vessels," the defence source told AFP, adding that the ships' radars had been turned off for security reasons. The European Union's Red Sea naval mission, Aspides, had earlier said that "the salvage operation of the MV SOUNION is essential in order to avert a potential environmental disaster in the region." "To achieve this, several public and private actors are working together," it added. The Greek-flagged oil tanker Sounion was hit by missiles off the coast of Hodeida on August 21. Damage to the vessel had threatened a Red Sea oil spill four times the size of the 1989 Exxon Valdez disaster off Alaska. The Sounion's crew, made up of 23 Filipinos and two Russians, was rescued the day after the attack by a French frigate serving with the EU mission. The EU naval force was formed in February to protect merchant vessels in the Red Sea from attacks by the Huthi rebels, who have waged a campaign against international shipping that they say is intended to show solidarity with Hamas in its war with Israel in the Gaza Strip. Since November, the Huthi attacks have resulted in the sinkings of two ships and the deaths of at least four crew members. Salvage operation for stricken Red Sea tanker underway Athens, Sept 14 (AFP) Sep 14, 2024 An operation to tow an abandoned tanker struck by Yemen's Huthi rebels in August, threatening environmental disaster, began Saturday and is ongoing, a Greek defence ministry source told AFP. The Greek-flagged Sounion is being towed northwards under military escort, the source said. The tanker was hit last month by Iran-backed Huthis off the coast of Hodeida while carrying 150,000 tonnes of crude oil. "(The tugboat) Aigaion Pelagos has begun to gradually tow the tanker northwards, escorted by military vessels," the defence source told AFP, adding that the ships' radars had been turned off for security reasons. The official added that a rescue team had boarded the tanker and attached tow cables, overcoming "adverse conditions." Once the tanker is safely out of the area, the source said that an update would be given on the operation. Greek state news agency ANA said the tugboat was escorted by three frigates, helicopters and a special forces team, without disclosing the states of origin. A Greek fire ship also stood ready to lend assistance if required, ANA said. The tanker had been anchored west of the rebel-held port city of Hodeida, midway between Yemen and Eritrea. The European Union's Red Sea naval mission, Aspides, earlier Saturday said that the salvage operation of the Sounion "is essential in order to avert a potential environmental disaster in the region." "To achieve this, several public and private actors are working together," it added. - Oil spill fears - The Greek-flagged oil tanker Sounion was hit by missiles off the coast of Hodeida on August 21. After the initial strike, the Huthis returned and detonated charges on the ship's deck, setting off new fires. Damage to the vessel had threatened a Red Sea oil spill four times the size of the 1989 Exxon Valdez disaster off Alaska. The Sounion's crew -- made up of 23 Filipinos and two Russians -- was rescued the day after the attack by a French frigate serving with the EU mission. The EU naval force was formed in February to protect merchant vessels in the Red Sea from attacks by the Huthi rebels, who have waged a campaign against international shipping that they say is intended to show solidarity with Hamas in its war with Israel in the Gaza Strip. Since November, the Huthi attacks have resulted in the sinkings of two ships and the deaths of at least four crew members. The Huthis have been firing drones and missiles at ships in the vital commercial route, saying they are targeting vessels linked to Israel, the US and Britain in a show of solidarity with Palestinians over the Israel-Hamas war in Gaza. A salvage operation had been attempted earlier this month, but Aspides at the time had said that "the private companies responsible for the salvage operation have concluded that the conditions were not met to conduct the towing operation and that it was not safe to proceed." On Friday, the mission said it stood ready "to facilitate a new salvage operation in the coming days." The vessel was still on fire on September 12 but there were no signs of an oil spill from the main cargo hold, Aspides said Friday. A diplomatic scandal is gaining momentum in Italy: hundreds of billboards with the words "Russia is not our enemy" and a demand to stop supporting Ukraine have appeared in several cities across the country. The Ukrainian Embassy in Rome reacted to this, and diplomats called on the Italian authorities to put an end to the campaign to rehabilitate the image of the aggressor state. ADVERTISIMENT This is stated in the CNN publication. The Sovranita Popolare group, which allegedly "advocates peace," took responsibility for the campaign. Since June, banners depicting a handshake between two hands colored in the colors of the flags of Italy and Russia have been appearing in various Italian cities. The posters also contain slogans such as "Russia is not our enemy" and calls to stop allocating money for military aid to Ukraine and Israel. As of September, the number of such banners was already in the hundreds. The Ukrainian Embassy in Rome responded to the campaign. "We are deeply concerned about the brazenness of Russian propaganda in the Eternal City. We ask the city authorities of Rome to reconsider the permission for such posters, which have a clear goal of rehabilitating the image of the aggressor state," the diplomats called. ADVERTISIMENT The reaction to the call varied from city to city in Italy. In some cities, the banners were taken down by the city authorities, while in others they were left up until the end of the lease of the advertising space. The Sovranita Popolare group, which allegedly "stands for peace," took responsibility for the campaign. According to CNN, these "peacekeepers" accuse European politicians, and the Italian government in particular, of having unreasonably intimidated Italian citizens with a possible Russian attack on Europe in the future after the start of Russia's full-scale invasion of Ukraine. ADVERTISIMENT "Italian warmongers have been inciting Russophobia, hatred of the Russian people, culture, and art for two years," the "peacekeepers" note, who are much more interested in the aforementioned "culture and art" than in the destruction, destroyed cities, thousands of killed Ukrainians and millions of refugees caused by the same "Russian people" for whom the "activists" are so worried. However, it will hardly take long to find out where the Russophile campaign in Italy has its roots: a few weeks ago, almost identical banners appeared in Donetsk, occupied by Ukraine since 2014, as reported by activists of the Yellow Ribbon movement. They depict the same handshake, though the "residents of Donetsk" for some reason decided to inform the world that they do not consider Italians to be enemies. ADVERTISIMENT Only verified information on our Telegram channel OBOZ.UA and Viber . Do not fall for fakes! Storm Boris wreaks havoc across eastern and central Europe Slobozia Conachi, Sept 14 (AFP) Sep 14, 2024 Four people have died in Romania in floods triggered by Storm Boris, which has brought torrential rains and widespread disruption to central and eastern Europe, rescue services said on Saturday. Since Thursday, swathes of Austria, the Czech Republic, Hungary, Romania and Slovakia have been hit by high winds and unusually fierce rains. "We are again facing the effects of climate change, which are increasingly present on the European continent, with dramatic consequences," said Romanian President Klaus Iohannis. "We must continue to strengthen our capacity to anticipate extreme weather events." In Romania, four bodies were discovered in the worst affected region, Galati in the southeast, where 5,000 homes were damaged. Hundreds of people have been rescued across 19 parts of the country, rescue services said, releasing a video of flooded homes in a village by the Danube river. "This is a catastrophe of epic proportions," said Emil Dragomir, mayor of Slobozia Conachi village in Galati, where he said 700 homes had been flooded. Prime Minister Marcel Ciolacu is visiting the area, while President Iohannis sent his "condolences to grieving families". Around 100,000 firefighters have been mobilised in the Czech Republic, where nearly 2,900 incidents were recorded on Friday, most of them due to fallen trees and floods. Almost 50,000 homes were without electricity on Saturday, Czech power company CEZ said, and a hospital in the southeastern city of Brno was evacuated on Saturday morning. "The ground is now saturated so all the rainwater is going to stay on the surface," Environment Minister Petr Hladik said on X, formerly Twitter. Residents are being offered free bags of sand to shore up their homes. - 'Everyone is scared' - Neighbouring Slovakia has declared a state of emergency in the capital, Bratislava. Meanwhile in Poland, the government warned the situation would be the most difficult in the southwest going into Saturday afternoon and evening. Authorities have shut the Golkowice border crossing with the Czech Republic after a river flooded its banks, closed several roads and halted trains on the line linking the towns of Prudnik and Nysa. In the nearby village of Glucholazy, Zofia Owsiaka watched with fear as the fast-flowing waters of the swollen Biala river surged past. "Water is the most powerful force of nature. Everyone is scared," local resident Zofia Owsiaka, 65, told AFP. "This is the second time in my life that I've seen such a phenomenon. It's a nightmare for the people who live here," added Piotr Jakubiec, 39. Austria registered winds of 146 kilometres (91 miles) an hour in the south. Firefighters have intervened around 150 times in the capital Vienna since Friday to clear roads blocked by storm debris and pump water from cellars, local media reported. Four thousand homes in the Styria region are without power and the "peak is yet to come", Chancellor Karl Nehammer warned. In mountainous areas of the west, snow halted traffic and rescue services were searching for a man reported missing after an avalanche. Parts of northeast Austria have been declared a natural disaster area. Some areas of the Tyrol were blanketed by up to a metre (three feet) of snow -- an exceptional situation for mid-September, which saw temperatures of up to 30 degrees Celsius (86 degrees Fahrenheit) last week. burs-bg/am/imm/gv CEZ Former Russian Defense Minister and current Secretary of the Russian Security Council Sergei Shoigu visited DPRK. There, he met with North Korean dictator Kim Jong Un to discuss strengthening "cooperation to protect mutual security interests." ADVERTISIMENT As a result of the meeting, Kim Jong Un promised that the DPRK would provide "full support to the Russian Federation." The meeting between the representatives of the two dictatorships was reported by Reuters, citing the North Korean state news agency KCNA. In the DPRK, Shoigu's visit to Pyongyang was explained by "discussing the deepening of the strategic dialogue" between North Korea and Russia. According to official statements, the North Korean dictator and the representative of Putin's regime held a "wide-ranging exchange of views on regional and international situations" and reached consensus on a number of issues, including increasing "cooperation to protect mutual security interests." ADVERTISIMENT After his conversation with Shoigu, Kim Jong Un said that Pyongyang will continue to expand cooperation with Moscow as part of a strategic partnership. This "partnership," as Ukrainian and U.S. officials and independent analysts have repeatedly claimed, means that Kim Jon Un is providing Russia with missiles and shells for a war against Ukraine. In return, he receives economic and military aid from Russia. Both Moscow and Pyongyang deny this, but periodically declare their intentions to strengthen military cooperation. In June of this year, the two countries signed a comprehensive strategic partnership agreement. ADVERTISIMENT This is not the first time Shoigu has visited the DPRK. According to Reuters, his visit last year in July marked the beginning of closer ties between North Korea and Russia. During that visit, Shoigu and Kim Jong Un watched a military parade that featured nuclear weapons delivery and attack drones. Earlier, the DPRK accused Ukraine of terrorism because of the Kursk operation. North Korea has promised to support Russia in its efforts to "protect its sovereignty." Also, the DPRK called the AFU's Kursk operation "the result of the US anti-Russian confrontational policy" and said that the fighting in Kursk region is allegedly bringing World War III closer. ADVERTISIMENT Only verified information is available on our Telegram channel OBOZ.UA and Viber . Do not fall for fakes! A wooden sculpture of a Maasai warrior holding a spear guards the entrance to the Arusha Cultural Heritage Center. The structure of the building known as the largest art gallery in Africa, according to a large sign at the entrance foreshadows everything that awaits behind its doors: the living history of an entire continent, its struggles, its tribes, but above all, the art that has guided various African cultures for generations. With an architecture that represents the essential elements of local culture (the drum, the spear and the shield), the gallery was inaugurated in 1994 in this northern Tanzanian city by Saifuddin Khanbhai, whose great-grandfather an emigrant of Indian descent founded a trading post in Tanganyika in the 19th century. Arusha is a city thats surrounded by lush forests at the foot of Mount Meru, east of the Great Rift Valley mountain range. Today, its the countrys tourist epicenter and one of the most-visited cities in all of Africa. The classic bustle of African metropolises is no exception here. In the streets outside the Cultural Heritage Center, women roast corn on the cob over embers, men walk around pulling carts and offering sugarcane juice to pedestrians, while the boda boda motorcycles and scooters zip along the avenues. Bajajis (autorickshaws) and dala dalas (minibuses) fill the roads, their sides plastered with photographs of American rappers and African personalities. They give the city its pulse. However, once youve entered the gallery, the silence of the museum takes on an unexpected prominence, broken only by the sound of a few birds. Theyve made their nests on the roof. Beyond the silence, the first thing that strikes you when you enter is the immense amount of artistic works that are housed within the gallerys walls. There are thousands yes, thousands of paintings and sculptures on display, distributed across the four floors of this majestic, modern building. Walking through its interior means embarking on a journey through African life and trade, its rituals and customs, its wars and its past, all interconnected through an extensive collection of antiques and bronze and ebony sculptures, among other notable objects. The Arusha Cultural Heritage Center was founded in 1994. DIEGO MENJIBAR The gallerys rooms connected by spiral corridors to a central space that overlooks the ground floor house the works of more than 1,500 artists, both established and emerging, traditional and contemporary. And, even though the space operates as a museum, each and every one of the works on display can be purchased by visitors. Arusha is the starting point for the hundreds of thousands of tourists who visit the country every year in search of the adventures we all know from documentaries: climbing Mount Kilimanjaro (the highest mountain in Africa and whose peak, Uhuru, inspires the architecture of the building) or going on safari. Visitors dressed in beige, in the purest Indiana Jones style are driven in open-top Land Rovers across the plains of the Serengeti or the Ngorongoro crater, in search of the world-famous big five (lions, leopards, elephants, buffalo and rhinos). The cultural significance of this building as well as its extravagant design has led it to be nicknamed the African Guggenheim by some Western visitors. Its iconic status is due, mainly, to the fact that its the largest place dedicated exclusively to exploring the treasures derived from African culture (it goes without saying that there are more spaces of this type on the continent, although none of them of this magnitude). The gallery is divided into three sections History, Wildlife and Soul of Tanzania allowing visitors to freely explore. One of the works on display at the Arusha Cultural Heritage Centre. DIEGO MENJIBAR The History section displays ethnographic objects from hundreds of African tribes, from the Yoruba in West Africa to the Fang in Equatorial Guinea, Gabon and Cameroon; the Oromo in Ethiopia and the Nyamwezi in Tanzania; the Luba in the Democratic Republic of Congo and the Guro in the Ivory Coast, just to name a few. The Wildlife section features contemporary paintings and sculptures of the graceful creatures that inhabit the African savannah, while the Soul section reflects the essence of the continent through diverse perspectives. But among the many world-famous works housed here, one stands out: the Ujamaa Tree of Life Sculpture, a Makonde structure (an Indigenous art style originating from the tribe of the same name) depicting several intertwined human figures, representing a symbol of unity and continuity. Historically known as African socialism, Ujamaa represents the continents great family. Its the idea of living and working together with a common goal: caring for loved ones. The tree of life is 18 feet tall and took 20 years to make. The scale of the center isnt surprising when you consider that it aims to represent the countrys 120 tribes something Tanzania is extremely proud of. Its main vision is to promote the work of local artists, but the proceeds from sales, the gallerists explain, go to humanitarian causes, such as supporting local orphanages, building schools and wells, or fighting poaching. It also houses a large collection of tanzanites, the idyllic blue gemstones found only in Tanzania. And those interested in photography will find the gallerys only photographic exhibition on the second floor. Its creator Pietro Luraschi documents the countrys wildlife in striking black-and-white. The ground floor can be overwhelming for the unprepared visitor, due to the amount of works scattered and filling every corner. Two studios have also been set up, so that local artists can work in a creative environment. Visitors can see first-hand the process of creating a work of art. Two visitors, surrounded by sculptures, at the entrance to the Arusha Cultural Heritage Center. Sally Weigand (Alamy / CORDON PRESS) Dr. Jane Goodalls dream Known as the worlds most famous primatologist, Jane Goodall arrived at the Gombe Forest Reserve 65 years ago to do fieldwork for anthropologist Louis Leakey. Overwhelmed by what she found, she stayed there to live. She has spent a lifetime in Tanzania dedicated to primates, studying them and educating future generations about the importance of their protection. The best-known of her discoveries has a name: David Greybeard, a chimpanzee with whom it was discovered that the use of tools isnt exclusive to humans. David used a hollow branch to extract insects from a termite mound. On July 14, 2025, Dr. Goodall who maintains a close relationship with the Arusha Cultural Heritage Center will open an immersive show at the gallery called Dr. Janes Dream, precisely on World Chimpanzee Day. As she explained in New York City a few months ago, visitors will be able to immerse themselves in her life and, above all, learn: Theres a tent where my mom and I were and two little peepholes looking out into the world of the chimps. [The visitors] go into this dream world and are going to have to investigate. Its like an adventure. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Advertisement Eating outSex, sleaze and hospitality Sexual assault allegations lead to resignations at Swillhouse Swill Mag editor Myffy Rigby is the latest to walk away from the Sydney hospitality group as fall-out from the Herald expose continues. Bianca Hrovat September 14, 2024 Save Log in , register or subscribe to save recipes for later. You have reached your maximum number of saved items. Remove items from your saved list to add more. Save this article for later Add articles to your saved list and come back to them anytime. Got it Share Myffy Rigby, editor of Swillhouses lifestyle publication Swill Mag, and the hospitality groups general manager, Toby Hilton, have exited the company after revelations of sexual harassment, assault and drug use within its six high-profile Sydney bars and restaurants. Rigby took to Instagram on Friday to announce she had resigned on September 7 and said she was shocked by The Sydney Morning Herald and Good Food reports claiming Swillhouse discriminated against women, failed to protect female staff from sexual harassment and assault, and pushed a woman out of the company after she was raped by a colleague in the bathroom of Restaurant Hubert. I gave notice the next day and removed myself from the workplace immediately and have not returned since, Rigby wrote. Swillhouse venue Le Foote in The Rocks. Louise Kennerley I have been deeply shocked by the allegations. My heart goes out to the women who have been subjected to the behaviours described in the article, and I stand in solidarity with them. Advertisement Rigby declined to comment further. Last week, Swillhouse general manager Hilton also announced his resignation in a company-wide email, which described the hospitality company as the best part of my lifes work. The past few weeks have been very hard but an important lesson in realising that good actions or intent mean little if the experience is not consistent for all, Hilton said. There is clearly a different reality between what we thought we had created versus what existed for some, for which I am truly sorry. Good Food understands from sources unable to comment publicly that there have been additional resignations from employees working at Swillhouse venues. Rigby, who was previously national editor of the Good Food Guide, worked at the Swillhouse headquarters, a warehouse loft in Surry Hills. Three other former head office employees, who spoke on the condition of anonymity to protect their future employment, described it as a toxic environment tightly controlled by Swillhouse chief executive Anton Forte. Advertisement Myffy Rigby, pictured in 2015. Fiona Morris There is no suggestion of allegations of sexual harassment against Forte. Through his lawyers, he has denied any claim that he was responsible for the companys culture and otherwise encouraged inappropriate conduct. Swillhouse has worked very hard in recent years to promote a diverse, inclusive, and safe workplace an environment where bullying, harassment and discrimination will not be tolerated, he said. Rigbys statement comes two days after the sixth issue of Swill Mag was released, which includes interviews with London-based restaurateur Jonny Gent (Sessions Art Club) and French chef and restaurateur Daniel Boulud, who owns seven restaurants in New York City, including the eponymous Daniel, which holds two Michelin stars. She had edited the almost-quarterly magazine since its inception in February 2022. Advertisement Last week, the Good Food Guide dropped Swillhouse venues Le Foote (The Rocks), Restaurant Hubert (CBD), Albertos Lounge (Surry Hills), The Baxter Inn (CBD), Shady Pines (Darlinghurst) and The Caterpillar Club (CBD) from its annual publication, and widened its selection criteria to exclude hospitality venues known to allow or perpetuate assault. Anton Forte pictured outside his Le Foote restaurant in The Rocks, which has since been removed from The Good Food Guide. Supplied Hilton will continue to work for Swillhouse until the ship is steadied in the coming weeks under the guidance of Justine Baker, the former chief executive of the Solotel hospitality group, who was appointed Swillhouses chief strategy officer on August 28. According to a statement from Cato & Clive, the crisis communications firm hired by Swillhouse following reports by this masthead, Baker will work with the executive team to review business operations and structure and facilitate SafeWork NSW investigations. SafeWork NSW has confirmed it has launched inquiries into Swillhouses operations. The government agency is responsible for ensuring workplaces meet their duties regarding workplace sexual harassment and alcohol and drug use. Staff can report workplace sexual assault to SafeWork NSW and the NSW Police. Advertisement Left: Rachelle Rocky Hair. Right: Jenna Hemsworth. Christopher Pearce In a text message sent to former employee Jenna Hemsworth, who went public with allegations she was sexually assaulted while working at Swillhouse, chief executive Forte said he intended to follow Bakers recommendations for change. What we did, where we didnt listen or act as we should have, was weak, Forte said in the message, and invited Hemsworth to email Baker. The other woman who came forward with allegations of sexual assault was former Swillhouse bartender Rachelle Rocky Hair, the first female to be employed behind the bar at Frankies and The Baxter Inn, who described herself as the canary in the coal mine. If [Swillhouse] had learnt and changed 10 years ago and the assaults and harassment had stopped with me, I would have let this go, she said. Advertisement Restaurant reviews, news and the hottest openings served to your inbox. Sign up We have a tendency to think of sex as an action, says Esther Perel, a couples therapist and author who is launching a new online course about desire. But she reframes it for her clients and audiences. Sex isnt something that you do, Perel tells them. Sex is a place where you go. Loading She often asks questions such as What do you want to experience there? Is this an experience, for you, of transcendence? Of spiritual union? Of deep connection? Or Is it an experience where you can be mischievous and for once not a good citizen? Acknowledging that a joint orgasm isnt the only destination during sex can help couples become unstuck, Perel finds. Candice Nicole Hargons, an associate professor at Emory University and author of the forthcoming book Good Sex, encourages her clients to think about the idea of a sexual menu. Most of us get this really unseasoned sexual menu kind of handed to us when were kids, she says. The types of sex on our menu may be influenced by media, sex education classes and what we pick up socially. But she encourages her sex therapy clients to create a more flavourful, personalised menu to say for themselves what their yeses are, what their noes are, what their maybe-sos are. The only nonnegotiable? Pleasure should be the main course. Youd be surprised by how many people I talk to on a day-to-day basis who dont understand that sex isnt supposed to be painful, says Jessica Ross, a sex therapist based in Michigan. Orgasm? Optional. But pleasure is mandatory. There is more than one type of desire Sexual desire, as its portrayed in TV, movies and pornography, is invariably spontaneous a sudden, overwhelming urge to have sex. But there is another, equally valid type of desire, known as responsive desire. It emerges in response to deliberate pleasure or erotic stimuli, says Lauren Fogel Mersy, a psychologist and sex therapist based in Minnesota and an author of Desire. People who tend to experience responsive desire should feel reassured that there is nothing wrong with them, she says. Theyre not broken. They might simply need to put in a bit more work to understand what kind of erotic stimulation helps them feel open to the possibility of intimacy, such as touch, for instance. Also, couples need to let go of the expectation that they should be aligned in how and when they experience desire. Desire discrepancy is the norm rather than the exception, Fogel Mersy says. Never underestimate the power of the clitoris The clitoris is the powerhouse of the female orgasm, and the vast majority of the sensitive nerve endings that contribute to pleasure are located on the surface of the vulva, not inside the vagina, says Ian Kerner, a sex therapist based in New York City and author of She Comes First. Most intercourse positions dont provide a lot of clitoral stimulation, he says, which is a major contributor to the pleasure gap among heterosexual couples. In taking a more clitoral approach to sex, activities that are typically thought of as foreplay, including manual and oral stimulation, are not just a precursor to something else. They are the main event, Kerner says. That said, there are women who can orgasm during intercourse, says Debby Herbenick, a professor at the Indiana University School of Public Health and author of Yes, Your Kid: What Parents Need to Know About Todays Teens and Sex, whose research suggests 18 per cent of women orgasm from penetration alone. For women who do want to have an orgasm during vaginal penetration or intercourse, its OK to want that and there are ways to try, she says. Intimacy can be just as important as sex. Credit: Getty Images Men are not light switches There are many cliches about male sexuality: among them, that all men think about sex constantly, and that all it takes is a strong breeze for a guy to get an erection, Kerner says. What gets lost is the bigger truth that male sexuality is as complex and variable as female sexuality, Kerner says. Desire discrepancy is the number one issue he sees in his practice, and men are just as likely to be the low-desire partner as women, he says. Often, his male clients feel a lot of shame and embarrassment that they are not initiating sex the way theyre supposed to. Men are not light switches when it comes to sex, Kerner says. They dont just turn on and off. Intimacy should be on the calendar, not just sex Putting sex on the calendar is well-worn sex therapy advice, but Tanner believes it can backfire. The pressure of having to keep a sexual appointment can actually decrease sexual desire, she said. Rather than putting sex on your calendar, schedule an activity that opens the door for intimacy. Loading What that means will vary from couple to couple, says Jessa Zimmerman, a sex therapist in private practice in Seattle, who offers the same advice to her clients. Perhaps its a date night. Perhaps you get into bed a bit earlier than usual and see what happens. Its so different than OK, were going to have sex every Sunday night. Because, oh, my gosh, its not too long before the lower-desire person is starting to avoid that or dread that, she says. Its possible to overthink good sex Sara Nasserzadeh, a social psychologist and author of Love by Design, who works with clients around the world, said she sees a tendency among some of her North American clients to feel as if they must talk about sex ad nauseam. Its ridiculous to me, because sex is something that involves the bodies, she says. It involves the somatic. That doesnt mean her motto is Just Do It, but sometimes you have to let your bodies connect and talk for you, Nasserzadeh says. Put another way: sex should momentarily rob you of your intelligence, says Dr Stephen Snyder, a sex therapist based in New York City and author of Love Worth Making. It should make you a bit selfish and maybe even regress a bit, he says. Good sex makes you dumber, he says with a chuckle. And great sex makes you really, really stupid. The New York Times Such conduct is designed to ostracise Jewish businesses and intimidate the Jewish communities. These actions are designed to inflict fear and instil division. This matter should be referred to police and investigated as a priority. Palestine supporters used a kite flying festival at Bondi Beach to protest the war in Gaza. Credit: Instagram Allegra Spender, a federal independent MP who was concerned last weekend to see the symbol on display in her Sydney electorate at a kite flying event on Bondi Beach, said its appropriation needed examination. I went and looked at the history of the symbol and my concern is about what it is trying to do, she said. It really has no place on a family day which should be about bringing people together and celebrating. The symbol is known as the Abu Obeida triangle, named after the spokesman for the Hamas military wing, and was first used by the terrorist groups Al-Qassam brigades to identify targets such as Israeli tanks and soldiers for lethal strikes. Since October 7, the symbol has morphed into an online meme and emoji shared by Hamas sympathisers and more recently, pro-Palestinian activists, including some unaware of its origins. The symbol is outlawed in Germany because of its direct association with Hamas, a proscribed terrorist organisation that has controlled Gaza since 2007 and planned and led the October 7 attack on Israel, when 1200 people were murdered and more than 200 kidnapped. The attack provoked Israels ongoing, deadly reprisals in Gaza. The symbol has become ubiquitous within the pro-Palestine protest movement. Credit: Instagram Josh Roose, a Deakin University political sociologist and expert in political and religious violent extremism, said Hamas had carefully chosen the red triangle or red arrow a symbol that features in the Palestinian flag to maximise its appeal. It is actually a very sophisticated tactic, Roose said. It has clearly been used by Hamas in their military videos. It has been picked up by their activists and now used by the anti-Israeli, global protest movement. If you call that out, you are accused of trying to outlaw the Palestinian flag, which then creates more outrage and victimisation. Executive Council of Australian Jewry co-chief executive Alex Ryvchin said the symbol had become a de facto Hamas flag. Just as neo-Nazi groups have long evaded scrutiny and accountability by shifting from the swastika to various derivative symbols or code words, the pro-Hamas movement has done likewise, he said. The inverted red triangle was first used by Hamas Al-Qassam brigades to identify Israeli targets. Credit: The Palestine Chronicle But the aim is clear. It is to show support for Palestinian violence and revel in the killing of more Israelis. Greens MP Tim Read, the Brunswick parliamentarian contacted by Cohen after his Lygon Street business was first marked with the symbol in July, said he was very concerned by the episode and had raised it with Merri-bek Council and police. Vandalising Jewish-owned businesses is unacceptable and antisemitic behaviour, which I completely condemn, he said. I encourage people to be critical of Israels continued bombing of civilians without attacking Jewish people, many of whom are protesting Israels actions. Loading Palestinian community leader Nasser Mashni deplored the targeting of a Jewish-owned business but declined to comment on the use of the symbol more broadly. The Australia Palestine Advocacy Network opposes all violence against civilians, in Australia or anywhere else, he said. The war in Gaza, which is approaching its first anniversary, is estimated by Palestinian authorities to have killed nearly 43,000 people. In December, the federal parliament bolstered Australias counter-terrorism laws by inserting a new provision into the criminal code prohibiting the public display of hate symbols. Although the law change explicitly outlaws Nazi and Islamic State symbols, it also created a new offence, punishable by up to a years jail, for anyone who knowingly displays a symbol used to identify a terrorist organisation. A memorandum circulated by Attorney-General Mark Dreyfus to explain the purpose of the law change said violent extremists used symbols to spread their ideology to a wider audience and recruit followers. Ideologically and religiously motivated violent extremists within the community are seeking to publicly display and trade symbols of hate with the intent of promoting hatred, instilling fear and harassing others, the memorandum noted. Dreyfus last week introduced legislation to criminalise the practice of doxxing the publishing of private, identifying information with malicious intent after the details of 600 members of a Jewish chat group were distributed online by pro-Palestinian activists. Dutton said the targeting of a Jewish-owned business with a Hamas symbol was precisely the type of conduct that should be captured by change to the criminal code. We have the laws to deal with these acts of intimidation and hate. The government and our law enforcement agencies need to swiftly use them, he said. Cohen contacted the Brunswick police station about the threatening symbol, but police did not respond. Merri-bek Council promised to conduct an investigation but closed the case a week later without taking action. In 45 years of contesting elections, Clover Moore has never lost one or even looked like losing one. That was true again on Saturday. By 8pm it was clear that while Moores vote was going backwards, it was still high enough to ensure she would retain the job she has held without being seriously challenged for the past 20 years. Clover Moore celebrates another term as Sydney lord mayor at her election party at the ArtHouse Hotel on Saturday night. Credit: Janie Barrett With counting about to pause for the weekend, she was sitting just under 37 per cent of first preferences, a swing against her of about 6 percentage points. You couldnt hope for much better when youre seeking a sixth term. No one seriously thought Moore would be in trouble. Even if there was a sense among some voters that two decades is a long time for anyone to be in power, there was no clear alternative who they could embrace with certainty and enthusiasm. Same-sex couples, single women and low-income families would have cheaper access to fertility treatment under a new 10-year plan to address declining birth rates and regulate Australias booming IVF industry. In a sweeping review of Australias national fertility policy, unveiled on Sunday, former health minister Greg Hunt and embryologist Dr Rachel Swift found the fragmented IVF system was not equipped to keep up with rising demand fuelled by older parents and more non-traditional families. Another recommendation was to fund public patient IVF targeted at low and limited-income families, noting a single IVF cycle could cost upwards of $10,000. Former health minister Greg Hunt, now a professor at the University of Melbourne, has co-authored a review into the countrys booming IVF sector. Credit: Eddie Jim The review will increase pressure on Hunts successor as federal health minister, Mark Butler, to update the Commonwealths definition of infertility after the authors found there was near universal community support for giving same-sex couples and single women equal access to Medicare-subsidised IVF. That would accord with what weve found for women, says Brown. That means theyre very vulnerable, and if anything else goes wrong perhaps she and her partner split up theyre prone to not being able to cope. Somehow, that moves from suicidal ideation to homicidal ideation. We dont know enough to say how that happens. Mental health looms large in Australian cases involving mothers who murder. In 2014, Cairns mother Raina Thaiday killed eight children; her four sons, three daughters and a niece, aged between two and 14. She had schizophrenia, and a court heard she had believed she was saving the children from the end of the world. Neighbours heard her saying things like, You stab my kids, I stab them first. Raina Thaiday thanks paramedics for safely delivering her child in the back of an ambulance in 2009. Credit: Nine News In Melbourne, Katica Perinovic, 42, was being treated for paranoia and anxiety when she killed her children Claire, 7, Anna, 5, and Matthew, 3 before taking her own life. They were stabbed in the back. Their father is taking legal action, claiming his wifes doctor was negligent in treating her mental health condition. Some killers convince themselves theyre acting in the interest of their child. In Port Hedland, Margaret Dale Hawke, a heavy drug user who had repeatedly told services she was struggling, stabbed, strangled and suffocated her three children. Babies, I dont want us to hurt any more, she told them. Once, these cases were known as altruistic killings. But its not altruistic at all, says Brown. Its a violent death. The profile of an offender can shift with the age of the child. Neonaticide, or the killing of a newborn, is almost exclusively committed by mothers and often involves women whove concealed, denied or not even recognised their pregnancies. One British study cites the heartbreaking example of a young teenage mother who hid her newborn in a school bag, before telling her mother, Dont be mad, mum. Ive had a baby. Keli Lane leaves court during her trial in 2010. Credit: Nick Moir Australias most famous case is that of Keli Lane, a polo player from Sydneys northern beaches who hid five pregnancies over seven years. She terminated two, and put two babies up for adoption. She was convicted of murdering the fifth, a girl called Tegan. Between the babys delivery at Auburn Hospital on September 12, 1996, and her mothers arrival at a friends wedding a few days later, Tegan disappeared and has never been found. Infanticide, involving the killing of a child before their first birthday by their mother, is a discrete crime in NSW and carries a similar penalty to manslaughter. It comes from a British law written in the days when murder was punishable by death, but authorities felt women suffering post-natal mental illness should be given grace. The law is rarely used, and police tend to lay murder charges instead. Murder was the charge levelled at NSW woman Kathleen Folbigg over the deaths of her four children. She was convicted in 2003 but pardoned by the NSW governor last year after new medical evidence suggested the babies might have died of natural causes. Another Sydney case involved a woman who drowned her six-month-old baby in the bath tub after developing psychosis after the birth, and worrying obsessively that the child had dwarfism. Melbourne woman Katica Perinovic killed her three children before taking her own life. Most filicide victims are not yet five years old. Younger children are more likely to be suffocated, drowned or shaken, and older children those over 10 are more likely to be stabbed or shot. Police are still waiting on toxicology results to see if Ben and Russell Smith were drugged when they died, and have not revealed whether they were killed together. Brown said such a violent act from a mother could leave a child frozen with shock and fear. You just couldnt believe your mother would do it, and by the time you realise shes doing it, youre close to death, she says. Studies into what goes through a mothers mind at the time of the crime are rare. One, in which British researcher Julia Stroud interviewed dozens of perpetrators, didnt get much sense from them, says Brown. Most were incoherent. As Hawke said to a West Australian court: I dont know why I did what I did. I remember being all over the place and confused. What Stroud did find, says Brown, was that many of the women thought theyd told services they needed help. They believed they were expressing their fear that they would kill their children, but the services didnt hear that at all. Twin sisters Maya and Karla Dickason and their older sibling Liane. The girls were killed by their mother Lauren. Credit: Facebook Stroud also found that the women had been struggling with multiple stresses before the killing, and then something happened to tip them over the edge. It might have been quite minor, but it was major to them at the time. This was what Lauren Dickason, a doctor in New Zealand, told the court during her murder trial. She had been struggling with her mental health; shed even confided her homicidal thoughts to her husband. The whole family had just spent two weeks in COVID isolation after arriving from South Africa. One night, when her husband was out, something just triggered me. The children were being wild again, jumping on the couches, not listening to what Im trying to tell them. She received a request for more information about her daughters cleft palate. She didnt have the strength to make the childrens school lunches. She told the children they would make necklaces with cable ties. They put the ties around their necks and died by asphyxiation. Loading Burke says services should be better trained to identify red flags. Smith, who was not known to child protection teams within the Department of Communities and Justice, is the sort of person who needed a family agency stepping in every week to keep her afloat, she says. Our argument from our studies is that women do seek help but nobody appreciates the problems they have looking after their children. The staff in those services are not educated to appreciate that there are risks of filicides that they see, so they dont probe deeply into whats disturbing the person. Its too late for Russell and Ben, whose lives were allegedly ended by the woman who was supposed to keep them safe. Russell and Ben were happy, funny, outgoing boys, and were very much loved by their family and friends, said their father, who will mourn them forever. Support is available from the National Sexual Assault, Domestic Family Violence Counselling Service (1800RESPECT) on 1800 737 732. King Charles III has decided, or been warned, not to use the word walkabout during his five days in Australia next month. Its a start. Walkabout was his mothers preferred term for greeting bystanders during a 1970 tour, a time when Indigenous Australians had been citizens for three years. Walkabout is deeply offensive to some, not all, but it is unquestionably from another time. When the Kings father asked Aboriginal dancers if they still throw spears at each other in 2002, however unintended the offence, his words were still out of time, betraying how the institution and the individuals representing it had become museum pieces. The Queen on a walkabout in 2011 in Canberra with Governor-General Quentin Bryce and Prince Philip (behind). Credit: Andrew Ellinghausen Im not going to relitigate the republican case here. Twenty-five years ago, a referendum rejected the constitutional change to an Australian head of state. It was peak John Howard political craft. The then-prime minister, a committed monarchist, didnt devote his campaign to pro-monarchy arguments. Instead, monarchists united behind the slogan Say no to the politicians republic, successfully splitting republicans into those who wanted any republic, those who wanted a specific model, and those who reacted viscerally against the word politician. The gist of it was, If you dont know, vote no, which, in 1999, seemed like an effective but one-use-only appeal to the national rear-vision mirror. Australians also had more pressing concerns than fiddling around with symbolic constitutional change. They were doing it tough after prices on all goods and services had risen by 10 per cent. Changing the head of state was too much of a niche concern. Maybe it could be saved for later, after the cost-of-living crisis had passed. The Liberal senator criticised amid allegations against Bruce Lehrmann believes parliaments new workplace watchdog will not stop the weaponisation of parliament as crossbenchers attack its structure as too weak to enforce a change in behaviour. Both major parties agreed to create the Independent Parliamentary Standards Commission last week to investigate complaints against badly behaved politicians, staff and others in federal parliament, but its reach stops at the doors of the Senate and House of Representatives chambers. Senator Linda Reynolds in Parliament House last month. Credit: Alex Ellinghausen Debate in those chambers is legally protected from both lawsuits and the new watchdog to allow robust discussion of the issues facing the country. Liberal senator Linda Reynolds told this masthead she welcomed the commissions establishment and the protection of parliamentary privilege, but that it would not prevent political attacks. Clover Moore has claimed victory, securing an unprecedented sixth term as lord mayor of Sydney while hitting out at a weird and nasty election campaign, and saying her rivals had nothing substantial to offer. Moore had nine challengers in the lord mayoral race, including Labor, the Greens, the Liberals and independent Yvonne Weldon, but Moore was clearly ahead with about 37 per cent of first preferences. Clover Moore celebrates another term as Sydney lord mayor at her election party at the ArtHouse Hotel on Saturday night. Credit: Janie Barrett She said it was a more aggressive campaign than usual and she was underwhelmed by her opponents. I didnt think any of the other candidates had anything to offer, Moore said. They said we need a change and fresh ideas. I listened very carefully to hear what the fresh ideas were and there werent any. Irish writer Maggie OFarrell, 52, says that if she had to take a book to a desert island, it would be James Joyces Ulysses. Published in 1922, it was vilified but, above all, loved and extolled. It prompted speculation that the novel as a form might be dying. For Spanish philosopher Jose Ortega y Gasset, it indicated that the novel had reached its ceiling, that its traditional form had to be abandoned in order for the novel to grow. Joyces Ulysses is so impressive that even in its misreading it yields a new text, as Irish author Sally Rooney, 33, brilliantly explains in an article in the Paris Review. But what does this mean exactly? It means it opens out possibilities, paths, literally ways of being in the world, according to Rooney. In other words, it enlightens. Its publication marked Irish literature so profoundly that everything that mattered, from Flann OBrien to Samuel Beckett, at the start of the 20th century, passed through rupture, absurdity, and a deliciously disproportionate formal experiment, on the fringes of any kind of margin. Today, that mutable inner self that turned the stream of consciousness into a labyrinthian game has been transformed into a collective self. According to Luis Solano, OFarrells editor at Asteroide Books, who points out that OFarrell grew up in Scotland but must inevitably be touched by the Irish tradition, Irish literature today has more in common with the rest of the world than English or North American literature does, and that is what has given it its central role. Also the editor of Caroline ODonoghue from Cork, author of the bestseller The Rachel Factor, Solano stresses that this global relevance may account for the fact that the two favorite authors for the Booker this year were Irishmen Paul Murray and Paul Lynch. It is a literature of the inner self, which, although very much anchored in reality, reflects universal experiences, because its localism is not as invasive as American localism, which is more focused on the woke, or as the British, which is so isolated by Brexit. Whats new in them, however, has been a decade in the making. Because when the crisis erupted in 2013, Ireland suddenly occupied a new role, in literary terms. The creation of small Irish publishers, owned by editors who had returned to Ireland after being made redundant by English publishers, relaunched the countrys talent. No one had anything to lose. They were reckoning on what no one had reckoned on before. And what started as a way to make ends meet became a trend when Tracy Bohan, an agent at the Wylie literary agency, sold the first novel by Sally Rooney, then an unknown 26-year-old, to 12 countries. Rooney had published an essay that made Bohan think she had something. By then, she had already made her debut in the The Stinging Fly literary journal, along with Colin Barrett and other new Irish authors. The Stinging Fly was a launch pad for any young Irish author worth their salt, especially after Rooneys success. Although it has been going since 1998, it wasnt until it was made to look like a book in 2005 that it began to be seen as part of what could make a difference the go-to journal for publishers eager to find new voices. The truth is that in both oral tradition and literature, the Irish have always been recognized for being great storytellers, and brilliant at finding new ways to tell them. If we go back to the English canon from the 19th century to the present day, the great names are, for the most part, of Irish origin. Yeats, Wilde, Beckett, Joyce, Shaw, Flann OBrien. In fact, what would the English canon be without Irish authors? ask Albert Puigdueta and Roberta Gerhard, Rooneys editors in Spain where the authors latest novel, Intermezzo, is about to appear in book stores. Intermezzo is another experiment, borrowing from Joyce and Woolfs stream of consciousness device, according to editors Emilie Pine and Michael Magee, who add that both Joyce and Woolf are representative of the different paths that Irish literature has taken today. There is both a social portrait with authors like Magee, who are managing to talk about the Northern Irish conflict, a taboo until recently, says Puigdueta, and a generational portrait, which, in Rooneys case, is based on a classic English tradition inaugurated by Jane Austen. Austen spoke of the complexity of romantic relationships and social expectations in the 19th century, and flagged up the contradictions, vanities and defects of each of her characters. Rooney does exactly the same in her novels, but with the concerns and spirit of our time, adds Gerhard. The list of names that matter is endless: Claire Keegan, Audrey Magee, Donal Ryan, Jessica Andrews, Eimear McBride, 2018 Booker winner Anna Burns, Lisa McInerney, Tana French, Kevin Barry... and it grows by the minute. Did it do so before the 21st century, when few Irish authors aside from John Banville and Colm Toibin were globally recognized? One need only look at the number of names cited above for the answer. The form, in all cases, is important, not so much because of the tradition they come from but because of the battle each one fights in a highly competitive world in which distinguishing oneself is the only way to stave off instant oblivion. This is something that Irish literature has been good at from the beginning and, though it is now centered on the inner self, it remains forever unruly and new. And, it seems, better than ever. Social media is frequently awful, but sometimes you thank the gods for it. How else would we cope with the outlandishness of Donald Trump, who this week claimed that Haitians are eating the cats and dogs of Ohio? The claim, made during his presidential candidate debate against Vice President Kamala Harris, was a fresh serve of the inadvertent comedy Trump has been dishing up ever since he descended the golden elevator of Trump Tower into our collective consciousness. The first meeting of the two candidates got fiery quickly. Credit: AP He has recommended drinking bleach to stave off COVID. He looked directly at a solar eclipse. He once asked a seven-year-old who had contacted the North American Aerospace Defense Command to track the movements of Santa Claus if she still believed in the big red feller because at seven, its marginal, right? Oh, and he coined the term covfefe. Each one of these moments spawned a thousand internet memes, and Trumps debate claim about pet imperilment was no different. Trump made this bizarre assertion in response to, well, something that was completely unrelated, but it was part of an attack on the Biden/Harris administration for what they have done to our country by allowing these millions and millions of people to come into our country. Washington: Donald Trump has doubled down on his attacks against immigrants, vowing to, if elected, embark on a mass deportation program that would begin in Springfield, Ohio the Midwest city at the centre of debunked claims about pets being eaten by Haitians. Days after the former US president amplified the claims during the debate with Vice President Kamala Harris, a bomb threat forced the evacuation of Springfields city hall, schools and other buildings, prompting President Joe Biden to declare: This has to stop. Donald Trump in a press conference on Friday at his golf course in Rancho Palos Verdes, California. Credit: Bloomberg Pope Francis also entered the campaign fray when asked to provide counsel to American Catholics on how to vote in the November 5 election. Pressing on the two hot buttons for the campaign and the church migration and abortion Francis said both candidates were against life, be it the one who kicks out migrants, or be it the one who kills babies. Francis said migration was a right described in Scripture and that anyone who did not follow the Bibles call to welcome the stranger was committing a grave sin. US comedian Jerry Lewis never wanted anyone to see The Day the Clown Cried. At least not during his lifetime. He knew that what he had hoped would be a masterpiece had actually turned out to be a monumental disaster and a stain on his career. When he received the honorary Palme dOr at Cannes in 2013, he said in reference to the film, No one will ever see it because Im embarrassed at the poor work. It was all bad and it was bad because I lost the magic. Dubbed the King of Comedy, Lewis kept the only copy of the unreleased The Day the Clown Cried. The film tells the story of a clown imprisoned in Auschwitz for mocking Hitler and, like the pied piper, he leads the camps children to their deaths in the gas chambers. Last week, the Venice Film Festival screened the documentary From Darkness to Light, by Eric Friedler and Michael Lurie which, based on another documentary and an interview with the comedian himself, attempts to shed some light on a movie Lewis wanted good and buried. The backbone of From Darkness to Light is provided by Ferne Pearlsteins The Last Laugh (2016), in which Pearlstein interviews famous comedians about their profession. The heart, on the other hand, lies in the interview Lewis gave to Friedler months before he died in 2017 at age 91. It is an encounter in which the comedian, who was generally wary of the press, let his guard down and talked about the fiasco. Friedler and Lurie also had access to the original unreleased footage of the film which they incorporate into the documentary about 30 minutes of which had already appeared on the internet for a period in 2016. Archived in the U.S. Library of Congress, Lewis donated the materials under the condition it would not make them available until 2024. We didnt even think the movie existed, Martin Scorsese recounts onscreen. We thought it was a myth. One person who did see it was the comedian Harry Shearer, who said, I got access to it because someone had a video copy of the first montage and they passed it on to me for a few days. His opinion? Youd just think My God, wait a minute! Its not funny, and its not good, and somebodys trying too hard in the wrong direction to convey this strongly-held feeling. Jerry Lewis, on the set of 'The Day the Clown Cried.' To understand why Lewis decided to direct and star in a drama about the Holocaust, you have to go back to the mid-1960s, when he was considered a movie maestro in France by the most discerning critics who saw in his work a subtly ironic vision of the American way of life. After a performance at the Olympia theater in Paris, a Hungarian producer, Nathan Wachsberger, who owned the rights to a script written by Joan OBrien and Charles Denton, came to talk to him. No one else had dared to film that script about a washed-up clown called Helmut Doork who annoys the Nazi guards by entertaining the children of Auschwitz. About to be executed, he is saved when the Nazis suggest that he use his talents to lead the children to the gas chambers. Doork accepts and carries out his task without any acknowledgment of what he is doing. In the end, the children hang onto the clown and ask him, Where are we going, Helmut? and all together, singing and laughing, they enter a gas chamber. The doors close. Lewis took the plunge: he wanted to be a respected moviemaker, and this seemed like as good a chance as any. In early 1972, he lost almost 20 kilos, did pre-production in Paris and hired Harriet Andersson to play his wife. Meanwhile, French star Pierre Etaix would play the clown and German Anton Diffring would play the Nazi who made his life impossible. Halfway through filming in Stockholm, Wachsberger took off with some of the money and the cameras, but that didnt stop Lewis, who finished the film. When the shoot was over, he took the footage to Los Angeles. There, devastated by how bad it was, he refused to take the project any further, burying it instead. What went wrong? Scriptwriter Joan OBrien suggested that it was because she and Denton had written the story of an egotist seeking redemption, and Lewis had rewritten it, looking for his own version of Charlie Chaplins The Great Dictator. OBrien fought to regain the rights to her screenplay which is now once again available should another filmmaker be willing to take it on. What can be seen of The Day the Clown Cried in the documentary is boring, leaden and disjointed. In one sequence, the clown hooks his nose on the barbed wire. Next to him, another prisoner muses, When youre ruled by fear, laughter is the scariest sound in the world. What is heard, however, are the half-hearted sounds of the Jewish children gathered to watch Helmut on the other side of the fence. Those same children will be the ones who go with the clown in the end to their deaths. The camera used in the filming of 'The Day the Clown Cried.' Aimed at a family audience, Lewiss main shame appeared to lie in the fact that the project failed to properly handle such a delicate topic. Where was the comedy, if one is taking 65 children to the gas chamber? he told the German documentary Der Clown. One critic said that it was wrong to deal with the Holocaust instead of facing Nazism in a broader sense, like Mel Brooks The Producers. Another describes the film as ahead of its time: years later, Roberto Benigni did hit the right note in Life is Beautiful. Meanwhile, comedian Harry Shearer summed it up as so drastically wrong, its pathos and its comedy so wildly misplaced. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition In 1973, at 20 years old, Dora Maria Tellez quit medical school to join the armed struggle against the Anastasio Somoza dictatorship. Three years later, she was enrolled in guerilla combat and medic training in Cuba. Riding the wave of revolution in the late 1970s, Tellez rose to the top of the guerilla command structure, leading FSLN cadres into battles across the country. By 1978, she was known as Comandante Dos of the insurrectionist Terceristas the third way popular front forces and was one of three Sandinista commanders to lead the famous and pivotal assault on Nicaraguas National Palace, dubbed Operacion Chanchera, or Operation Pigsty. With Tellez and fellow guerilla commanders Eden Pastora and Hugo Torres leading the charge, a small cadre of Sandinista fighters disguised as members of the National Guard ambushed the Palace during a joint session of Congress, taking some 2,000 government officials hostage in hopes of leveraging the release of Sandinista prisoners. The daring attack marked a turning point in the revolution, exposing Somozas fragility and inspiring a popular uprising that toppled the dictatorship less than one year later. Dora Maria Tellez, at one of the barricades in 1979. FRI The plan seemed too simple to be sane, Gabriel Garcia Marquez wrote in a chronicle recounting the assault. So simple and insane, in fact, that it worked. And thanks in no small part to Tellez, who at just 22 years old led the negotiations with Somoza. The dictator caved, releasing 59 political prisoners and delivering $500,000 in cash to the rebels. In the months to come, Tellez would lead the Sandinista units that fought, block by block, to capture the city of Leon the first major urban center to fall to the revolutionary forces. Dora Maria Tellez was beautiful, shy, pensive, Garcia Marquez observed, with an intelligence and good judgement that would have served her in many great paths in life. The year before the assault on the National Palace, Dora Maria was stationed at the Carlos Fonseca Guerilla Front near Nicaraguas northern border with Honduras. There, she met a man who would haunt her for life a man with whom she would fight side by side for years, through revolution and bloody counterinsurgent war; a man with whom she would govern the country during the decade of Sandinista rule in the 1980s, when Tellez served as Minister of Health, overseeing programs that cut the countrys child mortality rate in half despite U.S. sanctions, destabilization, and war; a man whose campaigns for president she would support again and again, even after she split with the FSLN in 1995, and a man who, some 45 years later, would become her incarcerator, and Nicaraguas new dictator: Daniel Ortega Saavedra. In the mid 1990s, Tellez joined Nicaraguan writer Sergio Ramirez and other ex-FSLN guerillas in breaking from the party to form the dissident Sandinista Renovation Movement (MRS, now called Unamos). The MRS spent years organizing to dislodge Ortega, whom Tellez and many Nicaraguans had come to see as a pro-business megalomaniac who had betrayed the revolution for the sake of power and prestige. In 2018, a militant, student-led civil rebellion erupted across the country. Some forty years after the revolution, masked youth were in the streets once more, ripping up cobblestones and defending barricades against paramilitaries, soldiers, and police. But the repression came hard and swift, and this time, the rebels lost. It was the deadliest conflict the country had seen since the civil war, with more than 350 people killed, upwards of 2,000 injured, and thousands more imprisoned, disappeared, or exiled. In 2021, Tellez was one of dozens of Nicaraguan dissidents rounded up and sentenced to prison for crimes against the nation in sham trials ordered by Ortega and his wife, Rosario Murillo. After surviving 606 days of solitary confinement in the dungeons of El Chipote prison, in February 2023, Tellez was taken out of her cell, loaded onto a plane, and sent into exile in the United States along with 221 other political prisoners, including her partner. Dora Maria Tellez in Washington, United States, after being banished by the regime of Daniel Ortega and Rosario Murillo, on February 10, 2023. miguel andres Today, Dora Maria Tellez is a historian with an honorary doctorate from the Sorbonne and is currently a visiting researcher at Tulane University, where she studies Nicaraguan history and is writing her memoirs. Despite her current vocation, however, she says that she doesnt dwell too much on the past. At 68 years old, her spirit is firmly grounded in the present: Once again, we are trapped in a dictatorship, no different than the Somozas: a family dictatorship with dynastic ambitions This is a moment in which we must act for Nicaragua once more, she says in an interview with EL PAIS. Question. What has your life in exile been like since you were expelled from Nicaragua? Answer. Exile is always difficult. First of all, its not merely exile, its banishment, an uprooting, which is even worse, because youre uprooted from where you belong and prohibited from returning. Its a very difficult experience. You settle down, but one of the hardest parts of exile is that you inevitably refuse to settle down. And whats more, its not easy living in another country, in another culture, and in the case of the United States, in another language. We came here, all 222 of us, with only what we had on us, only our passports. Its a difficult experience, and I didnt have it as hard as most of the others. I had my family in Georgia, so that made the transition a little lighter. Ive been fortunate enough to have a job, to be able to somehow do what I want to do and have some stability, but its still been difficult, and most people have it much worse, with a lot of instability. Then there are all the consequences of prison. In my case, from the isolation, which undoubtedly took a toll, emotionally, psychologically, physically... and above all, from the fatigue; one experiences a very exacting accumulation of fatigue in prison, which gradually fades away as you fill in the gaps from living in isolation for so long. In other words, you have to catch up, and it comes at daily cost. A really high cost, actually. Q. The FSLNs supporters claim that the Ortega-Murillo government enjoys broad popular support, largely because it has succeeded in reducing poverty (though Nicaragua remains the second poorest country in the hemisphere). What is your response to this claim? A. If the regime had the popularity it claims to have, they wouldnt have been afraid of holding clean elections in 2021. Instead, Ortega threw all the pre-candidates in prison and eliminated all competing political parties. They were terrified of the opposition. If they had the majority support they claim they have, if they had the popularity they say they have, they wouldnt be afraid of holding clean elections, because they would win. But Daniel Ortega doesnt win elections, Daniel Ortega steals elections. Q. Are there still sources of resistance inside the country, despite the repression? A. The resistance is like a river: there are periods when its forced to flow underground, then moments when it emerges back to the surface with the full force of its current. Right now, were in a moment in Nicaragua when this river of resistance, which is always advancing but sometimes goes underground, is about to explode to the surface again, because theres no other way. The regime hasnt been able to reestablish its balance of forces, to reestablish its power base, and not only has it not been able to reestablish these, but now the very source of its power is eroding: the judicial system, public employees... Every week you have announcements of new purges, and on top of all this is the issue of Daniel Ortegas succession. We need to remember that this is a family dictatorship. Its not a dictatorship of the party, nor is it a military dictatorship, strictly speaking. Its a family dictatorship, which controls the apparatuses of power and relies on the judicial system, the police, public employees, the Sandinista Front itself, the armyall these institutions that are being weakened, that are in crisis. And the clearest sign of the crisis is the purges, which are revealing an internal crisis tied up with the growing power of Rosario Murillo. Q. Do Ortega and Murillo have any ideology, any political convictions? A. No. Daniel Ortega and Rosario Murillos only ideology is political power. They are there to hold on to power. And theyll do whatever it takes. I mean, they provided decisive support for the criminalization of therapeutic abortion in the National Assembly. They campaigned against the Central America Free Trade Agreement with the United States, but when Ortega came to power, he swallowed the free trade agreement like it was nothing. Theyll do one thing and then another contradictory thing in the same 24 hours, no problem. Whatever it takes to stay in power. Thats their ideology. Theyre not right wing or left wing or centrists or anything else. Theyre Ortegistas, plain and simple. Their ideology is to keep the Ortega-Murillo family in power, whatever the cost. Q. How would you describe the nuances of the oppositions political landscape? A. There are different oppositions within the opposition. There are sectors that maintain that we cant rely on elections with Ortega and Murillo in power, that we shouldnt even think about elections and that first we need to focus on removing them from power, but they dont explain how. There are others who say that yes, we have to work toward clean, free, fair, competitive elections, and furthermore, that the opposition has to prepare for that. There are those who are focused on building right wing power, or a center right or extreme right project. There are those who think that national unity is paramount, that we need a project that represents all the political currents in Nicaragua. This is a moment in which the opposition is looking for a path forward. I believe that we need to confront our adversary on the terrain that exists. Not in the hypothetical terrain that I want to exist. Q. Have the U.S. sanctions had any impact? A. If they didnt have an impact the regime wouldnt complain about them. They accused me, during my trial, of supporting sanctions against the State of Nicaragua. But I didnt ask for sanctions against the State of Nicaragua. I asked for sanctions against the Ortega-Murillo family. And as far as I know, the State of Nicaragua is a republic, not a monarchy. If it were a monarchy and Daniel Ortega were the king, and I asked for sanctions against Daniel Ortega, that would be asking for sanctions against the state. The sanctions cause them damage, and that damage is economic. Q. Is there anything the anti-Ortega movement can learn from the Sandinista revolution and its aftermath? A. We should always learn from our history. Studying history is like looking into a rear-view mirror: you have to drive looking forward, but you also have to keep checking behind you. The first lesson is that this is a moment in which armed struggle is not a valid option. It has to be a civil struggle. And secondly, this is a moment to remember that we need to continue pursuing a democratic vocation, not only on the part of the opposition leadership, but in general, among all Nicaraguans. We have an authoritarian and intolerant culture that still weighs on the opposition movement. Another lesson of the revolution is that the youth have decisive contributions to make to Nicaraguan society. I believe that part of the issue of confronting the dictatorship has to do with achieving the unity of the Nicaraguan people. You cant divide everything up into pieces these parts yes, these no, those no, I like these, I dislike these because the regime is the only one that wins in those conditions. Q. What future, or what possible futures, do you think await Central America? A. Central America faces an enormous challenge right now. We are seeing a process of remilitarization across the region. There is a lot of money flowing to the military. The police are getting a lot of money. And all for purposes of social control, not for purposes of security. And this goes hand in hand with the clear authoritarian tendency that is plaguing Central America, with the exception of Guatemala. These are no longer military dictatorships. They are civil regimes in alliance with the military. And they use the gangs as their as alibis. Thats the truth. The gangs are the pretext for establishing authoritarian regimes. But you can confront gangs with a democratic government. You dont need a dictatorship, an authoritarian regime, or militarism to confront gangs. Q. In April, Nicaragua filed a lawsuit before the International Court of Justice accusing Germany of facilitating the genocide of Palestinians in Gaza. How did you feel when you heard the news of Nicaraguas case before the ICJ? A. Nicaraguas petition to the Court regarding the slaughter in Gaza is a stunt on behalf of the Russians. Its a strategic move on the part of the regime. Its not a sincere act of solidarity with the Palestinian people. Its a maneuver typical of the Ortega-Murillo regime, which just a few days ago was congratulating the Biden administration on its approach to the situation in Palestine. This is the kind of game they always play. Really, the Ortega-Murillo family should be brought before the International Criminal Court for committing crimes against humanity, which have been thoroughly documented. They arent defending the Palestinian people. They are guilty of genocide themselves, and want to hide behind the Palestinian people to pay political favors to Putin. They dont care at all about what happens to other people, they only care about what they need to do to stay in power, and to stay in power, they need an alliance with Putin. Q. What do you remember most about the years of revolution? A. Well, I have a lot of memories. Im writing my memoirs, so obviously I have to analyze those years, review my life in those years, take stock as well. But remembering is not exactly the vocation I dedicated myself to. I feel like this is a moment in which we must act for Nicaragua once more, with all the lessons learned over the years, with the experience one accumulates, and with an awareness of the changes in the political circumstances and what the country requires now, in the first quarter of the 21st century, forty-five years after the triumph of the Sandinista Revolution in the last quarter of the 20th century. That is, understanding what the Nicaraguan people expect, what the Nicaraguan people want, what the Nicaraguan people aspire to, what Nicaragua should be those are fundamental things, and now, once again, we are trapped in a dictatorship, no different than the Somozas: a family dictatorship with dynastic ambitions, period. So, I see myself as taking action to contribute to facing the challenges that Nicaragua has now. Best in State Ambhoras cable-stayed bridgegets RECOGNITION from PWD Staff Reporter : NAGPUR district is celebrating a proud moment as the 700-meterlong cable-stayed bridge with a sky gallery at the top, located in Ambhora, Kuhi tehsil, has been selected by the Public Works Departament (PWD) as the best bridge in Maharashtra for its innovative construction. The bridge is located approximately 70 km from Nagpur city. Construction of the cable-stayed bridge began in 2019, following a Rs 143 crore contract awarded by the State PublicWorks Department, and was completed in 2024. The bridge was opened for traffic in January 2024. According to PWD officials, the Ambhora bridge was chosen as the best bridge, while the Headquarters of the State Excise Department at Boribandar was recognised as the best building, and Ashtavinayak Parikrama Marg in Pune has been honoured as the best road. The Government Resolution in this regard was issued by the State Government on Thursday. The Ambhora bridge, noted for its innovative design, is the longest purely cable-stayed bridge in India which stretches 700 meters in length and 15.26 meters in width. The bridge is supported by five pylons constructed in the Wainganga River, with the central pylon reaching a height of 40 meters. A viewing gallery at the top of the central pylon has been developed to enhance tourism. From the viewing gallery, visitors can enjoy scenic views of the backwaters across the riverbed, which is more than 50 feet deep. Visitors can access the gallery via two capsule-sized lifts or a staircase. The gallery features a carpet area of 325 square meters and is covered with super-thick glass. The bridge also includes footpaths on both sides and two lanes, each 7.5 meters wide, allowing simultaneous travel for four fourwheelers. The bridge has boosted tourism at the Shree Chaitanyeshwar Mahadev Temple in Ambhora and has spurred development in the backward areas of Kuhi and Umred tehsils. The State Government is also working to introduce watersports near the bridge in the backwaters of the Gosikhurd project. Certificate course on Organic Farmingand Certification concluded at RCONF Business Reporter : THE Regional Centre for Organic and Natural Farming (RCONF),Gondkhairi,Nagpur, Government of India, Ministry of Agriculture and Farmers Welfare conducted a 21 days certificate course on Organic Farming and Certification recently at its centre. The Chairman of the programme, Omprakash Jajodia, President, Agro and Ruler Development Forum VIA, Nagpur was the guest of honour wheras Sudhir Dive, ExecutiveMemberAgroVision, Nagpurwas chief guest andDr A K Bohria, Joint Director Central Integrated Pest Management Centre (CIPMC) was present as special guest. Total 30 candidates participated in this workshop. Dr Ajay Singh Rajput, Regional Director, RCONF, Nagpur said, Agriculture is incomplete without cows and bulls. The desi cow urine is the best organic fertilizer and Indigenous Technical Knowledge (ITK) is secrete of agriculture that should be utilised by the farmers. Intheworkshop,Omprakash Jajodiaappealedtoprotectthe soilfrompoisons.DrAKBohria explainedthe scienceof soilto the farmers and also told the formula to protect it from toxins and make it healthy. The workshop was supported by all officials of RCONF including Dr Sarita Kumari Yadav, Dr Praveen Vootla, S K Bakshi, Ramesh Chand and Shivkumar Patel, while Dr PraveenVootlaproposedavote of thanks. GSI to explore URANIUM DEPOSITS in Gondia, Cgarh Staff Reporter : GEOLOGICAL Survey of India (GSI) has zeroed in on Gondia and neighbouring Chhattisgarh State for exploring possibility of harvesting the uranium deposits in the area. Preliminary study hinted at availability of the uranium that is quite crucial in meeting the energy requirements of the country. Navjit Singh Nayar, Director, GSI, stated that preliminary survey has hinted at presence of uranium in the Bijli Rhyolite strata on the Maharashtra-Chhattisgarh border and hence further detailed survey would be needed to confirm the earlier findings. Uranium is quite rare material as in case the deposits are found, same would need further test post exploration to find out its strength and purity and then only its further usage could be discussed. GSIs finding was discussed at the 60th meeting of Maharashtra State G e o l o g i c a l Programme Board (SGPB). It was held at GSIs headquarters in city. Iqbal Chahal, Additional Chief Secretary, Department of Mining, Maharashtra, chaired the meet. The meet of agencies working in exploration of earth materials was to review the exploration work conducted in Maharashtra during the Field Season 2023-24 by Department of Geology and Mining. Speaking at the meeting, Chahal asked the top bosses of all the agencies to increase the pace of exploration of critical mineral as same can be game changer for the economy. There are deep seated minerals and their proper survey and documentation is needed to help finalise the policy of mining and geological exploration. Further Chahal asked the agencies to tap Artificial Intelligence (AI) technology to achieve the exploration targets. Bijli Rhyolite is a volcanic rock and it is made up of quartz, sanidine and plagioclase and other minerals and hence further detail exploration is needed to confirm the presence of uranium, said Shrikant Manthanwar, Regional Director, AMD. The meeting was also informed that in Nagpur District Kyanite- Sillimanite deposits have been found while at Chandrapur and Yavatmal districts Limestone presence is detected. Also in Sindhudurg district, bauxite deposits have been found in the survey. The meet reviewed the findings of 12 geological projects for the year 2023-24 and also discussed exploration plan for 2024-25 was finalised, and Anjali Nagarkar, Director, Directorate of Geology and Mining, talked about taking 15 surveys for the Field Season 2024-25 that would be taken-up in districts of Nagpur, Kolhapur, Bhandara and Chandrapur. The survey during 2023-24 was taken-up by GSI, Directorate of Geology and Mining, AMD, Central Mine Planning and Design Institute Limited (CMPDI), Mineral Exploration and Consultany Limited (MECL), and other agencies. GSI officials informed that they would be conducting survey for copper, bauxite and other rare earth element during the forthcoming year. Pradip Kulkarni, MECL, stated that mineral exploration is on at Mirgaon block of Bhandara district while Shubham Anjanakar, MOIL, briefed about their ongoing work in Chikhli, Dongri Bazar, Kandri and Beldongri Satak areas of Bhandara and Nagpur District. Pravin Gupte, Chief Scientist, Jawaharlal Nehru Aluminum Research and Development and Design Centre, proposed tie-up with Directorate of Geology and Mining for including in forthcoming mineral auctions. Ajay Deshpande, Associate Scientist, Maharashtra State Remote Sensing Application Centre, informed about mapping of WCL mines and damage assessment of Kharif and Rabi corps through satellite imagery for Nagpur District administration. Om Dutt, WCL, and R Karthikeyan, CMPDI,WCL officials said this year they are targeting excavation in 1,73,824.70 meter area in 40 minutes as against 68,830.10 meter during the last year. Shriram Kadu, Directorate of Geology and Mining, and S P Awale, Deputy Director, was also present. Rao said that there is need for better co-ordination among different agencies to increase the revenue. He referred to reports of Bauxite with JNRDDC and stressed on organising AI training so that all others can be acquainted with the same. Bibhas Sen, Deputy Director General, GSI, RamThapar, IBM, Om Datta Bijani, Sr Manager, CMPDI, R Kartikeyan, Manager, CMDPI, Vandit Vyas, WCL, Shriram Kadu, S P Awale, Roshan Meshram, Senior Geologists, and others were also present at the meeting. VIDARBH S POWER WOES : X High energy tariff a HEADACHE for healthcare sector By Vikas Vaidya : Sector experts point out that the difference between average monthly electricity bill for a corporate hospital in Maharashtra and adjoining States of Telangana and Andhra Pradesh is as high as 60%. MAY it be hospitality sector or healthcare sector, a common problem haunting the both is high electricity tariff. While the hospitality sector is stuck between boom and high tariff burden, the healthcare sector is struggling to strike a balance between rising energy consumption and high tariff raising the expenditure bill. Though the city of Nagpur in particular, and Vidarbha region in general, are emerging as medical tourism hubs, high power tariff is one of the factors contributing to rising operating costs for healthcare units. Nagpur city alone has between 480 and 500 big and small hospitals registered with the Government agencies. In the last 10 years, chains of corporate hospitals have found immense potential for growth in Nagpur. The corporates have either acquired the existing hospitals or come up with their own units. KIMS has taken over Kingsway Hospital, and Max Healthcare has bought Alexis hospital. Other major healthcare units -- Wockhardt, CARE, Meditrina, Neuron, Nelson, New Era -- are operational for a considerable period of time. In recent times, Midas hospital has expanded itself. Colors hospital, too, has come up. Besides, 12/15 medium-sized hospitals are waiting for buyers. But, the rising cost of electricity is making the operations of hospitals expensive as they have to maintain energy-intensive instruments and equipment. Ultimately, this cost is passed on to citizens, making the healthcare costlier for the common man, who every politician of every political party claims to care about. When The Hitavada spoke to a few leaders from the healthcare sector, the picture that emerged was not very promising for growth. According to Dr Anup Marar, President of Vidarbha Hospitals Association ( VHA), In Maharashtra, we have base electricity tariff of Rs 10.50/- per unit. After addition of other charges, the landed cost of power works out to be over Rs 15/unit. InTelangana and Andhra Pradesh, the landed cost of power is just over Rs 9.5/unit. The difference between tariff in Maharashtra and these two States comes to Rs 6/unit. In total operations cost, this difference does matter. If the average electricity bill for a corporate hospital in Nagpur is Rs 60 lakh per month, then for those many number of electricity units consumed, the same hospital pays Rs 36 lakh in Telangana and Andhra Pradesh or even in Chhattisgarh, he elaborated with an example. This difference is almost of 60 per cent! Earlier, Dr Marar added, Maharashtra Government was providing subsidy with a particular formula, but the same stands withdrawn now. Dr Tushar Gawad, Unit Head KIMS-Kingsway Hospitals, pointed out that there was a steady rise of 5 per cent in energy tariff every year. Since 2020-21, the tariff has been rising, except in 2021-22 due to aftermath of COVID-19 pandemic. Rough calculations reveal that the tariff has increased by 15 per cent over the last four years. Now, our average monthly electricity bill amounts to Rs 60-65 lakh which means we pay over Rs 7.8 crore annually on this account. All the hospitals are buying electricity at commercial rate. In Maharashtra, the landed power cost is 15.50/unit. If we compare these rates with those of Telangana and Andhra Pradesh, the difference is considerable. In both these States, the landed cost of electricity is Rs 10/unit while in Maharashtra we are paying much more. We have no other alternative but to go for solar energy. Hence, we have started drawing solar power through open access, which has resulted into 20 per cent reduction in our power cost, he said. Electricity bills witnessed increase by 7.25 per cent in the previous year. Now, there is an additional 7.50 per cent hike this year, plus fixed charges have increased by 10 per cent annually. The rise in tariff will directly affect the consumers, who will have to bear the cost, said Dr Marar. VHA keeps raising this issue regularly before the officials concerned.We have always requested the authorities to look personally into this aspect. We feel that India is providing only 1.3 per cent of its Gross Domestic Product for healthcare. If Government expects private healthcare industry to join hands with it in steering healthcare sector to a newer height, our grievances also should be paid heed to. How could the Government expect us to cooperate, if electricity charges are rising consistently? As an association, we will meet the authority concerned on the issue of energy tariff to press for its reduction, he stressed. Delay in reimbursement of expenses out of free treatment to beneficiaries of various Government schemes just adds to the difficulties of the private hospitals, which share 80 per cent of healthcare burden, he added. The radiology units face another issue. They are not able to save energy because CT Scan, X-ray, MRI Ultra Sound machines consume a lot of energy. Dr Raju Khandelwal, Managing Director of Advanced Imaging Point, Ramdaspeth, said, Till last year, we were paying average electricity bill upto Rs 2.5 lakh per month. It has increased to Rs 3 lakh now. We have to keep cooling unit and chiller on for 24 hours. It is very difficult to achieve viability in running a radiology unit. Under various healthcare schemes, Government asks us to charge less for services provided. How can we survive if we dont get any subsidy, any facility, any relief from operating expenses? Now, the Government has levied electric duty also on us. We suffer a lot, but who cares... The grief of rising operational expenses is shared across the healthcare sector, and the ultimate bearer of all these expenses is the proverbial common man. Besides, high electricity tariff is not proving to be conducive to growth of power-generating but industrially backward and commercially opportunitydeprived region like Vidarbha. By now, Nagpur could have established itself as the medical tourism centre of the country. This has not happened. Air connectivity is an important part but the hospital cost plays a significant role as far as medical tourism is concerned. If steps are not taken in time, continued rise in energy tariff will surely dampen the prospects of the city from becoming medical tourism hub, Dr Gawad concluded. Based upon the growth of healthcare sector, several direct and indirect employment opportunities have emerged on the scene -- ambulances, equipment, medical supplies, medicine shops, care-givers, food suppliers, fruit vendors, affordable stays, other transport, so on and so forth. Buoyed with this, some leaders in Nagpur have started weaving dreams about turning Nagpur into a major med-tech (medical technology) hub, involving manufacture of equipment. But, the dream merchants are probably ignoring the basic trouble with all the plans -- high power tariff is affecting the prospects of the city as well as entire Vidarbha region. Dreams are realised only when efforts are made to realise those. (To be continued) Kejriwal gets bail, walks out of Tihar NEW DELHI : THE Supreme Court on Friday granted bail to Delhi Chief Minister Arvind Kejriwal in the corruption case lodged by the CBI in connection with the Excise Policy scam, saying prolonged incarceration amounts to unjust deprivation of liberty. A bench of Justices Surya Kant and Ujjal Bhuyan granted the relief to Kejriwal on furnishing a bail bond of Rs 10 lakh, and two sureties of like amount. Kejriwal, who was arrested by the ED in the Excise Policy case on March 21, was granted interim bail on May 10 for campaigning in the Lok Sabha polls and has been in jail since June 2 when he surrendered. he top court directed Kejriwal not to make any public comment on the merits of the case and added that terms and conditions as imposed in the ED case would also be applicable here. The top court, while granting him bail in the ED case, had said that Kejriwal cannot visit his office or the Delhi Secretariat and not sign any official file unless absolutely necessary to obtain the Lieutenant Governors sanction. It said completion of trial was unlikely to occur in the immediate future and rejected the apprehension of tampering by Kejriwal. In his first remarks after being released from the Tihar Jail, Kejriwal said he will continue to fight anti-national forces working to weaken the nation, and asserted the incarceration has only strengthened his resolve. Hours after the Supreme Courtgrantedhimbailinthe Delhi Excise Policy case, Kejriwal stepped out of the jailtoa resounding welcome byhispartyleadersandsupporters. Addressing party workers from the sunroof of a vehicle, Kejriwal raised slogans of Inquilab Zindabad and Vande Mataram. I want to thank people who prayed for my release. Youhavebravedraintocome here and I am thankful to you. Every drop of my blood is dedicated inthe service of my nation. In my entire life, I have faced difficulties but theGodhasalwaysbeenwith me, he said. Kejriwal stressed that the jail time has strengthened his resolve. They put me in jail to breakmebutmy resolve has only grown stronger. Jails cannot break me. I will continue my fight against antinational forces, he said. Hundreds of Aam Aadmi Party(AAP)workersandsenior party leaders, including Punjab Chief Minister BhagwantMannand former Delhi deputy chief minister Manish Sisodia, were waitingoutsidetheprisontowelcome Kejriwal. SC again compares CBI to caged parrot NEW DELHI, OBSERVING that Arvind Kejriwals arrest by the CBI in the Excise policy case was unjustified, Supreme Court judge Justice Ujjal Bhuyan on Friday slammed the agency, and said it must dispel the notion of being a caged parrot. A bench of justices Surya Kant and Ujjal Bhuyan granted him bail in the case. Writing a separate concurring judgement granting bail to the AAP supremo, Justice Bhuyan questioned the timing of Kejriwals arrest by the CBI and said its aim was to frustrate the grant of bail to him in the Enforcement Directorate case. ind any illegality in the CBI arrest.Justice Bhuyan wrote in his judgmentthattheCBI is a premier investigating agency and it must not only be above board, but also be seen to be so. Every effort mustbemadetoremoveany perceptionthatinvestigation wasnotcarriedoutfairlyand that the arrest was made in a high-handed and biased manner, he said. Inafunctionaldemocracygovernedbytheruleoflaw, perception matters. Like Caesars wife, an investigating agency must be above board. Not long ago, this court has castigated the CBI comparing itto a caged parrot. It is imperative that CBI dispels thenotionofitbeing a caged parrot. Rather, the perceptionshouldbe thatof an uncaged parrot, he said. Noting that CBI case was registered on August 17, 2022,JusticeBhuyansaidtill the arrest of the Kejriwal by the ED on March 21,theCBI did not feel the necessity to arrest Kejrwal though it had interrogated him about a yearback--onApril16,2023. It is evident that CBI did notfeelthe need and necessity to arrest the appellant fromAugust17,2022tillJune 26, 2024 i.E. For over 22 months. It was only afterthe Special Judge granted regularbailtotheappellantinthe EDcasethattheCBIactivated its machinery and took the appellantintocustody.Such action on the part of theCBI raises a serious question mark on the timing of the arrest; rather on the arrest itself. For 22 months, CBI doesnotarresttheappellant but afterthe learned Special Judge grants regular bail to the appellantintheEDcase, CBI seeks his custody, Justice Bhuyan said. Muslim leaders express support; urge to trust Govts intentions Waqf (Amendment) Bill NEW DELHI ; AMID the ongoing row over the Waqf (Amendment) Bill, a group of Muslim Social Workers and IslamicScholarsduringthemeeting in Delhi expressed their support to the Government, emphasising that doubting the Governments intentions is not appropriate. Talking to ANI, Islamic Scholar MuftiWajahatQasmi saidthat the meeting was called to remove the confusion created by some political parties against the Government, saying that the land ofMuslimswillbe snatched away. Themeetingwascalledregarding the amendment of the Waqf (Amendment) Bill. We called the meeting to remove the confusion created by some political parties against the Government, saying that the land of Muslims will be snatched away. The meeting was conducted peacefully. We are standingwiththeGovernmentand we should not doubt the intentions of the Government. The Government is thinking for the needyandpoorMuslims.Withthis bill, Waqf will flourish, Muslims will flourish and so will the country, he said. While addressing the meeting,Qasmialsourgedthepeople to read the bill and then present their views in front of the JPC. Everyone has their opinions and it can be different. Theres no issue. Butweshoulddistanceourselves from useless slogans and protests. In the last 10 years, we have protested against the governmentallthebillsintroducedby the Government without reading them. I just want to say that you mustreadthebillandpresentyour views infrontofJPC.Readthebill, and share your views, he said. Another Social activist MohammadTahirIsmail toldANI thateveryoneshouldexpresstheir grievances to the Joint Parliamentary Committee (JPC) and should doubt the Governments intentions. I think that with this bill, people who are being benefitted by illegally occupying the land of the Waqf Board will be stopped. Everyone should express theirgrievances to theJPC andshoulddoubtthegovernments intentions, he said. POLITICAL DRAMA ! WEST Bengal Chief Minister Ms. Mamata Banerjee is trying to hoodwink the nation by offering to resign -- by so-called respecting popular wish. It is naive to think that a woman of her method and manner would make a genuine offer to step down. If she were a woman of honest means, she would have stepped aside long back when the whole nation agonised over the details of the terrible violation of human rights in her State during her regime of the past 15 years. But Ms. Mamata Banerjee is not a person of that fine kind or of fine values. Much to the contrary, she is only trying to make unsuspecting common people believe that she is a genuine person well above politics. Much to the contrary, there is ground to believe that she is playing only a political drama (which actually means nothing on the ground). Outwardly, the trigger was the refusal of Resident Doctors in West Bengal to join her in talks in an attempt to resolve the current crisis. The pictures of the event show a pathetic empty-theatre with rows of unoccupied white chairs -- with the Chief Minister waiting for the Resident Doctors for two hours, her shoulders drooping, her face ashen ! Her offer to step down came in response to that empty treatment the Resident Doctors gave the Chief Minister ! -- a fact that nobody would ever want to deny. This political drama -- of offering to resign -- is Ms. Mamata Banerjees latest attempt to score a point over her opponents of all kinds. She is now trying to project herself as a coy and helpless woman in distress. There is no need for the common people to get hoodwinked by such tactics -- especially from a woman as nastily and completely political as Ms. Mamata Banerjee. They must realise that a woman who can go to extent of allowing her goon-cadres to indulge in mass violence to intimidate common people would never want to step down -- pompously respecting peoples wish. People should be able to see through the smoke-screen of emotion which Ms. Banerjee has tried to build. She is not in the least a damsel in distress. Much to the contrary, she is a woman completely in charge of whatever she wishes to do to retain her power. That is the reason why the nation has been asking for her sack -- naturally through the tool of Presidents Rule. Unfortunately, the Centre does not see that as an option (for god-knows why). And the Centres dilly-dallying on the subject now stands buttressed by a resolution at the recent meeting of the topmost body of the Rashtriya Swayamsevak Sangh (RSS) in Kerala stating, in effect, that unseating a popularly elected State Government is not the right thing to do for the Government at the Centre. Obviously, the RSS and the Bharatiya Janata Party (BJP) have other ideas that are yet to be disclosed to the people. No matter that political route the Centre may or may not take, the common people across the country have come to get totally disgusted with the happenings in West Bengal over the past few years -- and therefore seek a salvation from the political logjam. At least for now, there appears no way out of -- unless and until the judiciary initiates certain decisive action and issues directions to the Central Government to act tough -- beyond politics. Beyond politics ! Yes, that is the term to be considered at this stage. For, West Bengals problem is well beyond politics. It is in realm of a rotting social order in which there is no semblance of sanity and sanctity of human civility. This is one ugly reality of India of today -- manifested so dirtily in West Bengal over the past many years. A communal song, unfurled in a round, echoes throughout the forest. It could be mistaken for the chirping of birds, but instead, it emanates from the mouths of several members of the Murui Buue people, dancing around the trunk of a tree. Amid its roots, six-year-old Desire digs in the earth, as if looking for something submerged in the depths of mud and memory. Zoila Ochoa, the leader of Centro Arenal, a community located an hour from Iquitos on the Amazon river, is present in this circle, wearing a crown of blue macaw feathers. She raises her arms to the sky and sings in her Indigenous tongue, in which her name is Nofik Komek (Heart of stone). Desire's name is Buinano (Mermaid) and a little girl who leaps about nearby is Safia (Flower). Safia is the only person from this community who has been entered into the National Registry of Identification and Civil Status (RENIEC) under her Indigenous name. The rest, more than 40 individuals, have one name in Spanish and another in Murui Buue, a language that still lacks the recognition that has been granted to 48 Indigenous tongues spoken throughout Peru. The Ministry of Culture does recognize the Murui Muinani. But Ochoa says that their language is not the same as the one that, since 2000, she has been fighting to preserve. Her struggle takes place in the Murui Buue Centro Arenal Amazon River Autonomous School, which is currently located in her home, a charming wood cabin where various colorful posters hang, representing objects, plants, animals and fruits. One of these says Oogodo, which means banana. Another bears a drawing of a condor and says Urujaino, and yet another portrays a tree and says Amena. With didactic methods like these, members of the community are preserving their words, their worldview, their jungle lifestyle. They are also preserving the jungle itself, planting trees and medicinal plants. The Murui Buue, according to testimonies gathered by anthropologist Carlos Quispe, arrived at this part of the Amazon at the beginning of the 20th century, amid rubber fever. Julio Cesar Arana, a man who committed genocide in order to expand his shiringa (latex from the rubber tree, also known as Hevea brasiliensis) plantations, forcefully relocated the community from the Putumayo river basin. Young girls and women listen to the communitys wise man, Santiago Perez, tell stories of their people beneath the stars in Centro Arenal. SEBASTIAN CASTANEDA On a recent night around a fire, wiseman Santiago Perez Flores tells the story of an Indigenous girl who came across a baby boa. Afterwards, Ochoa speaks of how her father arrived walking, during the war with Colombia, a jungle border conflict between the country and Peru in 1932. He knew that some members of their community were already living here. To this day, the memory of rubber fever is tormentous for the Murui Buue. By the time its cursed era came to a close, it was 1912 and since the British had experience growing shiringa in Southeast Asia, many had established themselves permanently in these lands, which owe their name to the sand found throughout the forest. Fighting displacement In 1975, during the government of General Juan Velasco Alvarado, Centro Arenal managed to be officially recognized as a Native community. But then, other problems arose. As Peru moved from rubber fever to petroleum boom, as Quispe explains, migrants from other places arrived, attracted by the work available with oil and gas companies. Zoila Ochoa Garay prepares for a traditional dance at the ancestral long house. SEBASTIAN CASTANEDA In 2000, non-Indigenous inhabitants presented demands for the territory to be re-distributed (parts were eventually sold by its new owners.) According to those who made the complaint, there were no longer Indigenous people living on these lands. It was then that my uncle Arturo Garay called an assembly to protest, says Quispe. Garay, at the time the last local speaker of Murui Buue, drove efforts to preserve the communitys dances, customs, songs and language itself. Without his drive, today there would be no traditional dress (white with black geometric figures), no Indigenous names, nor traditional forest management. That same year, he began to teach their language through songs, dance and stories. In 2004, the dance group Tuhuayo was founded, the troupe that performed in the forest while Buinano turned over the earth. In 2010, lessons were moved to Ochoas home, where in addition to the posters, there are folders, a blackboard and publications that explore Indigenous cultures. Students sing Perus national anthem in the Murui Buue language at the Murui Buue Autonomous School, founded by Zoila Ochoa. SEBASTIAN CASTANEDA Garay died in 2018, but by that point, Ochoa had already taken over his duties. She also founded the Seed Womens Association, one of whose projects has been to sow plants across 1.7 of the 1,900 hectares that belong to the community. There, they plant forest species, medicinal plants and fruits like the umari (Poraqueiba sericea), which they call Nekana. Another of these plants is the sacha ajo (Mansoa alliacea), a medicinal species that was even used for pain management during the pandemic. At the edge of road, there is a cedar sapling (Cedrela odorate), a tree that can take decades to grow. Ochoa gazes towards the forest, towards the future of her people, as her grandchildren scamper about nearby. Caring for the forest This is a crucial matter. At least, according to studies by Conservation International, an organization that bestowed upon Ochoa a grant from the Indigenous Women of the Amazon Program in 2022. The money went towards reinforcing her work in the community, in its forests, wetlands and mangroves, the latter constituting gigantic reserves, thanks to their enormous capacity to absorb carbon dioxide. Grilled fish wrapped in leaves of the 'Parangua Panga' tree. SEBASTIAN CASTANEDA If more wooded areas in the Centro Arenal territory are allowed to deteriorate, a point of irrecoverable carbon will arrive, i.e., a loss of carbon that will take an extended amount of time to reverse, which will make the fight against climate change all the more difficult. And thats even in this corner of the Earth, where biodiversity still reigns. A toucan (called nokaido in Murui Buue) poses on a tree near the kitchen of Ochoas home, as if to prove this last point. Meanwhile, she and her family cook black prochilodus fish (Prochilodus nigricans), wrapping them in leaves from a palm tree known locally as paragua panga, which the leader harvests in the forest with a hatchet. To drink, there is chauana, a traditional beverage prepared with essence of yucca starch and the extract of fruits like the aguaje (Mauritia flexuosa), known in the Amazon as the tree of life. There is a huge pot whose liquid men and women from the Autonomous School take turns stirring with a wooden ladle. Indigenous culture is alive here and the forests live on, but new threats loom, similar to those that struck this ecosystem and its communities in the past. The Murui Buue have an Alert System for Environmental and Territorial Crimes (SAAT) that was established to contain attempts to invade their lands. As part of these efforts, they employ a drone. Zoila Ochoa cares for plants in her greenhouse with Desire, in the Centro Arenal community. SEBASTIAN CASTANEDA The struggle continues Ochoa recalls how recently, a group of settlers attempted to establish themselves on land that belongs to the community. Her son Arthur Cruz Ochoa, a local leader whose name is Monilla Amena (Tree of abundance), brought a group of Murui Buue to confront the invaders. His mother accompanied them. She says, there was shouting and nearly a fight. Nearby, a freeway is being constructed to connect Iquitos with El Estrecho, located on the Colombian border. It cuts across part of the communitys lands. If it is built, it will only bring those who look to do business, she says, as if imagining a future full of stores rather than forests. The government is required to consult with them, but so far, that has not happened. Ultimately, this is a community that resists and, according to a preliminary study by the School of Anthropology of the University of the Peruvian Amazon (UNAP), led by Juan Pablo Moncada, it is in a process of cultural revitalization. But perhaps the best proof of this lies in the massive smiles that can be seen on the faces of Safia, Buinano and Jai Nao Anedu Moto (Root of this Earth) as they dance. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Priya received over Rs 5 lakhfrom a man from Sangli: Police Priya Bagde-Gluck murder case Staff Reporter : THE investigation into the murder of 25-year-old Priya Bagde alias Gluck took a new twist on Friday as the police uncovered a connection to Sangli. A 55- year-old man from Sangli, who is the husband of a Woman Police Head Constable, had been in contact with Priya for the past year. A senior police officer privy to the investigation informed that Priya was in constant touch with the man and had received over Rs five lakh from him. The reason behind the mans financial support to Priya is part of the ongoing investigation, said the official. Call Details Reports (CDR) revealed that Priya was in regular contact with the Sangli man, making two to three calls to him daily. The man had also visited Nagpur several times in the past, according to the official who questioned him. However, the man declined to clarify why he gave money to Priya, according to officials. In addition to her connection with the Sangli man, Priya was frequently in touch with a John Gluck (70) from the United Kingdom. She had virtually married Gluck and had changed her name to Priya Gluck. Simultaneously, her killer, Mahesh Keshavrao Wadaskar, the owner of the Turning Point Hotel and Lodge in Ramtek, was a close friend of hers. Officials reported that Wadaskar was distressed uponlearning of Priyas connectionswith John Gluck and the Sangliman. It particularly demoralised him when Priya changedher Facebook profile namefrom Priya Bagde to PriyaGluck. Notably, Priya was brutallymurdered by Wadaskar, a resident of Sakkardara, on August16 at his hotel. He later disposedof her body about five kilometers away from Ramtek town, near a Physical EducationCollege. Body buried after 2 am SourceS revealed that after poi- soning Priya at the hotel, Wadaskar, along with two to three accom- plices, transported the body to Khindsi Road near Ishwar Deshmukh Physical Education College. They buried the body about 50 meters from the roadside. The process occurred after 2 a m on August 17, according to the polic The memory of Denver the way it was nine months ago seems like something from another world. In mid-January 2024, the capital of the state of Colorado had reached peak occupancy at its makeshift temporary migrant shelters, which were holding 5,200 people. Nearly all of them were asylum seekers sent by bus from Texas by Governor Greg Abbott, and who were sleeping in hotel rooms paid for by the city. On the streets, entire families expelled from the shelter system for reaching the maximum time limit were reported to be spending the freezing winter nights at the foot of the Rocky Mountains, under bridges, and lining up for hours to get a hot meal. Mayor Mike Johnston, with the citys accounts in the red, asked the federal government for help several times. There was no response. Faced with silence and a municipal expenditure of $72 million since the end of 2022 to care for 42,000 migrants, he and his team decided that something had to change. So they devised a new program focused on long-term integration. In the spring, while Chicago and New York were announcing new and stricter time limits for staying in shelters, Denver proposed almost the opposite: full and continuous support for six months, the time it takes to get approval for an asylum application and obtain a work permit. Now, with the new program in operation since late June and at full capacity, with 865 beneficiaries, including 215 families, and also with new migrant arrivals at a minimum due to the implementation of Joe Bidens executive order to limit illegal border crossings, the city is a different place. Migrants prepare to rest inside a shelter in Denver, Colorado, in January 2023. Thomas Peipert (AP) Sarah Plastino, director of the new program, explains that the goal of the program came from the fact that a large number of people were leaving shelters and entering our communities here in Denver. We knew we needed a program that would specifically support those people who did not yet qualify for a work permit and needed to apply for asylum. So we designed this to capitalize on the six-month waiting period to train those who were waiting for their work permit and provide a large number of people with stability. First, they decided that instead of housing people and families in hotel rooms night after night, which was extremely expensive, they would be placed in permanent housing for six months. This is cheaper for the city, and it also gives the beneficiaries more autonomy. In partnership with non-governmental organizations, they assigned apartments and houses available on the regular market, according to the size of the families and the area where the children were already attending school. Using the same logic, they began to provide food and vouchers to prepare meals at home, which is also much more economically efficient for the city than providing food through restaurants or contractors. Also, to make it easier for the migrants to move around and communicate, those who joined the program were given mobile phones, SIM cards and public transit passes. These foundations provide stability and generate a very different relationship with the authorities. Plastino likes to tell the story of how she recently put a migrant in touch with the police because she needed help with a delicate security issue. She probably wouldnt otherwise have felt comfortable enough to trust me and then talk to the police, she reflects. A welcome message to migrants participating in the WorkReady Denver program in June 2024. Nikki A. Rae (County of Denver) But the program has a long-term vision above all. While minors are legally enrolled in school as soon as they arrive, adults had to wait six months, unable to perform any paid legal activity until they received a work permit. Now, under the program and in alliance with the Workers Center, a city organization dedicated to the training and professional development of the working class, there are English lessons for migrants and job training in the areas where there is the greatest demand: construction, hospitality, and caregiving. In addition, the organization is in direct contact with potential employers and it is expected that the migrants will get good jobs as soon as they receive their paperwork. This was already something that the Workers Center did independently, but by collaborating with the city the operation has grown immensely, says Mayra Juarez-Denis, executive director of the organization. The city saw what we had done and was very impressed. We had limited resources Now they are referring these participants to us and its like the same program we were running, but on steroids, because we have twice as many resources, more collaborators and more interest from companies, which are in contact with the citys Department of Economy, to find jobs for people beforehand. That collaboration is very powerful. They are committed to training at least 500 people this year. The plan has several distinct stages. The first, which is now ending, focuses on the basics, mainly English language, computer skills and learning about the culture, to facilitate assimilation into a new society. The second, which is just beginning, is the vocational stage, aimed at preparing immigrants for the jobs that are available. A program worker teaches classes to immigrants last June. Nikki A. Rae (County of Denver) As an immigrant herself from Monterrey, Mexico, Juarez-Denis hopes that this program will demonstrate the power of our institutions, not just government institutions, responding to the needs of the community. She says that this way, a chain of solidarity and support is cultivated that strengthens the social fabric. She has already seen this in action: a family of migrants who literally arrived with a backpack and now, after finding employment, can also teach others. For Plastino, the program is a source of pride after months of hard work to make it a reality. It is designed to benefit the individual, but also industries where there is a shortage of workers. It is very strategic, she says, adding that she would like to make it a model to follow by showing that even on a smaller scale it is possible to provide effective solutions to complicated problems. However, the basis for the programs success at the moment depends on border crossings remaining very low and, therefore, new arrivals in Denver being practically on hold. Throughout the months of July and August, Texas did not bus any more migrants to so-called sanctuary cities, and the handful of new immigrants who arrived in the capital of Colorado have been the recipients of a basic program that provides shelter and food; but for just a few days before facilitating their transfer to someplace else where they can be cared for by relatives or friends. In the event that migrant arrivals spike again, Plastino says they are prepared and have redesigned the reception system based on lessons learned during the most critical period, although she does not provide details. In any case, the most important lesson is that one must be flexible and adapt to the specific needs of each moment, she stresses. In the current context, that means a comprehensive and long-term support program to facilitate the social and labor integration of the migrants who now call Denver home. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Deutsche Bank Aktiengesellschaft reiterated their hold rating on shares of American Axle & Manufacturing (NYSE:AXL Free Report) in a research report released on Tuesday morning, Marketbeat reports. They currently have a $6.00 target price on the auto parts companys stock. Several other research analysts also recently weighed in on the stock. Wolfe Research raised shares of American Axle & Manufacturing to a hold rating in a report on Wednesday, September 4th. Bank of America upped their price objective on American Axle & Manufacturing from $7.00 to $7.50 and gave the stock an underperform rating in a research note on Monday, August 12th. One investment analyst has rated the stock with a sell rating, five have assigned a hold rating and two have issued a buy rating to the stock. According to MarketBeat, American Axle & Manufacturing currently has an average rating of Hold and an average price target of $7.58. Get American Axle & Manufacturing alerts: Get Our Latest Research Report on AXL American Axle & Manufacturing Trading Up 5.1 % Shares of AXL stock opened at $5.84 on Tuesday. American Axle & Manufacturing has a 1 year low of $5.43 and a 1 year high of $8.99. The firm has a fifty day moving average price of $6.56 and a 200-day moving average price of $6.99. The company has a debt-to-equity ratio of 4.42, a current ratio of 1.68 and a quick ratio of 1.30. The company has a market cap of $686.08 million, a price-to-earnings ratio of -83.35 and a beta of 1.95. American Axle & Manufacturing (NYSE:AXL Get Free Report) last issued its quarterly earnings data on Friday, August 9th. The auto parts company reported $0.19 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.11 by $0.08. American Axle & Manufacturing had a net margin of 0.04% and a return on equity of 3.47%. The firm had revenue of $1.63 billion during the quarter, compared to the consensus estimate of $1.59 billion. During the same quarter in the previous year, the firm posted $0.12 EPS. The companys revenue for the quarter was up 3.9% compared to the same quarter last year. As a group, equities analysts predict that American Axle & Manufacturing will post 0.34 earnings per share for the current year. Institutional Investors Weigh In On American Axle & Manufacturing Large investors have recently made changes to their positions in the company. Texas Permanent School Fund Corp increased its holdings in shares of American Axle & Manufacturing by 1.4% in the 1st quarter. Texas Permanent School Fund Corp now owns 102,451 shares of the auto parts companys stock worth $754,000 after buying an additional 1,370 shares during the last quarter. ProShare Advisors LLC lifted its position in American Axle & Manufacturing by 7.4% in the first quarter. ProShare Advisors LLC now owns 23,084 shares of the auto parts companys stock worth $170,000 after buying an additional 1,582 shares during the last quarter. QRG Capital Management Inc. lifted its position in American Axle & Manufacturing by 13.5% in the second quarter. QRG Capital Management Inc. now owns 14,037 shares of the auto parts companys stock worth $98,000 after buying an additional 1,665 shares during the last quarter. SummerHaven Investment Management LLC boosted its stake in American Axle & Manufacturing by 2.5% during the second quarter. SummerHaven Investment Management LLC now owns 84,592 shares of the auto parts companys stock worth $591,000 after buying an additional 2,066 shares during the period. Finally, Xponance Inc. increased its position in American Axle & Manufacturing by 18.2% during the second quarter. Xponance Inc. now owns 18,225 shares of the auto parts companys stock valued at $127,000 after acquiring an additional 2,800 shares during the last quarter. 91.41% of the stock is owned by institutional investors. About American Axle & Manufacturing (Get Free Report) American Axle & Manufacturing Holdings, Inc, together with its subsidiaries, designs, engineers, and manufactures driveline and metal forming technologies that supports electric, hybrid, and internal combustion vehicles. It operates through two segments, Driveline and Metal Forming segments. The Driveline segment offers front and rear axles, driveshafts, differential assemblies, clutch modules, balance shaft systems, disconnecting driveline technology, and electric and hybrid driveline products and systems for light trucks, sport utility vehicles, crossover vehicles, passenger cars, and commercial vehicles. Featured Stories Receive News & Ratings for American Axle & Manufacturing Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for American Axle & Manufacturing and related companies with MarketBeat.com's FREE daily email newsletter. Anheuser-Busch InBev SA/NV (NYSE:BUD Free Report) had its price target raised by Morgan Stanley from $68.50 to $73.00 in a report published on Tuesday morning, Benzinga reports. Morgan Stanley currently has an overweight rating on the consumer goods makers stock. Separately, UBS Group raised Anheuser-Busch InBev SA/NV from a neutral rating to a buy rating in a report on Monday, June 24th. Three research analysts have rated the stock with a hold rating and seven have assigned a buy rating to the company. According to data from MarketBeat, Anheuser-Busch InBev SA/NV presently has an average rating of Moderate Buy and a consensus target price of $73.25. Get Anheuser-Busch InBev SA/NV alerts: Read Our Latest Analysis on BUD Anheuser-Busch InBev SA/NV Trading Up 0.1 % Shares of Anheuser-Busch InBev SA/NV stock opened at $64.90 on Tuesday. The companys fifty day simple moving average is $61.29 and its 200-day simple moving average is $61.18. The company has a market cap of $116.64 billion, a price-to-earnings ratio of 27.27, a PEG ratio of 1.81 and a beta of 1.09. The company has a current ratio of 0.69, a quick ratio of 0.51 and a debt-to-equity ratio of 0.85. Anheuser-Busch InBev SA/NV has a 1 year low of $51.66 and a 1 year high of $67.49. Anheuser-Busch InBev SA/NV (NYSE:BUD Get Free Report) last issued its earnings results on Thursday, August 1st. The consumer goods maker reported $0.90 EPS for the quarter, topping the consensus estimate of $0.84 by $0.06. The firm had revenue of $15.33 billion during the quarter, compared to analysts expectations of $15.21 billion. Anheuser-Busch InBev SA/NV had a return on equity of 14.77% and a net margin of 9.89%. During the same period last year, the business earned $0.72 earnings per share. As a group, sell-side analysts expect that Anheuser-Busch InBev SA/NV will post 3.41 EPS for the current year. Institutional Trading of Anheuser-Busch InBev SA/NV Several institutional investors and hedge funds have recently modified their holdings of the business. GPS Wealth Strategies Group LLC raised its position in Anheuser-Busch InBev SA/NV by 50.7% in the second quarter. GPS Wealth Strategies Group LLC now owns 550 shares of the consumer goods makers stock valued at $32,000 after purchasing an additional 185 shares during the last quarter. Blue Trust Inc. grew its stake in Anheuser-Busch InBev SA/NV by 225.0% during the second quarter. Blue Trust Inc. now owns 546 shares of the consumer goods makers stock worth $33,000 after purchasing an additional 378 shares during the period. Addison Advisors LLC increased its holdings in shares of Anheuser-Busch InBev SA/NV by 39.7% during the second quarter. Addison Advisors LLC now owns 591 shares of the consumer goods makers stock valued at $34,000 after acquiring an additional 168 shares in the last quarter. Hantz Financial Services Inc. bought a new stake in Anheuser-Busch InBev SA/NV during the 2nd quarter valued at $35,000. Finally, Valley Wealth Managers Inc. acquired a new stake in shares of Anheuser-Busch InBev SA/NV in the 2nd quarter worth about $38,000. 5.53% of the stock is currently owned by institutional investors. Anheuser-Busch InBev SA/NV Company Profile (Get Free Report) Anheuser-Busch InBev SA/NV produces, distributes, exports, markets, and sells beer and beverages. It offers a portfolio of approximately 500 beer brands, which primarily include Budweiser, Corona, and Stella Artois; Beck's, Hoegaarden, Leffe, and Michelob Ultra; and Aguila, Antarctica, Bud Light, Brahma, Cass, Castle, Castle Lite, Cristal, Harbin, Jupiler, Modelo Especial, Quilmes, Victoria, Sedrin, and Skol brands. Featured Articles Receive News & Ratings for Anheuser-Busch InBev SA/NV Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Anheuser-Busch InBev SA/NV and related companies with MarketBeat.com's FREE daily email newsletter. Bank of China Limited (OTCMKTS:BACHY Get Free Report) was the target of a large decline in short interest in August. As of August 31st, there was short interest totalling 1,100 shares, a decline of 91.3% from the August 15th total of 12,700 shares. Based on an average daily volume of 69,000 shares, the days-to-cover ratio is currently 0.0 days. Bank of China Price Performance Bank of China stock traded up $0.14 during midday trading on Friday, hitting $10.80. The companys stock had a trading volume of 15,025 shares, compared to its average volume of 44,058. Bank of China has a 1-year low of $8.40 and a 1-year high of $13.02. The company has a 50-day moving average price of $11.01 and a two-hundred day moving average price of $11.12. The company has a market cap of $127.18 billion, a price-to-earnings ratio of 4.13 and a beta of 0.21. Get Bank of China alerts: Bank of China (OTCMKTS:BACHY Get Free Report) last issued its quarterly earnings data on Thursday, August 29th. The bank reported $0.62 earnings per share for the quarter. The business had revenue of $21.67 billion during the quarter. Bank of China Cuts Dividend Bank of China Company Profile The firm also recently disclosed a dividend, which was paid on Tuesday, August 20th. Shareholders of record on Tuesday, July 9th were paid a $0.683 dividend. The ex-dividend date was Tuesday, July 9th. (Get Free Report) Bank of China Limited, together with its subsidiaries, provides various banking and financial services in Chinese Mainland, Hong Kong, Macao, Taiwan, and internationally. It operates through six segments: Corporate Banking, Personal Banking, Treasury Operations, Investment Banking, Insurance, and Other. See Also Receive News & Ratings for Bank of China Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank of China and related companies with MarketBeat.com's FREE daily email newsletter. Berenberg Bank restated their buy rating on shares of Rainbow Rare Earths (LON:RBW Free Report) in a research note released on Wednesday, MarketBeat.com reports. They currently have a GBX 38 ($0.50) price target on the stock. Separately, Canaccord Genuity Group restated a speculative buy rating and issued a GBX 21 ($0.27) price objective on shares of Rainbow Rare Earths in a research note on Wednesday, June 19th. Get Rainbow Rare Earths alerts: Check Out Our Latest Stock Analysis on RBW Rainbow Rare Earths Stock Performance Rainbow Rare Earths Company Profile Shares of RBW opened at GBX 10.50 ($0.14) on Wednesday. The company has a quick ratio of 3.79, a current ratio of 2.66 and a debt-to-equity ratio of 3.90. Rainbow Rare Earths has a 52-week low of GBX 9.10 ($0.12) and a 52-week high of GBX 17 ($0.22). The stock has a 50 day moving average price of GBX 10.67 and a 200 day moving average price of GBX 10.79. The company has a market capitalization of 67.59 million, a price-to-earnings ratio of -500.00 and a beta of 2.25. (Get Free Report) Rainbow Rare Earths Limited engages in the mining and exploration of rare earth minerals. It explores for neodymium, dysprosium, terbium, and praseodymium deposits. The company holds 90% interest in the Gakara project covering an area of approximately 135 square kilometers located in Western Burundi. Featured Stories Receive News & Ratings for Rainbow Rare Earths Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Rainbow Rare Earths and related companies with MarketBeat.com's FREE daily email newsletter. BlackRock Taxable Municipal Bond Trust (NYSE:BBN Get Free Report) saw a large increase in short interest during the month of August. As of August 31st, there was short interest totalling 171,100 shares, an increase of 114.7% from the August 15th total of 79,700 shares. Based on an average daily volume of 253,900 shares, the short-interest ratio is presently 0.7 days. Hedge Funds Weigh In On BlackRock Taxable Municipal Bond Trust Several hedge funds and other institutional investors have recently modified their holdings of the business. Fortis Group Advisors LLC boosted its holdings in shares of BlackRock Taxable Municipal Bond Trust by 100.0% during the 4th quarter. Fortis Group Advisors LLC now owns 2,000 shares of the investment management companys stock valued at $33,000 after acquiring an additional 1,000 shares in the last quarter. B. Riley Wealth Advisors Inc. lifted its holdings in BlackRock Taxable Municipal Bond Trust by 2.6% during the 1st quarter. B. Riley Wealth Advisors Inc. now owns 43,308 shares of the investment management companys stock worth $657,000 after buying an additional 1,090 shares during the period. D.A. Davidson & CO. lifted its holdings in BlackRock Taxable Municipal Bond Trust by 6.7% during the 4th quarter. D.A. Davidson & CO. now owns 19,369 shares of the investment management companys stock worth $315,000 after buying an additional 1,223 shares during the period. J.W. Cole Advisors Inc. lifted its holdings in BlackRock Taxable Municipal Bond Trust by 2.7% during the 2nd quarter. J.W. Cole Advisors Inc. now owns 55,143 shares of the investment management companys stock worth $902,000 after buying an additional 1,435 shares during the period. Finally, Benedetti & Gucer Inc. lifted its holdings in BlackRock Taxable Municipal Bond Trust by 15.8% during the 1st quarter. Benedetti & Gucer Inc. now owns 11,700 shares of the investment management companys stock worth $191,000 after buying an additional 1,600 shares during the period. Get BlackRock Taxable Municipal Bond Trust alerts: BlackRock Taxable Municipal Bond Trust Trading Up 0.3 % Shares of BBN traded up $0.06 during midday trading on Friday, hitting $18.27. The companys stock had a trading volume of 139,262 shares, compared to its average volume of 223,665. BlackRock Taxable Municipal Bond Trust has a one year low of $14.33 and a one year high of $18.34. The companys fifty day simple moving average is $17.25 and its two-hundred day simple moving average is $16.46. BlackRock Taxable Municipal Bond Trust Cuts Dividend BlackRock Taxable Municipal Bond Trust Company Profile The firm also recently declared a monthly dividend, which will be paid on Monday, September 30th. Stockholders of record on Monday, September 16th will be paid a $0.0929 dividend. The ex-dividend date is Monday, September 16th. This represents a $1.11 annualized dividend and a dividend yield of 6.10%. (Get Free Report) BlackRock Taxable Municipal Bond Trust is a closed-ended fixed income mutual fund launched by BlackRock, Inc It is managed by BlackRock Advisors, LLC. The fund invests in the fixed income markets of the United States. It primarily invests in taxable municipal securities, which include Build America Bonds. Further Reading Receive News & Ratings for BlackRock Taxable Municipal Bond Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BlackRock Taxable Municipal Bond Trust and related companies with MarketBeat.com's FREE daily email newsletter. Bokf Na boosted its holdings in Coupang, Inc. (NYSE:CPNG Free Report) by 6.7% during the second quarter, according to the company in its most recent disclosure with the SEC. The firm owned 189,569 shares of the companys stock after purchasing an additional 11,874 shares during the quarter. Bokf Nas holdings in Coupang were worth $3,971,000 at the end of the most recent quarter. A number of other institutional investors and hedge funds have also made changes to their positions in CPNG. Barings LLC purchased a new stake in shares of Coupang in the second quarter worth $888,000. Qsemble Capital Management LP purchased a new stake in Coupang during the 2nd quarter valued at about $1,083,000. American Century Companies Inc. increased its stake in Coupang by 76.3% during the 2nd quarter. American Century Companies Inc. now owns 1,294,098 shares of the companys stock valued at $27,111,000 after purchasing an additional 559,945 shares in the last quarter. Royal London Asset Management Ltd. increased its stake in Coupang by 6.8% during the 2nd quarter. Royal London Asset Management Ltd. now owns 443,320 shares of the companys stock valued at $9,288,000 after purchasing an additional 28,380 shares in the last quarter. Finally, Choreo LLC purchased a new position in Coupang in the second quarter worth about $4,155,000. Institutional investors own 83.72% of the companys stock. Get Coupang alerts: Wall Street Analysts Forecast Growth CPNG has been the subject of several analyst reports. Morgan Stanley raised their price target on shares of Coupang from $26.00 to $27.00 and gave the company an overweight rating in a research report on Wednesday, June 26th. CLSA raised Coupang from a hold rating to an outperform rating and lifted their target price for the stock from $18.00 to $31.00 in a report on Wednesday, September 4th. One equities research analyst has rated the stock with a hold rating and seven have given a buy rating to the company. Based on data from MarketBeat, the company currently has a consensus rating of Moderate Buy and a consensus price target of $27.25. Insider Activity In other news, insider Pranam Kolari sold 10,432 shares of Coupang stock in a transaction on Friday, August 2nd. The shares were sold at an average price of $19.90, for a total transaction of $207,596.80. Following the transaction, the insider now owns 170,356 shares in the company, valued at approximately $3,390,084.40. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink. In other news, insider Pranam Kolari sold 10,432 shares of the firms stock in a transaction dated Friday, August 2nd. The stock was sold at an average price of $19.90, for a total value of $207,596.80. Following the transaction, the insider now owns 170,356 shares of the companys stock, valued at $3,390,084.40. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this link. Also, Director Benjamin Sun sold 700,000 shares of Coupang stock in a transaction dated Monday, June 17th. The shares were sold at an average price of $20.63, for a total value of $14,441,000.00. Following the transaction, the director now directly owns 2,169,421 shares in the company, valued at $44,755,155.23. The disclosure for this sale can be found here. In the last quarter, insiders acquired 601,300 shares of company stock valued at $13,604,354 and sold 1,110,432 shares valued at $23,870,597. Insiders own 13.60% of the companys stock. Coupang Trading Up 1.1 % Shares of CPNG stock opened at $23.95 on Friday. The stock has a market capitalization of $42.96 billion, a P/E ratio of 34.21, a P/E/G ratio of 171.76 and a beta of 1.10. The company has a current ratio of 1.12, a quick ratio of 0.87 and a debt-to-equity ratio of 0.72. The firms 50 day moving average is $21.81 and its 200 day moving average is $21.14. Coupang, Inc. has a 12-month low of $13.51 and a 12-month high of $24.35. Coupang (NYSE:CPNG Get Free Report) last issued its quarterly earnings data on Tuesday, August 6th. The company reported $0.07 EPS for the quarter, topping the consensus estimate of ($0.01) by $0.08. Coupang had a return on equity of 12.04% and a net margin of 3.87%. The company had revenue of $7.32 billion during the quarter, compared to analyst estimates of $7.39 billion. During the same quarter in the previous year, the company posted $0.08 earnings per share. Coupangs revenue was up 25.4% compared to the same quarter last year. Research analysts expect that Coupang, Inc. will post 0.14 earnings per share for the current year. About Coupang (Free Report) Coupang, Inc, together with its subsidiaries owns and operates retail business through its mobile applications and Internet websites primarily in South Korea. The company operates through Product Commerce and Developing Offerings segments. It sells various products and services in the categories of home goods and decor products, apparel, beauty products, fresh food and groceries, sporting goods, electronics, and everyday consumables, as well as travel, and restaurant order and delivery services. Featured Stories Want to see what other hedge funds are holding CPNG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Coupang, Inc. (NYSE:CPNG Free Report). Receive News & Ratings for Coupang Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Coupang and related companies with MarketBeat.com's FREE daily email newsletter. Bokf Na grew its stake in Eaton Co. plc (NYSE:ETN Free Report) by 8.9% in the 2nd quarter, according to its most recent filing with the Securities and Exchange Commission (SEC). The fund owned 10,094 shares of the industrial products companys stock after purchasing an additional 826 shares during the period. Bokf Nas holdings in Eaton were worth $3,165,000 at the end of the most recent reporting period. A number of other institutional investors and hedge funds have also recently modified their holdings of ETN. Qsemble Capital Management LP purchased a new position in Eaton during the second quarter worth $5,546,000. Hazlett Burt & Watson Inc. boosted its stake in shares of Eaton by 40.4% during the 2nd quarter. Hazlett Burt & Watson Inc. now owns 330 shares of the industrial products companys stock worth $105,000 after purchasing an additional 95 shares during the period. Trifecta Capital Advisors LLC grew its holdings in Eaton by 17.6% during the 2nd quarter. Trifecta Capital Advisors LLC now owns 15,075 shares of the industrial products companys stock valued at $4,727,000 after purchasing an additional 2,261 shares during the last quarter. Wellington Shields & Co. LLC raised its position in Eaton by 49.1% in the 2nd quarter. Wellington Shields & Co. LLC now owns 2,050 shares of the industrial products companys stock worth $643,000 after purchasing an additional 675 shares during the period. Finally, LPL Financial LLC lifted its stake in Eaton by 17.7% in the second quarter. LPL Financial LLC now owns 477,275 shares of the industrial products companys stock worth $149,650,000 after purchasing an additional 71,740 shares during the last quarter. Hedge funds and other institutional investors own 82.97% of the companys stock. Get Eaton alerts: Insider Activity In related news, insider Taras G. Jr. Szmagala sold 335 shares of the companys stock in a transaction dated Monday, August 12th. The stock was sold at an average price of $288.72, for a total transaction of $96,721.20. Following the transaction, the insider now directly owns 9,900 shares of the companys stock, valued at approximately $2,858,328. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this link. In related news, insider Taras G. Jr. Szmagala sold 335 shares of Eaton stock in a transaction that occurred on Monday, August 12th. The shares were sold at an average price of $288.72, for a total value of $96,721.20. Following the completion of the sale, the insider now directly owns 9,900 shares in the company, valued at approximately $2,858,328. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through the SEC website. Also, insider Heath B. Monesmith sold 49,040 shares of the companys stock in a transaction that occurred on Thursday, August 15th. The shares were sold at an average price of $301.02, for a total transaction of $14,762,020.80. Following the transaction, the insider now owns 71,262 shares in the company, valued at approximately $21,451,287.24. The disclosure for this sale can be found here. In the last quarter, insiders sold 52,511 shares of company stock worth $15,809,326. Insiders own 0.53% of the companys stock. Eaton Price Performance Shares of ETN stock opened at $305.67 on Friday. The company has a market cap of $121.69 billion, a P/E ratio of 36.09, a PEG ratio of 2.28 and a beta of 1.01. The company has a debt-to-equity ratio of 0.44, a current ratio of 1.56 and a quick ratio of 1.07. The stocks 50-day moving average is $300.56 and its 200 day moving average is $311.46. Eaton Co. plc has a 1-year low of $191.82 and a 1-year high of $345.19. Eaton (NYSE:ETN Get Free Report) last released its quarterly earnings results on Thursday, August 1st. The industrial products company reported $2.73 earnings per share for the quarter, beating analysts consensus estimates of $2.61 by $0.12. The business had revenue of $6.35 billion for the quarter, compared to analysts expectations of $6.35 billion. Eaton had a net margin of 15.12% and a return on equity of 21.45%. Research analysts predict that Eaton Co. plc will post 10.74 earnings per share for the current fiscal year. Eaton Dividend Announcement The firm also recently declared a quarterly dividend, which was paid on Friday, August 23rd. Stockholders of record on Monday, August 5th were given a dividend of $0.94 per share. This represents a $3.76 dividend on an annualized basis and a dividend yield of 1.23%. The ex-dividend date of this dividend was Monday, August 5th. Eatons payout ratio is presently 44.39%. Wall Street Analysts Forecast Growth A number of research firms have recently commented on ETN. Barclays upped their target price on Eaton from $318.00 to $319.00 and gave the company an equal weight rating in a research note on Friday, August 2nd. Royal Bank of Canada reduced their target price on Eaton from $376.00 to $371.00 and set an outperform rating on the stock in a research note on Friday, August 2nd. Raymond James lowered their target price on shares of Eaton from $375.00 to $365.00 and set an outperform rating for the company in a research note on Monday, September 9th. Morgan Stanley assumed coverage on shares of Eaton in a report on Friday, September 6th. They set an overweight rating and a $370.00 price objective for the company. Finally, Evercore ISI dropped their target price on shares of Eaton from $357.00 to $333.00 and set an outperform rating on the stock in a research note on Monday, August 19th. Four investment analysts have rated the stock with a hold rating and fourteen have issued a buy rating to the company. According to data from MarketBeat.com, the company has a consensus rating of Moderate Buy and an average price target of $335.18. View Our Latest Stock Report on Eaton Eaton Company Profile (Free Report) Eaton Corporation plc operates as a power management company worldwide. The company's Electrical Americas and Electrical Global segment provides electrical components, industrial components, power distribution and assemblies, residential products, single and three phase power quality and connectivity products, wiring devices, circuit protection products, utility power distribution products, power reliability equipment, and services, as well as hazardous duty electrical equipment, emergency lighting, fire detection, explosion-proof instrumentation, and structural support systems. Read More Receive News & Ratings for Eaton Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eaton and related companies with MarketBeat.com's FREE daily email newsletter. British Smaller Companies VCT2 (LON:BSC Get Free Report) announced a dividend on Thursday, September 12th, Upcoming.Co.Uk reports. Investors of record on Thursday, October 3rd will be given a dividend of GBX 1.50 ($0.02) per share on Friday, November 1st. This represents a yield of 2.73%. The ex-dividend date of this dividend is Thursday, October 3rd. The official announcement can be seen at this link. British Smaller Companies VCT2 Price Performance LON BSC opened at GBX 55 ($0.72) on Friday. The company has a market capitalization of 125.72 million, a PE ratio of 1,866.67 and a beta of -0.01. British Smaller Companies VCT2 has a 1 year low of GBX 52.50 ($0.69) and a 1 year high of GBX 57.50 ($0.75). The company has a fifty day moving average of GBX 55.01 and a two-hundred day moving average of GBX 55.55. Get British Smaller Companies VCT2 alerts: About British Smaller Companies VCT2 (Get Free Report) Featured Articles British Smaller Companies VCT 2 plc is a venture capital trust specializing in emerging growth, growth capital, acquisition funding, and development funding. It is an evergreen fund. The fund invests in a range of securities which may include ordinary and preference shares and fixed income securities, such as corporate bonds and gilts in VCT qualifying and non-qualifying securities. Receive News & Ratings for British Smaller Companies VCT2 Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for British Smaller Companies VCT2 and related companies with MarketBeat.com's FREE daily email newsletter. KYODO NEWS - Sep 14, 2024 - 09:17 | All, Japan Shizuoka Prefecture is considering permitting Central Japan Railway Co. to carry out a boring survey in preparation for Japan's delayed high-speed maglev train project, a source familiar with the matter said Friday. The move would mark a major shift in the prefecture's policy on the maglev train, after the project was delayed by former Gov. Heita Kawakatsu. Kawakatsu, who abruptly resigned and was replaced by Yasutomo Suzuki in May, did not approve the survey, citing concerns about the impact on water resources and the ecosystem. The central Japan prefectural government is expected to convey its final decision to the railway operator as early as Tuesday after it received consent from relevant municipalities, including those along the Oi River, for the geological survey to take place prior to tunnel drilling. The line's first leg from Tokyo to Nagoya was originally planned to open in 2027, but the company conceded in March that it had abandoned hopes of meeting the target amid long-running opposition from Kawakatsu. The operator, also known as JR Central, plans to eventually extend the service of maglev trains, which travel up to 500 kilometers per hour, to Osaka. Related coverage: Japan's maglev project in focus as Shizuoka election campaign starts Condor Capital Management lowered its stake in shares of Constellation Brands, Inc. (NYSE:STZ Free Report) by 3.4% during the second quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The institutional investor owned 7,457 shares of the companys stock after selling 264 shares during the period. Condor Capital Managements holdings in Constellation Brands were worth $1,919,000 as of its most recent filing with the Securities and Exchange Commission. Several other institutional investors and hedge funds also recently added to or reduced their stakes in STZ. CoreCap Advisors LLC purchased a new stake in Constellation Brands during the second quarter worth $617,000. HBK Sorce Advisory LLC raised its stake in shares of Constellation Brands by 37.4% during the 2nd quarter. HBK Sorce Advisory LLC now owns 11,170 shares of the companys stock worth $2,874,000 after buying an additional 3,040 shares during the last quarter. GHP Investment Advisors Inc. boosted its position in shares of Constellation Brands by 1.8% in the 2nd quarter. GHP Investment Advisors Inc. now owns 33,211 shares of the companys stock worth $8,545,000 after buying an additional 586 shares in the last quarter. Executive Wealth Management LLC grew its stake in Constellation Brands by 2.3% in the 2nd quarter. Executive Wealth Management LLC now owns 10,925 shares of the companys stock valued at $2,811,000 after acquiring an additional 246 shares during the last quarter. Finally, Meeder Advisory Services Inc. increased its holdings in Constellation Brands by 66.7% during the 2nd quarter. Meeder Advisory Services Inc. now owns 4,812 shares of the companys stock valued at $1,238,000 after acquiring an additional 1,925 shares in the last quarter. 77.34% of the stock is currently owned by institutional investors and hedge funds. Get Constellation Brands alerts: Insider Transactions at Constellation Brands In related news, EVP Kaneenat Kristann Carey sold 977 shares of the stock in a transaction dated Friday, July 12th. The shares were sold at an average price of $261.00, for a total transaction of $254,997.00. Following the sale, the executive vice president now owns 2,706 shares in the company, valued at $706,266. The transaction was disclosed in a document filed with the SEC, which is available through this hyperlink. In related news, EVP Kaneenat Kristann Carey sold 977 shares of the companys stock in a transaction on Friday, July 12th. The stock was sold at an average price of $261.00, for a total transaction of $254,997.00. Following the completion of the transaction, the executive vice president now directly owns 2,706 shares in the company, valued at approximately $706,266. The sale was disclosed in a document filed with the SEC, which is available through this link. Also, Director Daniel J. Mccarthy sold 1,854 shares of the firms stock in a transaction on Friday, July 26th. The shares were sold at an average price of $252.43, for a total value of $468,005.22. Following the completion of the sale, the director now owns 3,232 shares in the company, valued at $815,853.76. The disclosure for this sale can be found here. Over the last 90 days, insiders have sold 16,414 shares of company stock valued at $3,982,922. Insiders own 12.19% of the companys stock. Constellation Brands Trading Up 0.4 % STZ opened at $252.63 on Friday. The firm has a market capitalization of $46.03 billion, a P/E ratio of 18.82, a P/E/G ratio of 1.69 and a beta of 0.88. The firms 50 day moving average is $245.91 and its 200-day moving average is $253.81. The company has a quick ratio of 0.53, a current ratio of 1.19 and a debt-to-equity ratio of 1.06. Constellation Brands, Inc. has a 52-week low of $227.50 and a 52-week high of $274.87. Constellation Brands (NYSE:STZ Get Free Report) last announced its earnings results on Wednesday, July 3rd. The company reported $3.57 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $3.46 by $0.11. Constellation Brands had a return on equity of 23.72% and a net margin of 22.70%. The business had revenue of $2.66 billion for the quarter, compared to analyst estimates of $2.67 billion. During the same period in the previous year, the company earned $2.91 earnings per share. The companys revenue for the quarter was up 5.8% compared to the same quarter last year. Analysts expect that Constellation Brands, Inc. will post 13.53 EPS for the current fiscal year. Constellation Brands Dividend Announcement The business also recently announced a quarterly dividend, which was paid on Friday, August 23rd. Stockholders of record on Wednesday, August 14th were given a $1.01 dividend. This represents a $4.04 annualized dividend and a dividend yield of 1.60%. The ex-dividend date was Wednesday, August 14th. Constellation Brandss payout ratio is currently 30.10%. Analyst Upgrades and Downgrades Several research firms have recently commented on STZ. UBS Group lowered their price target on shares of Constellation Brands from $320.00 to $295.00 and set a buy rating on the stock in a research report on Monday, September 9th. Barclays raised their price target on Constellation Brands from $287.00 to $295.00 and gave the stock an overweight rating in a research report on Friday, July 19th. Truist Financial restated a hold rating and issued a $265.00 price objective on shares of Constellation Brands in a research report on Wednesday, July 3rd. Royal Bank of Canada reiterated an outperform rating and set a $308.00 price objective on shares of Constellation Brands in a research report on Tuesday, September 3rd. Finally, Roth Mkm reduced their target price on shares of Constellation Brands from $303.00 to $298.00 and set a buy rating on the stock in a report on Wednesday, September 4th. Three analysts have rated the stock with a hold rating and sixteen have assigned a buy rating to the companys stock. According to data from MarketBeat, Constellation Brands has an average rating of Moderate Buy and an average price target of $296.78. Read Our Latest Stock Analysis on STZ Constellation Brands Company Profile (Free Report) Constellation Brands, Inc, together with its subsidiaries, produces, imports, markets, and sells beer, wine, and spirits in the United States, Canada, Mexico, New Zealand, and Italy. The company provides beer primarily under the Corona Extra, Corona Familiar, Corona Hard Seltzer, Corona Light, Corona Non-Alcoholic, Corona Premier, Corona Refresca, Modelo Especial, Modelo Chelada, Modelo Negra, Modelo Oro, Victoria, Vicky Chamoy, and Pacifico brands. Featured Articles Want to see what other hedge funds are holding STZ? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Constellation Brands, Inc. (NYSE:STZ Free Report). Receive News & Ratings for Constellation Brands Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Constellation Brands and related companies with MarketBeat.com's FREE daily email newsletter. CoreCap Advisors LLC acquired a new position in shares of EOG Resources, Inc. (NYSE:EOG Free Report) in the 2nd quarter, according to its most recent 13F filing with the Securities and Exchange Commission (SEC). The firm acquired 9,433 shares of the energy exploration companys stock, valued at approximately $1,187,000. Several other hedge funds have also recently bought and sold shares of EOG. Federated Hermes Inc. increased its holdings in shares of EOG Resources by 631.2% during the second quarter. Federated Hermes Inc. now owns 94,590 shares of the energy exploration companys stock worth $11,906,000 after purchasing an additional 81,654 shares during the period. Meeder Advisory Services Inc. increased its holdings in shares of EOG Resources by 30.0% during the second quarter. Meeder Advisory Services Inc. now owns 12,320 shares of the energy exploration companys stock worth $1,551,000 after purchasing an additional 2,844 shares during the period. Vest Financial LLC increased its stake in EOG Resources by 25.5% in the second quarter. Vest Financial LLC now owns 179,143 shares of the energy exploration companys stock valued at $22,549,000 after acquiring an additional 36,347 shares during the last quarter. Trueblood Wealth Management LLC increased its stake in EOG Resources by 1.4% in the second quarter. Trueblood Wealth Management LLC now owns 7,587 shares of the energy exploration companys stock valued at $955,000 after acquiring an additional 105 shares during the last quarter. Finally, Altman Advisors Inc. increased its stake in EOG Resources by 0.7% in the second quarter. Altman Advisors Inc. now owns 12,731 shares of the energy exploration companys stock valued at $1,603,000 after acquiring an additional 85 shares during the last quarter. 89.91% of the stock is currently owned by institutional investors and hedge funds. Get EOG Resources alerts: EOG Resources Price Performance EOG stock opened at $119.37 on Friday. The firm has a market cap of $67.87 billion, a price-to-earnings ratio of 9.43, a PEG ratio of 2.95 and a beta of 1.28. The company has a quick ratio of 1.89, a current ratio of 2.12 and a debt-to-equity ratio of 0.11. EOG Resources, Inc. has a 12-month low of $108.94 and a 12-month high of $139.67. The companys fifty day simple moving average is $125.93 and its 200 day simple moving average is $126.17. EOG Resources Dividend Announcement EOG Resources ( NYSE:EOG Get Free Report ) last announced its quarterly earnings data on Thursday, August 1st. The energy exploration company reported $3.16 earnings per share for the quarter, beating the consensus estimate of $2.98 by $0.18. EOG Resources had a net margin of 30.33% and a return on equity of 25.42%. The firm had revenue of $6.03 billion for the quarter, compared to analyst estimates of $6.07 billion. During the same quarter in the prior year, the business earned $2.49 earnings per share. The businesss quarterly revenue was up 8.1% compared to the same quarter last year. On average, analysts expect that EOG Resources, Inc. will post 12.2 earnings per share for the current year. The business also recently disclosed a quarterly dividend, which will be paid on Thursday, October 31st. Stockholders of record on Thursday, October 17th will be issued a dividend of $0.91 per share. The ex-dividend date is Thursday, October 17th. This represents a $3.64 annualized dividend and a dividend yield of 3.05%. EOG Resourcess dividend payout ratio is currently 28.75%. Analysts Set New Price Targets A number of brokerages recently issued reports on EOG. Royal Bank of Canada reissued a sector perform rating and issued a $147.00 price objective on shares of EOG Resources in a research note on Wednesday, July 10th. JPMorgan Chase & Co. decreased their target price on shares of EOG Resources from $143.00 to $135.00 and set a neutral rating on the stock in a research note on Thursday. Piper Sandler decreased their target price on shares of EOG Resources from $154.00 to $147.00 and set an overweight rating on the stock in a research note on Thursday, August 15th. Truist Financial decreased their target price on shares of EOG Resources from $136.00 to $130.00 and set a hold rating on the stock in a research note on Monday, July 22nd. Finally, Citigroup boosted their target price on shares of EOG Resources from $124.00 to $130.00 and gave the stock a neutral rating in a research note on Friday, May 24th. Thirteen investment analysts have rated the stock with a hold rating, eight have given a buy rating and one has issued a strong buy rating to the company. According to MarketBeat.com, the company currently has an average rating of Hold and an average price target of $143.63. Check Out Our Latest Research Report on EOG Resources Insider Activity In other news, COO Jeffrey R. Leitzell sold 4,000 shares of the companys stock in a transaction dated Friday, August 9th. The shares were sold at an average price of $126.48, for a total transaction of $505,920.00. Following the transaction, the chief operating officer now owns 35,058 shares in the company, valued at $4,434,135.84. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available through the SEC website. In other news, COO Jeffrey R. Leitzell sold 4,000 shares of the companys stock in a transaction dated Friday, August 9th. The shares were sold at an average price of $126.48, for a total transaction of $505,920.00. Following the transaction, the chief operating officer now owns 35,058 shares in the company, valued at $4,434,135.84. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available through the SEC website. Also, Director Charles R. Crisp sold 6,500 shares of the businesss stock in a transaction dated Wednesday, August 21st. The stock was sold at an average price of $125.60, for a total transaction of $816,400.00. Following the sale, the director now directly owns 60,892 shares of the companys stock, valued at $7,648,035.20. The disclosure for this sale can be found here. Over the last 90 days, insiders have sold 21,537 shares of company stock worth $2,751,612. Company insiders own 0.30% of the companys stock. EOG Resources Company Profile (Free Report) EOG Resources, Inc, together with its subsidiaries, explores for, develops, produces, and markets crude oil, natural gas liquids, and natural gas primarily in producing basins in the United States, the Republic of Trinidad and Tobago and internationally. The company was formerly known as Enron Oil & Gas Company. Read More Want to see what other hedge funds are holding EOG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for EOG Resources, Inc. (NYSE:EOG Free Report). Receive News & Ratings for EOG Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for EOG Resources and related companies with MarketBeat.com's FREE daily email newsletter. CVC Credit Partners European Opportunities Limited (LON:CCPG Get Free Report)s stock price dropped 2.7% during trading on Friday . The stock traded as low as GBX 93.20 ($1.22) and last traded at GBX 93.20 ($1.22). Approximately 174,302 shares traded hands during trading, an increase of 28% from the average daily volume of 135,646 shares. The stock had previously closed at GBX 95.80 ($1.25). CVC Credit Partners European Opportunities Stock Performance The firms 50 day moving average is GBX 93.20 and its 200 day moving average is GBX 93.20. CVC Credit Partners European Opportunities Company Profile (Get Free Report) CVC Credit Partners European Opportunities Limited is a closed-ended fixed income mutual fund of fund launched by Goldman Sachs International. The fund is managed by CVC Credit Partners Investment Management Ltd. It invests in the fixed income markets of Western Europe. The fund seeks to invest in securities of companies across diversified sectors. Featured Stories Receive News & Ratings for CVC Credit Partners European Opportunities Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CVC Credit Partners European Opportunities and related companies with MarketBeat.com's FREE daily email newsletter. Deutsche Bank Aktiengesellschaft reiterated their hold rating on shares of Dana (NYSE:DAN Free Report) in a report released on Tuesday morning, MarketBeat Ratings reports. They currently have a $12.00 price objective on the auto parts companys stock. DAN has been the topic of a number of other reports. Wolfe Research started coverage on shares of Dana in a report on Thursday, September 5th. They set a peer perform rating on the stock. Wells Fargo & Company raised their target price on Dana from $12.00 to $13.00 and gave the company an equal weight rating in a research report on Thursday, August 1st. JPMorgan Chase & Co. cut their price objective on Dana from $18.00 to $17.00 and set an overweight rating for the company in a research report on Monday, July 22nd. StockNews.com upgraded shares of Dana from a hold rating to a buy rating in a research report on Friday, August 2nd. Finally, UBS Group lowered their price objective on Dana from $14.00 to $12.00 and set a neutral rating on the stock in a report on Wednesday, July 10th. Six research analysts have rated the stock with a hold rating and two have given a buy rating to the companys stock. Based on data from MarketBeat.com, the company currently has an average rating of Hold and a consensus target price of $14.67. Get Dana alerts: Check Out Our Latest Report on Dana Dana Stock Up 5.5 % NYSE:DAN opened at $9.85 on Tuesday. The company has a debt-to-equity ratio of 1.55, a current ratio of 1.48 and a quick ratio of 0.90. The companys fifty day moving average price is $11.15 and its 200-day moving average price is $12.21. Dana has a 1-year low of $9.16 and a 1-year high of $15.45. The firm has a market capitalization of $1.43 billion, a P/E ratio of 109.46, a PEG ratio of 0.49 and a beta of 2.29. Dana (NYSE:DAN Get Free Report) last announced its quarterly earnings results on Wednesday, July 31st. The auto parts company reported $0.31 earnings per share for the quarter, topping the consensus estimate of $0.24 by $0.07. The company had revenue of $2.74 billion for the quarter, compared to the consensus estimate of $2.76 billion. Dana had a positive return on equity of 4.98% and a negative net margin of 0.01%. The firms revenue for the quarter was down .4% compared to the same quarter last year. During the same period last year, the firm earned $0.37 EPS. As a group, equities research analysts anticipate that Dana will post 0.98 EPS for the current year. Dana Dividend Announcement The firm also recently disclosed a quarterly dividend, which was paid on Friday, August 30th. Shareholders of record on Friday, August 9th were issued a $0.10 dividend. The ex-dividend date was Friday, August 9th. This represents a $0.40 dividend on an annualized basis and a yield of 4.06%. Danas payout ratio is 444.49%. Institutional Inflows and Outflows Several institutional investors and hedge funds have recently made changes to their positions in the stock. Rhumbline Advisers increased its holdings in shares of Dana by 1.3% in the second quarter. Rhumbline Advisers now owns 426,586 shares of the auto parts companys stock valued at $5,170,000 after purchasing an additional 5,468 shares during the last quarter. SG Americas Securities LLC purchased a new stake in Dana in the 2nd quarter valued at $388,000. Cibc World Markets Corp increased its stake in shares of Dana by 46.0% in the 4th quarter. Cibc World Markets Corp now owns 1,190,000 shares of the auto parts companys stock worth $17,386,000 after acquiring an additional 375,000 shares during the last quarter. Finally, Great Lakes Advisors LLC raised its holdings in shares of Dana by 89.5% during the 4th quarter. Great Lakes Advisors LLC now owns 126,015 shares of the auto parts companys stock worth $1,841,000 after acquiring an additional 59,502 shares during the period. 96.79% of the stock is currently owned by institutional investors and hedge funds. Dana Company Profile (Get Free Report) Dana Incorporated, together with its subsidiaries, provides power-conveyance and energy-management solutions for vehicles and machinery in North America, Europe, South America, and the Asia Pacific. The company operates through four segments: Light Vehicle Drive Systems, Commercial Vehicle Drive and Motion Systems, Off-Highway Drive and Motion Systems, and Power Technologies. Featured Articles Receive News & Ratings for Dana Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Dana and related companies with MarketBeat.com's FREE daily email newsletter. Federated Hermes Inc. lifted its position in shares of The Bank of New York Mellon Co. (NYSE:BK Free Report) by 11.1% during the second quarter, Holdings Channel.com reports. The institutional investor owned 1,199,589 shares of the banks stock after acquiring an additional 120,302 shares during the quarter. Federated Hermes Inc.s holdings in Bank of New York Mellon were worth $71,843,000 as of its most recent SEC filing. Other institutional investors have also recently made changes to their positions in the company. Atlantic Edge Private Wealth Management LLC purchased a new stake in shares of Bank of New York Mellon in the 4th quarter worth approximately $28,000. Olistico Wealth LLC bought a new stake in Bank of New York Mellon during the second quarter valued at about $30,000. Able Wealth Management LLC bought a new stake in shares of Bank of New York Mellon in the 4th quarter valued at about $32,000. Kathleen S. Wright Associates Inc. purchased a new position in shares of Bank of New York Mellon in the 1st quarter worth approximately $32,000. Finally, Family Firm Inc. purchased a new position in shares of Bank of New York Mellon in the 2nd quarter worth approximately $40,000. Hedge funds and other institutional investors own 85.31% of the companys stock. Get Bank of New York Mellon alerts: Insider Transactions at Bank of New York Mellon In related news, VP Hanneke Smits sold 15,000 shares of Bank of New York Mellon stock in a transaction on Thursday, July 18th. The shares were sold at an average price of $64.11, for a total value of $961,650.00. Following the sale, the vice president now owns 173,930 shares of the companys stock, valued at approximately $11,150,652.30. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available through this hyperlink. Corporate insiders own 0.14% of the companys stock. Wall Street Analysts Forecast Growth A number of equities analysts have recently issued reports on BK shares. Deutsche Bank Aktiengesellschaft upped their price target on Bank of New York Mellon from $63.00 to $66.00 and gave the stock a hold rating in a research report on Thursday, August 15th. Citigroup cut shares of Bank of New York Mellon from a buy rating to a neutral rating and set a $65.00 target price for the company. in a research note on Monday, July 15th. Barclays upped their price target on shares of Bank of New York Mellon from $68.00 to $75.00 and gave the company an overweight rating in a research note on Monday, July 15th. Evercore ISI lifted their price target on Bank of New York Mellon from $61.00 to $66.00 and gave the stock an in-line rating in a research report on Monday, July 15th. Finally, Morgan Stanley increased their price objective on Bank of New York Mellon from $65.00 to $72.00 and gave the company an equal weight rating in a report on Tuesday, July 30th. Six equities research analysts have rated the stock with a hold rating and six have issued a buy rating to the stock. According to data from MarketBeat, the stock presently has an average rating of Moderate Buy and a consensus target price of $66.14. View Our Latest Stock Analysis on Bank of New York Mellon Bank of New York Mellon Trading Down 0.5 % Bank of New York Mellon stock opened at $68.70 on Friday. The stocks 50 day simple moving average is $64.99 and its two-hundred day simple moving average is $60.11. The Bank of New York Mellon Co. has a 12 month low of $39.66 and a 12 month high of $69.74. The firm has a market cap of $50.70 billion, a PE ratio of 15.90, a P/E/G ratio of 1.17 and a beta of 1.08. The company has a current ratio of 0.70, a quick ratio of 0.70 and a debt-to-equity ratio of 0.85. Bank of New York Mellon (NYSE:BK Get Free Report) last released its quarterly earnings data on Friday, July 12th. The bank reported $1.51 earnings per share for the quarter, beating analysts consensus estimates of $1.43 by $0.08. Bank of New York Mellon had a net margin of 9.40% and a return on equity of 11.87%. The company had revenue of $4.60 billion during the quarter, compared to analysts expectations of $4.53 billion. During the same quarter in the previous year, the company earned $1.38 earnings per share. The firms revenue for the quarter was up 2.1% on a year-over-year basis. Analysts anticipate that The Bank of New York Mellon Co. will post 5.63 earnings per share for the current year. Bank of New York Mellon Increases Dividend The firm also recently disclosed a quarterly dividend, which was paid on Friday, August 2nd. Stockholders of record on Monday, July 22nd were given a $0.47 dividend. The ex-dividend date was Monday, July 22nd. This is a boost from Bank of New York Mellons previous quarterly dividend of $0.42. This represents a $1.88 dividend on an annualized basis and a dividend yield of 2.74%. Bank of New York Mellons payout ratio is 43.52%. About Bank of New York Mellon (Free Report) The Bank of New York Mellon Corporation provides a range of financial products and services in the United States and internationally. The company operates through Securities Services, Market and Wealth Services, Investment and Wealth Management, and other segments. The Securities Services segment offers custody, trust and depositary, accounting, exchange-traded funds, middle-office solutions, transfer agency, services for private equity and real estate funds, foreign exchange, securities lending, liquidity/lending services, and data analytics. Read More Want to see what other hedge funds are holding BK? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Bank of New York Mellon Co. (NYSE:BK Free Report). Receive News & Ratings for Bank of New York Mellon Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank of New York Mellon and related companies with MarketBeat.com's FREE daily email newsletter. Finance of America Companies Inc. (NYSE:FOA Get Free Report) was the target of a significant growth in short interest in the month of August. As of August 31st, there was short interest totalling 58,200 shares, a growth of 45.9% from the August 15th total of 39,900 shares. Currently, 1.6% of the companys shares are sold short. Based on an average daily volume of 37,800 shares, the short-interest ratio is currently 1.5 days. Institutional Inflows and Outflows Several hedge funds and other institutional investors have recently added to or reduced their stakes in FOA. AQR Capital Management LLC bought a new position in shares of Finance of America Companies during the 2nd quarter worth approximately $41,000. Point72 Asia Singapore Pte. Ltd. bought a new stake in Finance of America Companies during the second quarter worth $43,000. Finally, Renaissance Technologies LLC boosted its position in Finance of America Companies by 2.7% in the second quarter. Renaissance Technologies LLC now owns 112,500 shares of the companys stock worth $55,000 after purchasing an additional 3,000 shares during the last quarter. 97.20% of the stock is currently owned by hedge funds and other institutional investors. Get Finance of America Companies alerts: Finance of America Companies Stock Up 10.4 % Shares of FOA stock traded up $1.06 during trading hours on Friday, reaching $11.28. The company had a trading volume of 32,433 shares, compared to its average volume of 20,686. The company has a market cap of $112.01 million, a price-to-earnings ratio of -9.32 and a beta of 1.05. The company has a debt-to-equity ratio of 71.55, a current ratio of 2.83 and a quick ratio of 2.83. Finance of America Companies has a 12 month low of $4.10 and a 12 month high of $16.00. The business has a fifty day simple moving average of $7.61 and a 200-day simple moving average of $6.87. Analyst Ratings Changes Finance of America Companies ( NYSE:FOA Get Free Report ) last issued its quarterly earnings data on Tuesday, August 6th. The company reported ($0.05) earnings per share for the quarter, beating the consensus estimate of ($0.20) by $0.15. The company had revenue of $79.04 million for the quarter, compared to the consensus estimate of $66.00 million. During the same quarter last year, the business posted ($0.15) earnings per share. On average, research analysts expect that Finance of America Companies will post 0.11 earnings per share for the current fiscal year. Separately, UBS Group lowered their price objective on shares of Finance of America Companies from $15.00 to $14.00 and set a buy rating for the company in a research note on Thursday, September 5th. Get Our Latest Analysis on FOA Finance of America Companies Company Profile (Get Free Report) Finance of America Companies Inc a financial service holding company, through its subsidiaries, engages in the operation of a retirement solutions platform in the United States. It operates through two segments: Retirement Solutions and Portfolio Management. The Retirement Solutions segment engages in the loan origination activities comprising home equity conversion, proprietary reverse, and hybrid mortgage loans for senior homeowners. Further Reading Receive News & Ratings for Finance of America Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Finance of America Companies and related companies with MarketBeat.com's FREE daily email newsletter. Fresenius Medical Care AG (ETR:FME Get Free Report) passed above its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of 36.65 ($40.27) and traded as high as 37.62 ($41.34). Fresenius Medical Care shares last traded at 37.56 ($41.27), with a volume of 493,103 shares. Fresenius Medical Care Trading Down 1.7 % The stocks fifty day moving average price is 35.39 and its 200 day moving average price is 36.61. The company has a quick ratio of 0.80, a current ratio of 1.46 and a debt-to-equity ratio of 77.56. The stock has a market cap of $10.65 billion, a PE ratio of 20.05, a PEG ratio of 0.43 and a beta of 0.86. Fresenius Medical Care Company Profile (Get Free Report) Fresenius Medical Care AG provides dialysis and related services for individuals with renal diseases in Germany, North America, and internationally. The company offers dialysis treatment and related laboratory and diagnostic services through a network of outpatient dialysis clinics; materials, training, and patient support services comprising clinical monitoring, follow-up assistance, and arranging for delivery of the supplies to the patient's residence; and dialysis services under contract to hospitals in the United States for the hospitalized end-stage renal disease (ESRD) patients and for patients suffering from acute kidney failure. Featured Articles Receive News & Ratings for Fresenius Medical Care Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Fresenius Medical Care and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Ganfeng Lithium Group Co., Ltd. (OTCMKTS:GNENF Get Free Report) shot up 11.7% on Wednesday . The company traded as high as $2.20 and last traded at $2.20. 2,272 shares traded hands during trading, a decline of 76% from the average session volume of 9,570 shares. The stock had previously closed at $1.97. Ganfeng Lithium Group Stock Performance The business has a 50 day moving average of $2.15 and a two-hundred day moving average of $2.68. Ganfeng Lithium Group Company Profile (Get Free Report) Ganfeng Lithium Group Co, Ltd. manufactures and sells lithium products in Mainland China, rest of Asia, the European Union, North America, and internationally. It operates through three segments: Lithium Metal and Compound, Lithium Battery, and Lithium Ore Resource and Others. The company has interests in the Mount Marion mine located in Australia; Cauchari-Olaroz project situated in Jujuy Province, Northwest Argentina; Mariana project located in Salta Province, Argentina; Sonora project situated in Mexico; Pilbara Pilgangoora lithium-tantalum project located in Western Australia; Ningdu Heyuan mine situated in Ningdu County, Ganzhou City, Jiangxi Province; Avalonia project located in Ireland; Qinghai Yiliping lithium salt lake project situated in the Qinghai Province; Goulamina spodumene ore project located in southern Mali, Africa; PPG lithium salt-lake project located in Salta Province, Argentina; and Songshugang tantalum-niobium mine project located in Jiangxi Province. See Also Receive News & Ratings for Ganfeng Lithium Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Ganfeng Lithium Group and related companies with MarketBeat.com's FREE daily email newsletter. Great Portland Estates (OTCMKTS:GPEAF Get Free Report) was upgraded by stock analysts at BNP Paribas to a strong sell rating in a research report issued on Thursday, Zacks.com reports. Great Portland Estates Stock Performance Shares of OTCMKTS:GPEAF opened at $5.14 on Thursday. Great Portland Estates has a 1-year low of $4.42 and a 1-year high of $6.90. The company has a 50 day moving average price of $5.14 and a 200 day moving average price of $5.14. Get Great Portland Estates alerts: Great Portland Estates Company Profile (Get Free Report) Further Reading We are a FTSE 250 property investment and development company owning 2.5 billion of real estate in central London. We proactively manage our portfolio, flexing our activities in tune with London's property cycle to deliver long-term out-performance. We create in-demand spaces that people want to be part of; helping our occupiers, local communities and the city to thrive. Receive News & Ratings for Great Portland Estates Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Great Portland Estates and related companies with MarketBeat.com's FREE daily email newsletter. Great Wall Motor Company Limited (OTCMKTS:GWLLY Get Free Report) was the target of a large growth in short interest in August. As of August 31st, there was short interest totalling 600 shares, a growth of 50.0% from the August 15th total of 400 shares. Based on an average daily trading volume, of 1,500 shares, the days-to-cover ratio is presently 0.4 days. Great Wall Motor Price Performance OTCMKTS:GWLLY remained flat at $14.14 during trading hours on Friday. The companys stock had a trading volume of 95 shares, compared to its average volume of 1,547. Great Wall Motor has a 1-year low of $9.69 and a 1-year high of $18.65. The companys 50 day moving average price is $14.02 and its 200 day moving average price is $14.21. Get Great Wall Motor alerts: About Great Wall Motor (Get Free Report) Featured Stories Great Wall Motor Company Limited researches and develops, manufactures, and sells automobiles, and automotive parts and components in China, Europe, ASEAN countries, Latin America, the Middle East, Australia, South Africa, and internationally. The company offers SUVs, sedans, pick-up trucks, multi-purpose vehicles, and energy vehicles primarily under the Haval, WEY, ORA, Tank, and Great Wall Pickup brand names. Receive News & Ratings for Great Wall Motor Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Great Wall Motor and related companies with MarketBeat.com's FREE daily email newsletter. Guardian Wealth Management Inc. increased its holdings in shares of Abbott Laboratories (NYSE:ABT Free Report) by 0.7% during the 2nd quarter, according to the company in its most recent 13F filing with the SEC. The institutional investor owned 26,949 shares of the healthcare product makers stock after acquiring an additional 192 shares during the period. Abbott Laboratories comprises about 2.1% of Guardian Wealth Management Inc.s investment portfolio, making the stock its 15th biggest position. Guardian Wealth Management Inc.s holdings in Abbott Laboratories were worth $2,800,000 at the end of the most recent quarter. Several other hedge funds have also recently added to or reduced their stakes in ABT. Encompass More Asset Management lifted its stake in shares of Abbott Laboratories by 3.3% in the second quarter. Encompass More Asset Management now owns 3,002 shares of the healthcare product makers stock worth $312,000 after acquiring an additional 96 shares during the period. Wynn Capital LLC raised its position in shares of Abbott Laboratories by 2.9% in the 1st quarter. Wynn Capital LLC now owns 3,427 shares of the healthcare product makers stock worth $390,000 after acquiring an additional 98 shares in the last quarter. Hummer Financial Advisory Services Inc boosted its position in shares of Abbott Laboratories by 1.2% during the 1st quarter. Hummer Financial Advisory Services Inc now owns 8,290 shares of the healthcare product makers stock valued at $942,000 after purchasing an additional 100 shares in the last quarter. NORTHSTAR ASSET MANAGEMENT Co LLC increased its holdings in Abbott Laboratories by 1.9% in the first quarter. NORTHSTAR ASSET MANAGEMENT Co LLC now owns 5,396 shares of the healthcare product makers stock worth $613,000 after purchasing an additional 100 shares in the last quarter. Finally, Addison Capital Co lifted its stake in Abbott Laboratories by 5.4% in the first quarter. Addison Capital Co now owns 1,950 shares of the healthcare product makers stock valued at $222,000 after buying an additional 100 shares during the period. Institutional investors and hedge funds own 75.18% of the companys stock. Get Abbott Laboratories alerts: Insider Transactions at Abbott Laboratories In other news, CEO Robert B. Ford sold 141,679 shares of the firms stock in a transaction on Thursday, September 12th. The shares were sold at an average price of $116.41, for a total transaction of $16,492,852.39. Following the sale, the chief executive officer now owns 220,059 shares in the company, valued at approximately $25,617,068.19. The transaction was disclosed in a filing with the SEC, which is accessible through this link. 1.10% of the stock is owned by insiders. Analyst Upgrades and Downgrades Several research firms have recently weighed in on ABT. The Goldman Sachs Group assumed coverage on shares of Abbott Laboratories in a research note on Thursday, May 30th. They issued a buy rating and a $121.00 price objective for the company. Evercore ISI cut their price objective on shares of Abbott Laboratories from $125.00 to $120.00 and set an outperform rating on the stock in a research report on Tuesday, July 2nd. Royal Bank of Canada reaffirmed an outperform rating and issued a $125.00 target price on shares of Abbott Laboratories in a research report on Tuesday, June 4th. Barclays raised their price objective on Abbott Laboratories from $140.00 to $143.00 and gave the company an overweight rating in a research note on Monday, July 29th. Finally, Citigroup upped their target price on Abbott Laboratories from $119.00 to $127.00 and gave the stock a buy rating in a research report on Thursday, August 22nd. Two research analysts have rated the stock with a hold rating and eight have given a buy rating to the companys stock. According to MarketBeat, the stock has an average rating of Moderate Buy and an average price target of $121.80. Read Our Latest Research Report on ABT Abbott Laboratories Stock Performance NYSE ABT opened at $116.40 on Friday. The companys 50 day moving average is $109.24 and its 200-day moving average is $108.73. The firm has a market cap of $202.52 billion, a P/E ratio of 36.26, a price-to-earnings-growth ratio of 2.90 and a beta of 0.72. Abbott Laboratories has a 12-month low of $89.67 and a 12-month high of $121.64. The company has a quick ratio of 1.18, a current ratio of 1.68 and a debt-to-equity ratio of 0.33. Abbott Laboratories (NYSE:ABT Get Free Report) last posted its earnings results on Thursday, July 18th. The healthcare product maker reported $1.14 earnings per share (EPS) for the quarter, topping the consensus estimate of $1.10 by $0.04. Abbott Laboratories had a return on equity of 20.18% and a net margin of 13.65%. The firm had revenue of $10.38 billion for the quarter, compared to the consensus estimate of $10.37 billion. During the same quarter in the prior year, the business posted $1.08 earnings per share. The businesss quarterly revenue was up 4.0% on a year-over-year basis. Sell-side analysts predict that Abbott Laboratories will post 4.66 earnings per share for the current year. Abbott Laboratories Profile (Free Report) Abbott Laboratories, together with its subsidiaries, discovers, develops, manufactures, and sells health care products worldwide. It operates in four segments: Established Pharmaceutical Products, Diagnostic Products, Nutritional Products, and Medical Devices. The company provides generic pharmaceuticals for the treatment of pancreatic exocrine insufficiency, irritable bowel syndrome or biliary spasm, intrahepatic cholestasis or depressive symptoms, gynecological disorder, hormone replacement therapy, dyslipidemia, hypertension, hypothyroidism, Meniere's disease and vestibular vertigo, pain, fever, inflammation, and migraine, as well as provides anti-infective clarithromycin, influenza vaccine, and products to regulate physiological rhythm of the colon. Recommended Stories Want to see what other hedge funds are holding ABT? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Abbott Laboratories (NYSE:ABT Free Report). Receive News & Ratings for Abbott Laboratories Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Abbott Laboratories and related companies with MarketBeat.com's FREE daily email newsletter. Inchcape plc (LON:INCH Get Free Report) crossed above its two hundred day moving average during trading on Wednesday . The stock has a two hundred day moving average of GBX 769.36 ($10.06) and traded as high as GBX 832.50 ($10.89). Inchcape shares last traded at GBX 814 ($10.64), with a volume of 492,270 shares. Wall Street Analyst Weigh In INCH has been the subject of a number of research reports. Deutsche Bank Aktiengesellschaft restated a buy rating and issued a GBX 1,100 ($14.38) price target on shares of Inchcape in a report on Tuesday, June 18th. Berenberg Bank increased their price target on Inchcape from GBX 1,040 ($13.60) to GBX 1,120 ($14.65) and gave the stock a buy rating in a report on Thursday, August 8th. Get Inchcape alerts: Read Our Latest Research Report on Inchcape Inchcape Stock Up 0.7 % Inchcape Cuts Dividend The company has a debt-to-equity ratio of 198.14, a quick ratio of 0.51 and a current ratio of 1.10. The stocks 50 day simple moving average is GBX 827.88 and its 200 day simple moving average is GBX 771.50. The firm has a market cap of 3.36 billion, a P/E ratio of 1,228.36, a price-to-earnings-growth ratio of 2.06 and a beta of 1.19. The company also recently disclosed a dividend, which was paid on Friday, September 6th. Investors of record on Thursday, August 8th were paid a GBX 11.30 ($0.15) dividend. This represents a yield of 1.34%. The ex-dividend date was Thursday, August 8th. Inchcapes dividend payout ratio is currently 5,373.13%. About Inchcape (Get Free Report) Inchcape plc operates as an automotive distributor and retailer. It engages in the distribution, sales, and marketing of new and used cars, and parts. The company also provides aftersales service and body shop repairs; and finance and insurance products and services, as well as product planning, logistics, brand and marketing, channel management, and retail services. Further Reading Receive News & Ratings for Inchcape Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Inchcape and related companies with MarketBeat.com's FREE daily email newsletter. Chipotle Mexican Grill, Inc. (NYSE:CMG Get Free Report) insider Curtis E. Garner sold 15,750 shares of Chipotle Mexican Grill stock in a transaction on Wednesday, September 11th. The shares were sold at an average price of $55.02, for a total value of $866,565.00. Following the completion of the transaction, the insider now owns 473,514 shares in the company, valued at approximately $26,052,740.28. The sale was disclosed in a document filed with the SEC, which is accessible through this hyperlink. Chipotle Mexican Grill Stock Performance Shares of CMG opened at $56.12 on Friday. The firm has a market cap of $76.85 billion, a PE ratio of 59.88, a price-to-earnings-growth ratio of 2.33 and a beta of 1.25. Chipotle Mexican Grill, Inc. has a 52-week low of $35.37 and a 52-week high of $69.26. The businesss 50 day moving average price is $54.30 and its two-hundred day moving average price is $80.70. Get Chipotle Mexican Grill alerts: Chipotle Mexican Grill (NYSE:CMG Get Free Report) last issued its earnings results on Wednesday, July 24th. The restaurant operator reported $0.34 earnings per share (EPS) for the quarter, topping the consensus estimate of $0.32 by $0.02. The business had revenue of $2.97 billion for the quarter, compared to analyst estimates of $2.94 billion. Chipotle Mexican Grill had a net margin of 13.23% and a return on equity of 44.01%. Chipotle Mexican Grills revenue was up 18.2% on a year-over-year basis. During the same period in the prior year, the firm earned $12.65 earnings per share. Research analysts predict that Chipotle Mexican Grill, Inc. will post 1.08 EPS for the current fiscal year. Analyst Ratings Changes CMG has been the subject of several research analyst reports. JPMorgan Chase & Co. boosted their target price on Chipotle Mexican Grill from $55.00 to $60.00 and gave the stock a neutral rating in a research note on Monday, June 3rd. Raymond James dropped their price target on Chipotle Mexican Grill from $70.00 to $62.50 and set an outperform rating for the company in a research note on Thursday, July 25th. StockNews.com cut Chipotle Mexican Grill from a buy rating to a hold rating in a report on Thursday, July 4th. Piper Sandler dropped their target price on Chipotle Mexican Grill from $61.00 to $56.00 and set a neutral rating for the company in a research report on Thursday, July 25th. Finally, The Goldman Sachs Group reduced their price target on Chipotle Mexican Grill from $74.60 to $67.00 and set a buy rating on the stock in a report on Thursday, July 25th. Ten research analysts have rated the stock with a hold rating and eighteen have issued a buy rating to the companys stock. According to data from MarketBeat, the stock has a consensus rating of Moderate Buy and a consensus price target of $62.36. Check Out Our Latest Stock Report on Chipotle Mexican Grill Hedge Funds Weigh In On Chipotle Mexican Grill A number of institutional investors and hedge funds have recently made changes to their positions in the stock. Thurston Springer Miller Herd & Titak Inc. bought a new stake in shares of Chipotle Mexican Grill during the second quarter worth about $25,000. Riverview Trust Co lifted its position in Chipotle Mexican Grill by 4,900.0% in the 2nd quarter. Riverview Trust Co now owns 400 shares of the restaurant operators stock valued at $25,000 after acquiring an additional 392 shares in the last quarter. RiverPark Advisors LLC boosted its stake in Chipotle Mexican Grill by 4,900.0% during the 2nd quarter. RiverPark Advisors LLC now owns 400 shares of the restaurant operators stock valued at $25,000 after purchasing an additional 392 shares during the last quarter. Archer Investment Corp purchased a new stake in shares of Chipotle Mexican Grill in the second quarter worth approximately $25,000. Finally, Oliver Lagore Vanvalin Investment Group lifted its holdings in shares of Chipotle Mexican Grill by 4,900.0% in the second quarter. Oliver Lagore Vanvalin Investment Group now owns 400 shares of the restaurant operators stock valued at $25,000 after purchasing an additional 392 shares in the last quarter. Institutional investors own 91.31% of the companys stock. About Chipotle Mexican Grill (Get Free Report) Chipotle Mexican Grill, Inc, together with its subsidiaries, owns and operates Chipotle Mexican Grill restaurants. It sells food and beverages through offering burritos, burrito bowls, quesadillas, tacos, and salads. The company also provides delivery and related services its app and website. It has operations in the United States, Canada, France, Germany, and the United Kingdom. Featured Stories Receive News & Ratings for Chipotle Mexican Grill Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Chipotle Mexican Grill and related companies with MarketBeat.com's FREE daily email newsletter. Johnson Investment Counsel Inc. acquired a new position in shares of DoubleVerify Holdings, Inc. (NYSE:DV Free Report) in the 2nd quarter, HoldingsChannel reports. The institutional investor acquired 68,930 shares of the companys stock, valued at approximately $1,342,000. A number of other hedge funds and other institutional investors have also modified their holdings of DV. Y.D. More Investments Ltd lifted its position in shares of DoubleVerify by 40.3% in the 2nd quarter. Y.D. More Investments Ltd now owns 15,676 shares of the companys stock valued at $305,000 after acquiring an additional 4,500 shares in the last quarter. State of New Jersey Common Pension Fund D lifted its holdings in shares of DoubleVerify by 22.5% in the second quarter. State of New Jersey Common Pension Fund D now owns 97,681 shares of the companys stock valued at $1,902,000 after purchasing an additional 17,967 shares in the last quarter. Pier Capital LLC boosted its position in shares of DoubleVerify by 23.5% in the second quarter. Pier Capital LLC now owns 310,098 shares of the companys stock worth $6,038,000 after buying an additional 59,099 shares during the period. Van Berkom & Associates Inc. grew its stake in DoubleVerify by 25.9% during the 2nd quarter. Van Berkom & Associates Inc. now owns 3,046,200 shares of the companys stock valued at $59,310,000 after acquiring an additional 626,586 shares in the last quarter. Finally, United Services Automobile Association grew its position in DoubleVerify by 7.4% during the second quarter. United Services Automobile Association now owns 10,798 shares of the companys stock valued at $210,000 after purchasing an additional 746 shares in the last quarter. Institutional investors and hedge funds own 97.29% of the companys stock. Get DoubleVerify alerts: Wall Street Analysts Forecast Growth DV has been the topic of several research reports. Macquarie reaffirmed an outperform rating and issued a $25.00 target price on shares of DoubleVerify in a research report on Wednesday, July 31st. Needham & Company LLC reiterated a buy rating and issued a $33.00 target price on shares of DoubleVerify in a research note on Wednesday, July 31st. Morgan Stanley boosted their target price on shares of DoubleVerify from $21.00 to $22.00 and gave the stock an equal weight rating in a research report on Tuesday, July 23rd. Royal Bank of Canada restated an outperform rating and set a $40.00 price target on shares of DoubleVerify in a report on Friday, June 14th. Finally, The Goldman Sachs Group reiterated a buy rating and issued a $28.00 price objective on shares of DoubleVerify in a report on Friday. One analyst has rated the stock with a sell rating, five have issued a hold rating and fourteen have issued a buy rating to the company. Based on data from MarketBeat, the stock presently has an average rating of Moderate Buy and a consensus price target of $31.53. Insider Activity In related news, CFO Nicola T. Allais sold 1,764 shares of DoubleVerify stock in a transaction dated Thursday, July 18th. The stock was sold at an average price of $20.16, for a total transaction of $35,562.24. Following the sale, the chief financial officer now directly owns 77,314 shares of the companys stock, valued at $1,558,650.24. The transaction was disclosed in a document filed with the SEC, which can be accessed through this link. Over the last 90 days, insiders sold 5,292 shares of company stock valued at $104,835. Insiders own 3.00% of the companys stock. DoubleVerify Stock Down 0.3 % Shares of NYSE DV opened at $17.43 on Friday. DoubleVerify Holdings, Inc. has a 12 month low of $17.22 and a 12 month high of $43.00. The company has a market cap of $2.96 billion, a P/E ratio of 45.86, a P/E/G ratio of 2.40 and a beta of 0.92. The businesss 50 day moving average is $19.42 and its two-hundred day moving average is $23.81. DoubleVerify (NYSE:DV Get Free Report) last announced its earnings results on Tuesday, July 30th. The company reported $0.04 earnings per share (EPS) for the quarter, hitting analysts consensus estimates of $0.04. DoubleVerify had a net margin of 9.97% and a return on equity of 5.70%. The business had revenue of $155.89 million for the quarter, compared to analyst estimates of $153.78 million. During the same quarter in the previous year, the firm posted $0.07 earnings per share. DoubleVerifys revenue was up 16.6% compared to the same quarter last year. Sell-side analysts expect that DoubleVerify Holdings, Inc. will post 0.3 earnings per share for the current fiscal year. About DoubleVerify (Free Report) DoubleVerify Holdings, Inc provides a software platform for digital media measurement, and data analytics in the United States and internationally. The company provides solutions to advertisers that enable advertisers to increase the effectiveness and quality and return on their digital advertising investments. Featured Articles Want to see what other hedge funds are holding DV? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for DoubleVerify Holdings, Inc. (NYSE:DV Free Report). Receive News & Ratings for DoubleVerify Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for DoubleVerify and related companies with MarketBeat.com's FREE daily email newsletter. Kohls Co. (NYSE:KSS Get Free Report) was the target of some unusual options trading on Friday. Stock traders bought 37,471 call options on the company. This is an increase of approximately 66% compared to the average volume of 22,509 call options. Wall Street Analyst Weigh In A number of research firms recently commented on KSS. StockNews.com cut Kohls from a buy rating to a hold rating in a research note on Friday, May 31st. Morgan Stanley cut Kohls to an underweight rating and reduced their target price for the company from $18.00 to $17.00 in a research note on Tuesday, August 27th. Evercore ISI reduced their target price on Kohls from $22.00 to $20.00 and set an in-line rating on the stock in a research note on Thursday, August 29th. JPMorgan Chase & Co. lowered shares of Kohls from a neutral rating to an underweight rating and set a $19.00 price target for the company. in a research report on Thursday, August 29th. Finally, Telsey Advisory Group restated a market perform rating and set a $23.00 price target on shares of Kohls in a research report on Wednesday, August 28th. Three investment analysts have rated the stock with a sell rating, five have issued a hold rating and one has issued a buy rating to the company. According to data from MarketBeat, the company has a consensus rating of Hold and a consensus price target of $20.67. Get Kohl's alerts: Read Our Latest Stock Report on Kohls Institutional Trading of Kohls Kohls Trading Up 1.3 % Institutional investors have recently modified their holdings of the company. Algert Global LLC bought a new position in Kohls in the second quarter worth about $694,000. Texas Capital Bank Wealth Management Services Inc raised its stake in Kohls by 100.0% in the second quarter. Texas Capital Bank Wealth Management Services Inc now owns 80,000 shares of the companys stock worth $1,839,000 after buying an additional 40,000 shares in the last quarter. Susquehanna Fundamental Investments LLC raised its stake in Kohls by 1,422.5% in the second quarter. Susquehanna Fundamental Investments LLC now owns 239,028 shares of the companys stock worth $5,495,000 after buying an additional 223,328 shares in the last quarter. The Manufacturers Life Insurance Company raised its stake in Kohls by 0.5% in the second quarter. The Manufacturers Life Insurance Company now owns 234,659 shares of the companys stock worth $5,395,000 after buying an additional 1,079 shares in the last quarter. Finally, Deerfield Management Company L.P. Series C raised its stake in Kohls by 83.9% in the second quarter. Deerfield Management Company L.P. Series C now owns 30,166 shares of the companys stock worth $694,000 after buying an additional 13,761 shares in the last quarter. 98.04% of the stock is currently owned by hedge funds and other institutional investors. NYSE KSS traded up $0.26 during trading on Friday, hitting $19.72. 6,080,145 shares of the companys stock traded hands, compared to its average volume of 5,875,125. The company has a debt-to-equity ratio of 0.98, a current ratio of 1.08 and a quick ratio of 0.16. The stock has a fifty day moving average of $20.49 and a two-hundred day moving average of $23.29. The firm has a market cap of $2.19 billion, a P/E ratio of 7.92, a PEG ratio of 1.32 and a beta of 1.93. Kohls has a 12-month low of $17.68 and a 12-month high of $29.60. Kohls (NYSE:KSS Get Free Report) last posted its earnings results on Wednesday, August 28th. The company reported $0.59 earnings per share for the quarter, topping analysts consensus estimates of $0.46 by $0.13. The company had revenue of $3.53 billion during the quarter, compared to analyst estimates of $3.69 billion. Kohls had a net margin of 1.66% and a return on equity of 7.43%. Kohlss revenue for the quarter was down 4.2% on a year-over-year basis. During the same period in the prior year, the business posted $0.52 earnings per share. As a group, sell-side analysts expect that Kohls will post 1.81 EPS for the current year. Kohls Dividend Announcement The company also recently announced a quarterly dividend, which will be paid on Wednesday, September 25th. Stockholders of record on Wednesday, September 11th will be issued a dividend of $0.50 per share. The ex-dividend date is Wednesday, September 11th. This represents a $2.00 dividend on an annualized basis and a dividend yield of 10.14%. Kohlss dividend payout ratio is currently 80.65%. About Kohls (Get Free Report) Kohl's Corporation operates as an omnichannel retailer in the United States. It offers branded apparel, footwear, accessories, beauty, and home products through its stores and website. The company provides its products primarily under the brand names of Croft & Barrow, Jumping Beans, SO, Sonoma Goods for Life, and Tek Gear, as well as Food Network, LC Lauren Conrad, Nine West, and Simply Vera Vera Wang. Featured Articles Receive News & Ratings for Kohl's Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Kohl's and related companies with MarketBeat.com's FREE daily email newsletter. Koninklijke Philips (NYSE:PHG Get Free Report) reached a new 52-week high during mid-day trading on Thursday . The stock traded as high as $30.74 and last traded at $30.74, with a volume of 106998 shares changing hands. The stock had previously closed at $30.46. Wall Street Analyst Weigh In Separately, StockNews.com raised Koninklijke Philips from a hold rating to a buy rating in a research report on Monday, July 15th. Three investment analysts have rated the stock with a hold rating and two have assigned a buy rating to the company. Based on data from MarketBeat.com, the stock currently has an average rating of Hold. Get Koninklijke Philips alerts: View Our Latest Stock Analysis on Koninklijke Philips Koninklijke Philips Stock Performance The company has a debt-to-equity ratio of 0.60, a current ratio of 1.16 and a quick ratio of 0.74. The company has a market capitalization of $28.89 billion, a PE ratio of -32.02, a price-to-earnings-growth ratio of 1.05 and a beta of 0.73. The firms fifty day simple moving average is $28.44 and its 200 day simple moving average is $25.33. Koninklijke Philips (NYSE:PHG Get Free Report) last posted its quarterly earnings results on Monday, July 29th. The technology company reported $0.32 EPS for the quarter. Koninklijke Philips had a negative net margin of 2.35% and a positive return on equity of 10.15%. The company had revenue of $4.80 billion for the quarter. On average, research analysts predict that Koninklijke Philips will post 1.5 EPS for the current year. Institutional Investors Weigh In On Koninklijke Philips Several hedge funds have recently modified their holdings of PHG. Principal Securities Inc. acquired a new stake in shares of Koninklijke Philips during the 4th quarter worth approximately $27,000. Allspring Global Investments Holdings LLC purchased a new stake in shares of Koninklijke Philips during the 1st quarter worth $40,000. Fairscale Capital LLC purchased a new stake in shares of Koninklijke Philips during the 2nd quarter worth $54,000. CWM LLC raised its stake in Koninklijke Philips by 21.9% during the 2nd quarter. CWM LLC now owns 3,429 shares of the technology companys stock worth $86,000 after acquiring an additional 616 shares in the last quarter. Finally, Headlands Technologies LLC acquired a new position in Koninklijke Philips in the first quarter valued at $91,000. 13.67% of the stock is owned by hedge funds and other institutional investors. About Koninklijke Philips (Get Free Report) Koninklijke Philips N.V. operates as a health technology company in North America, the Greater China, and internationally. The company operates through Diagnosis & Treatment Businesses, Connected Care Businesses, and Personal Health Businesses segments. It also provides diagnostic imaging solutions, includes magnetic resonance imaging, X-ray systems, and computed tomography (CT) systems and software comprising detector-based spectral CT solutions, as well as molecular and hybrid imaging solutions for nuclear medicine; echography solutions focused on diagnosis, treatment planning and guidance for cardiology, general imaging, obstetrics/gynecology, and point-of-care applications; integrated interventional systems, and interventional diagnostic and therapeutic devices to treat coronary artery and peripheral vascular disease. Read More Receive News & Ratings for Koninklijke Philips Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Koninklijke Philips and related companies with MarketBeat.com's FREE daily email newsletter. Lithia Motors (NYSE:LAD Free Report) had its price objective upped by JPMorgan Chase & Co. from $315.00 to $375.00 in a research note issued to investors on Tuesday, Benzinga reports. JPMorgan Chase & Co. currently has an overweight rating on the stock. Other research analysts have also issued reports about the stock. Bank of America raised their target price on shares of Lithia Motors from $320.00 to $330.00 and gave the company a buy rating in a research note on Monday, July 22nd. Wells Fargo & Company increased their price target on shares of Lithia Motors from $266.00 to $302.00 and gave the company an equal weight rating in a report on Friday, August 2nd. Guggenheim raised shares of Lithia Motors from a neutral rating to a buy rating and set a $338.00 price target for the company in a report on Friday, August 9th. The Goldman Sachs Group increased their price target on shares of Lithia Motors from $300.00 to $335.00 and gave the company a neutral rating in a report on Friday, August 2nd. Finally, StockNews.com downgraded shares of Lithia Motors from a hold rating to a sell rating in a report on Tuesday, August 13th. Two analysts have rated the stock with a sell rating, three have given a hold rating and six have issued a buy rating to the companys stock. According to data from MarketBeat, the stock currently has a consensus rating of Hold and an average target price of $326.00. Get Lithia Motors alerts: Check Out Our Latest Stock Report on Lithia Motors Lithia Motors Stock Performance NYSE:LAD opened at $279.58 on Tuesday. Lithia Motors has a 1 year low of $231.36 and a 1 year high of $331.96. The firms fifty day simple moving average is $275.35 and its 200 day simple moving average is $271.55. The company has a current ratio of 1.19, a quick ratio of 0.30 and a debt-to-equity ratio of 1.26. The firm has a market capitalization of $7.66 billion, a PE ratio of 8.25, a PEG ratio of 0.26 and a beta of 1.64. Lithia Motors (NYSE:LAD Get Free Report) last posted its earnings results on Thursday, August 1st. The company reported $7.87 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $7.09 by $0.78. Lithia Motors had a net margin of 2.52% and a return on equity of 13.84%. The business had revenue of $9.23 billion for the quarter, compared to analyst estimates of $9.29 billion. During the same period last year, the business posted $10.91 EPS. Lithia Motorss revenue was up 13.8% compared to the same quarter last year. On average, sell-side analysts anticipate that Lithia Motors will post 28.89 EPS for the current year. Lithia Motors Announces Dividend The company also recently declared a quarterly dividend, which was paid on Friday, August 23rd. Investors of record on Friday, August 9th were issued a dividend of $0.53 per share. This represents a $2.12 annualized dividend and a yield of 0.76%. The ex-dividend date of this dividend was Friday, August 9th. Lithia Motorss dividend payout ratio is currently 6.26%. Insider Activity at Lithia Motors In related news, Director Shauna Mcintyre sold 306 shares of Lithia Motors stock in a transaction dated Friday, August 2nd. The shares were sold at an average price of $289.65, for a total transaction of $88,632.90. Following the completion of the transaction, the director now directly owns 1,922 shares of the companys stock, valued at approximately $556,707.30. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at the SEC website. In other Lithia Motors news, Director Sidney B. Deboer sold 11,938 shares of the firms stock in a transaction dated Friday, August 23rd. The shares were sold at an average price of $290.86, for a total value of $3,472,286.68. Following the completion of the sale, the director now owns 46,129 shares in the company, valued at approximately $13,417,080.94. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink. Also, Director Shauna Mcintyre sold 306 shares of the firms stock in a transaction dated Friday, August 2nd. The shares were sold at an average price of $289.65, for a total transaction of $88,632.90. Following the completion of the sale, the director now owns 1,922 shares of the companys stock, valued at approximately $556,707.30. The disclosure for this sale can be found here. 1.79% of the stock is currently owned by insiders. Institutional Investors Weigh In On Lithia Motors Hedge funds and other institutional investors have recently added to or reduced their stakes in the stock. Norges Bank acquired a new stake in shares of Lithia Motors during the 4th quarter worth approximately $123,976,000. Cadian Capital Management LP acquired a new stake in shares of Lithia Motors during the 4th quarter worth approximately $105,370,000. Barrow Hanley Mewhinney & Strauss LLC grew its position in shares of Lithia Motors by 14.7% during the 2nd quarter. Barrow Hanley Mewhinney & Strauss LLC now owns 1,700,893 shares of the companys stock worth $429,390,000 after buying an additional 217,867 shares during the period. Dimensional Fund Advisors LP grew its position in shares of Lithia Motors by 25.0% during the 2nd quarter. Dimensional Fund Advisors LP now owns 1,016,520 shares of the companys stock worth $256,621,000 after buying an additional 203,543 shares during the period. Finally, Bridges Investment Management Inc. grew its position in shares of Lithia Motors by 118.9% during the 2nd quarter. Bridges Investment Management Inc. now owns 160,860 shares of the companys stock worth $40,609,000 after buying an additional 87,362 shares during the period. About Lithia Motors (Get Free Report) Lithia Motors, Inc operates as an automotive retailer worldwide. It operates in two segments, Vehicle Operations and Financing Operations. The company's Vehicle Operations segment sells new and used vehicles; provides parts, repair, and maintenance services; vehicle finance; and insurance products. Featured Articles Receive News & Ratings for Lithia Motors Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Lithia Motors and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Advisory Services Inc. increased its position in Altria Group, Inc. (NYSE:MO Free Report) by 39.7% in the 2nd quarter, HoldingsChannel reports. The fund owned 34,927 shares of the companys stock after buying an additional 9,930 shares during the quarter. Meeder Advisory Services Inc.s holdings in Altria Group were worth $1,591,000 as of its most recent filing with the Securities and Exchange Commission. Several other hedge funds and other institutional investors also recently added to or reduced their stakes in MO. Gilbert & Cook Inc. increased its holdings in Altria Group by 3.3% in the 4th quarter. Gilbert & Cook Inc. now owns 12,928 shares of the companys stock worth $522,000 after acquiring an additional 413 shares in the last quarter. Aveo Capital Partners LLC boosted its stake in Altria Group by 3.2% in the 4th quarter. Aveo Capital Partners LLC now owns 10,845 shares of the companys stock worth $437,000 after purchasing an additional 333 shares during the period. 9258 Wealth Management LLC boosted its stake in Altria Group by 17.8% in the 4th quarter. 9258 Wealth Management LLC now owns 6,300 shares of the companys stock worth $254,000 after purchasing an additional 953 shares during the period. Gryphon Financial Partners LLC bought a new position in Altria Group in the 4th quarter worth approximately $217,000. Finally, Massachusetts Financial Services Co. MA boosted its stake in Altria Group by 51.3% in the 4th quarter. Massachusetts Financial Services Co. MA now owns 1,428,870 shares of the companys stock worth $57,641,000 after purchasing an additional 484,618 shares during the period. 57.41% of the stock is owned by hedge funds and other institutional investors. Get Altria Group alerts: Analyst Ratings Changes MO has been the subject of several recent analyst reports. Barclays upped their price target on Altria Group from $43.00 to $45.00 and gave the stock an underweight rating in a research note on Monday, September 9th. Stifel Nicolaus upped their price target on Altria Group from $50.00 to $54.00 and gave the stock a buy rating in a research note on Thursday, August 1st. StockNews.com upgraded Altria Group from a hold rating to a buy rating in a research note on Friday, June 28th. UBS Group increased their target price on Altria Group from $39.00 to $41.00 and gave the company a sell rating in a research report on Monday, September 9th. Finally, Bank of America increased their target price on Altria Group from $52.00 to $57.00 and gave the company a neutral rating in a research report on Wednesday, September 4th. Two analysts have rated the stock with a sell rating, three have given a hold rating and three have issued a buy rating to the companys stock. Based on data from MarketBeat.com, the stock presently has a consensus rating of Hold and a consensus price target of $49.67. Altria Group Stock Performance NYSE:MO opened at $52.96 on Friday. The stocks fifty day moving average is $50.89 and its 200 day moving average is $46.42. Altria Group, Inc. has a fifty-two week low of $39.06 and a fifty-two week high of $54.95. The firm has a market capitalization of $90.36 billion, a PE ratio of 11.08, a PEG ratio of 3.07 and a beta of 0.65. Altria Group (NYSE:MO Get Free Report) last released its quarterly earnings results on Wednesday, July 31st. The company reported $1.31 earnings per share for the quarter, missing the consensus estimate of $1.35 by ($0.04). Altria Group had a net margin of 42.25% and a negative return on equity of 232.55%. The company had revenue of $6.21 billion for the quarter, compared to analysts expectations of $5.39 billion. During the same quarter in the previous year, the company posted $1.31 EPS. The businesss quarterly revenue was up 14.2% on a year-over-year basis. Equities analysts forecast that Altria Group, Inc. will post 5.11 EPS for the current year. Altria Group Increases Dividend The business also recently declared a quarterly dividend, which will be paid on Thursday, October 10th. Investors of record on Monday, September 16th will be issued a dividend of $1.02 per share. This represents a $4.08 annualized dividend and a yield of 7.70%. The ex-dividend date is Monday, September 16th. This is a positive change from Altria Groups previous quarterly dividend of $0.98. Altria Groups dividend payout ratio is presently 82.01%. Altria Group Company Profile (Free Report) Altria Group, Inc, through its subsidiaries, manufactures and sells smokeable and oral tobacco products in the United States. The company offers cigarettes primarily under the Marlboro brand; large cigars and pipe tobacco under the Black & Mild brand; moist smokeless tobacco and snus products under the Copenhagen, Skoal, Red Seal, and Husky brands; oral nicotine pouches under the on! brand; and e-vapor products under the NJOY ACE brand. Read More Want to see what other hedge funds are holding MO? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Altria Group, Inc. (NYSE:MO Free Report). Receive News & Ratings for Altria Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Altria Group and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Advisory Services Inc. increased its stake in Motorola Solutions, Inc. (NYSE:MSI Free Report) by 40.8% in the second quarter, according to the company in its most recent filing with the Securities and Exchange Commission. The firm owned 4,033 shares of the communications equipment providers stock after purchasing an additional 1,168 shares during the period. Meeder Advisory Services Inc.s holdings in Motorola Solutions were worth $1,557,000 as of its most recent filing with the Securities and Exchange Commission. A number of other institutional investors and hedge funds have also recently added to or reduced their stakes in the stock. Dimensional Fund Advisors LP boosted its stake in shares of Motorola Solutions by 10.5% during the 4th quarter. Dimensional Fund Advisors LP now owns 533,401 shares of the communications equipment providers stock worth $166,994,000 after buying an additional 50,494 shares during the last quarter. Avantax Advisory Services Inc. boosted its stake in shares of Motorola Solutions by 28.3% during the 4th quarter. Avantax Advisory Services Inc. now owns 2,241 shares of the communications equipment providers stock worth $701,000 after buying an additional 494 shares during the last quarter. Janney Montgomery Scott LLC boosted its stake in shares of Motorola Solutions by 10.9% during the 4th quarter. Janney Montgomery Scott LLC now owns 25,925 shares of the communications equipment providers stock worth $8,117,000 after buying an additional 2,546 shares during the last quarter. Beacon Pointe Advisors LLC boosted its stake in shares of Motorola Solutions by 0.7% during the 4th quarter. Beacon Pointe Advisors LLC now owns 4,754 shares of the communications equipment providers stock worth $1,488,000 after buying an additional 32 shares during the last quarter. Finally, Private Wealth Management Group LLC acquired a new position in shares of Motorola Solutions during the 4th quarter worth about $29,000. 84.17% of the stock is owned by institutional investors and hedge funds. Get Motorola Solutions alerts: Wall Street Analysts Forecast Growth A number of research analysts have recently commented on the stock. Barclays lifted their price objective on shares of Motorola Solutions from $372.00 to $467.00 and gave the company an overweight rating in a research report on Friday, August 2nd. Evercore ISI boosted their price target on Motorola Solutions from $450.00 to $500.00 and gave the stock an outperform rating in a research report on Friday, August 30th. Bank of America raised their price objective on Motorola Solutions from $370.00 to $440.00 and gave the company a buy rating in a research report on Thursday, July 18th. Deutsche Bank Aktiengesellschaft raised their price objective on Motorola Solutions from $385.00 to $440.00 and gave the company a buy rating in a research report on Tuesday, August 6th. Finally, Jefferies Financial Group raised their price target on Motorola Solutions from $460.00 to $510.00 and gave the company a buy rating in a report on Tuesday, September 3rd. Eight investment analysts have rated the stock with a buy rating, Based on data from MarketBeat.com, the company currently has a consensus rating of Buy and an average target price of $466.17. Motorola Solutions Stock Performance MSI opened at $441.76 on Friday. The company has a debt-to-equity ratio of 7.03, a current ratio of 1.20 and a quick ratio of 1.03. The business has a fifty day simple moving average of $413.76 and a 200 day simple moving average of $377.07. Motorola Solutions, Inc. has a 1 year low of $269.64 and a 1 year high of $448.98. The company has a market capitalization of $73.70 billion, a PE ratio of 54.61, a price-to-earnings-growth ratio of 3.80 and a beta of 0.97. Motorola Solutions (NYSE:MSI Get Free Report) last announced its earnings results on Thursday, August 1st. The communications equipment provider reported $3.24 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $3.01 by $0.23. Motorola Solutions had a net margin of 14.04% and a return on equity of 337.36%. The company had revenue of $2.63 billion during the quarter, compared to analysts expectations of $2.59 billion. During the same quarter in the previous year, the business posted $2.41 earnings per share. Motorola Solutionss quarterly revenue was up 9.4% on a year-over-year basis. Research analysts expect that Motorola Solutions, Inc. will post 12.22 EPS for the current year. Motorola Solutions Dividend Announcement The business also recently disclosed a quarterly dividend, which will be paid on Tuesday, October 15th. Shareholders of record on Friday, September 13th will be issued a $0.98 dividend. The ex-dividend date of this dividend is Friday, September 13th. This represents a $3.92 annualized dividend and a yield of 0.89%. Motorola Solutionss dividend payout ratio is presently 48.45%. Insider Activity In related news, COO John P. Molloy sold 23,985 shares of the firms stock in a transaction on Tuesday, August 6th. The stock was sold at an average price of $407.50, for a total value of $9,773,887.50. Following the transaction, the chief operating officer now owns 46,821 shares in the company, valued at $19,079,557.50. The sale was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through the SEC website. Corporate insiders own 1.50% of the companys stock. About Motorola Solutions (Free Report) Motorola Solutions, Inc provides public safety and enterprise security solutions in the United States, the United Kingdom, Canada, and internationally. The company operates in two segments, Products and Systems Integration, and Software and Services. The Products and Systems Integration segment offers a portfolio of infrastructure, devices, accessories, and video security devices and infrastructure, as well as the implementation and integration of systems, devices, software, and applications for government, public safety, and commercial customers who operate private communications networks and video security solutions, as well as manage a mobile workforce. Featured Stories Want to see what other hedge funds are holding MSI? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Motorola Solutions, Inc. (NYSE:MSI Free Report). Receive News & Ratings for Motorola Solutions Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Motorola Solutions and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Advisory Services Inc. lifted its position in shares of Public Service Enterprise Group Incorporated (NYSE:PEG Free Report) by 80.3% during the 2nd quarter, according to the company in its most recent 13F filing with the Securities & Exchange Commission. The institutional investor owned 12,696 shares of the utilities providers stock after acquiring an additional 5,656 shares during the quarter. Meeder Advisory Services Inc.s holdings in Public Service Enterprise Group were worth $936,000 at the end of the most recent reporting period. Other hedge funds and other institutional investors have also recently bought and sold shares of the company. Family Firm Inc. acquired a new position in Public Service Enterprise Group during the 2nd quarter worth about $33,000. OFI Invest Asset Management acquired a new position in Public Service Enterprise Group during the 2nd quarter worth about $35,000. Northwest Investment Counselors LLC acquired a new position in Public Service Enterprise Group during the 1st quarter worth about $36,000. Salomon & Ludwin LLC lifted its holdings in Public Service Enterprise Group by 1,866.7% during the 1st quarter. Salomon & Ludwin LLC now owns 590 shares of the utilities providers stock worth $39,000 after buying an additional 560 shares during the period. Finally, Scarborough Advisors LLC acquired a new position in Public Service Enterprise Group during the 4th quarter worth about $41,000. 73.34% of the stock is owned by institutional investors and hedge funds. Get Public Service Enterprise Group alerts: Analyst Ratings Changes Several research analysts have commented on PEG shares. Guggenheim raised Public Service Enterprise Group from a neutral rating to a buy rating and set a $82.00 target price on the stock in a report on Monday, June 17th. BMO Capital Markets boosted their price objective on Public Service Enterprise Group from $78.00 to $86.00 and gave the company a market perform rating in a research note on Friday, August 2nd. Mizuho boosted their price objective on Public Service Enterprise Group from $65.00 to $80.00 and gave the company a buy rating in a research note on Thursday, May 23rd. Barclays boosted their price objective on Public Service Enterprise Group from $71.00 to $80.00 and gave the company an overweight rating in a research note on Wednesday, July 10th. Finally, Royal Bank of Canada boosted their price objective on Public Service Enterprise Group from $80.00 to $84.00 and gave the company an outperform rating in a research note on Monday, July 15th. One analyst has rated the stock with a sell rating, four have issued a hold rating, nine have issued a buy rating and one has issued a strong buy rating to the stock. Based on data from MarketBeat, the stock presently has an average rating of Moderate Buy and a consensus price target of $77.92. Public Service Enterprise Group Stock Performance Shares of Public Service Enterprise Group stock opened at $84.16 on Friday. Public Service Enterprise Group Incorporated has a one year low of $53.71 and a one year high of $84.18. The firm has a market capitalization of $41.93 billion, a price-to-earnings ratio of 23.31, a PEG ratio of 2.99 and a beta of 0.60. The business has a 50-day simple moving average of $78.81 and a 200 day simple moving average of $72.77. The company has a debt-to-equity ratio of 1.16, a current ratio of 0.65 and a quick ratio of 0.46. Public Service Enterprise Group (NYSE:PEG Get Free Report) last issued its earnings results on Tuesday, July 30th. The utilities provider reported $0.63 EPS for the quarter, missing analysts consensus estimates of $0.64 by ($0.01). Public Service Enterprise Group had a net margin of 16.12% and a return on equity of 10.72%. The firm had revenue of $2.42 billion during the quarter, compared to the consensus estimate of $2.03 billion. During the same period in the previous year, the firm earned $0.70 earnings per share. The businesss quarterly revenue was up .1% on a year-over-year basis. On average, research analysts anticipate that Public Service Enterprise Group Incorporated will post 3.68 earnings per share for the current fiscal year. Public Service Enterprise Group Dividend Announcement The business also recently disclosed a quarterly dividend, which will be paid on Monday, September 30th. Shareholders of record on Monday, September 9th will be issued a dividend of $0.60 per share. This represents a $2.40 dividend on an annualized basis and a yield of 2.85%. The ex-dividend date is Monday, September 9th. Public Service Enterprise Groups dividend payout ratio is currently 66.48%. Insiders Place Their Bets In other Public Service Enterprise Group news, CEO Ralph A. Larossa sold 1,378 shares of the businesss stock in a transaction that occurred on Tuesday, September 3rd. The stock was sold at an average price of $80.69, for a total transaction of $111,190.82. Following the completion of the sale, the chief executive officer now directly owns 148,892 shares of the companys stock, valued at approximately $12,014,095.48. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through the SEC website. In other Public Service Enterprise Group news, EVP Tamara Louise Linde sold 19,127 shares of the businesss stock in a transaction that occurred on Thursday, August 15th. The stock was sold at an average price of $80.26, for a total transaction of $1,535,133.02. Following the completion of the sale, the executive vice president now directly owns 67,524 shares of the companys stock, valued at approximately $5,419,476.24. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through the SEC website. Also, CEO Ralph A. Larossa sold 1,378 shares of the companys stock in a transaction that occurred on Tuesday, September 3rd. The shares were sold at an average price of $80.69, for a total value of $111,190.82. Following the completion of the transaction, the chief executive officer now directly owns 148,892 shares of the companys stock, valued at $12,014,095.48. The disclosure for this sale can be found here. In the last ninety days, insiders sold 30,068 shares of company stock valued at $2,406,965. Corporate insiders own 0.57% of the companys stock. Public Service Enterprise Group Profile (Free Report) Public Service Enterprise Group Incorporated, through its subsidiaries, operates in electric and gas utility business in the United States. It operates through PSE&G and PSEG Power segments. The PSE&G segment transmits electricity; distributes electricity and natural gas to residential, commercial, and industrial customers; and appliance services and repairs to customers through its service territory, as well as invests in solar generation projects, and energy efficiency and related programs. Featured Articles Receive News & Ratings for Public Service Enterprise Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Public Service Enterprise Group and related companies with MarketBeat.com's FREE daily email newsletter. KYODO NEWS - Sep 14, 2024 - 17:31 | World, All, Japan A high court has granted permission for a Japan-born baby daughter of Afghan refugees to be given Japanese nationality, rescinding a rejection by a family court, with their lawyer hailing it as a "breakthrough" move. The decision by the Nagoya High Court, dated Wednesday, is likely to be the first for a child of Afghan parents to be allowed to create a new family registry in Japan, lawyer Yasuyuki Nagai said. Under Japan's Nationality Act, a child is deemed a Japanese citizen if they were born in Japan and either both of the parents are unknown, or if known, both of them are without nationality. Presiding Judge Yasuhiro Hasegawa said in his decision that the girl's parents were effectively stateless when their child was born in Japan in 2022, as Afghanistan had lost its international standing following the Taliban's return to power in 2021. In Japan, just nine people from war-torn Afghanistan were granted refugee status in 2021, but the number grew to 147 in 2022, and 237 in 2023, according to the Immigration Services Agency. "We can expect an increase in the number of children born from parents who fled (from their country), so it's a breakthrough decision," Nagai said. Related coverage: FOCUS: Asylum seekers on provisional release facing poverty in Japan Japan court orders government to admit gay man as refugee over persecution Japan now able to deport people with multiple failed asylum claims Mitsubishi Chemical Group Co. (OTCMKTS:MTLHY Get Free Report) passed above its 50 day moving average during trading on Wednesday . The stock has a 50 day moving average of $29.34 and traded as high as $31.28. Mitsubishi Chemical Group shares last traded at $31.28, with a volume of 214 shares traded. Mitsubishi Chemical Group Stock Up 0.7 % The company has a debt-to-equity ratio of 0.68, a current ratio of 1.30 and a quick ratio of 0.81. The company has a market cap of $8.96 billion, a P/E ratio of 11.01 and a beta of 0.34. The stock has a 50-day moving average of $29.48 and a 200 day moving average of $28.82. Get Mitsubishi Chemical Group alerts: Mitsubishi Chemical Group (OTCMKTS:MTLHY Get Free Report) last issued its quarterly earnings results on Thursday, August 1st. The company reported $0.89 EPS for the quarter. The company had revenue of $7.24 billion for the quarter. Mitsubishi Chemical Group had a return on equity of 5.09% and a net margin of 2.61%. About Mitsubishi Chemical Group Mitsubishi Chemical Group Corporation provides performance products, chemicals, industrial gases, health care products, and other products in Japan and internationally. The company offers polyester films for various applications, including optical materials, for electronic displays; industrial materials for electronic components, automotive parts, and medical equipment; and packaging materials for food and other products. Featured Stories Receive News & Ratings for Mitsubishi Chemical Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Mitsubishi Chemical Group and related companies with MarketBeat.com's FREE daily email newsletter. Naspers Limited (OTCMKTS:NPSNY Get Free Report) saw a significant decline in short interest during the month of August. As of August 31st, there was short interest totalling 4,600 shares, a decline of 86.7% from the August 15th total of 34,500 shares. Based on an average daily trading volume, of 79,900 shares, the days-to-cover ratio is presently 0.1 days. Naspers Stock Down 0.6 % Shares of NPSNY stock traded down $0.23 during trading hours on Friday, reaching $39.36. 11,044 shares of the companys stock were exchanged, compared to its average volume of 45,219. Naspers has a fifty-two week low of $29.51 and a fifty-two week high of $44.84. The business has a fifty day moving average price of $39.39 and a 200-day moving average price of $38.29. Get Naspers alerts: Naspers Company Profile (Get Free Report) Featured Articles Naspers Limited operates in the consumer internet industry in Africa, Asia, Europe, Latin America, North America. The company operates through Classifieds, Food Delivery, Payments and Fintech, Etail, Edtech, Social and Internet Platforms, Media24, and Other Ecommerce segments. It holds investments in classifieds, food delivery, payments and fintech, education, health, and ecommerce, as well as ventures, and social and internet platforms. Receive News & Ratings for Naspers Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Naspers and related companies with MarketBeat.com's FREE daily email newsletter. Nevada King Gold Corp. (CVE:NKG Get Free Report)s stock price rose 6.7% during mid-day trading on Wednesday . The stock traded as high as C$0.33 and last traded at C$0.32. Approximately 36,050 shares were traded during trading, a decline of 79% from the average daily volume of 171,724 shares. The stock had previously closed at C$0.30. Nevada King Gold Stock Up 4.3 % The company has a market capitalization of C$123.65 million, a PE ratio of -4.00 and a beta of 1.59. The business has a 50 day simple moving average of C$0.32 and a 200 day simple moving average of C$0.34. Get Nevada King Gold alerts: Nevada King Gold (CVE:NKG Get Free Report) last released its earnings results on Thursday, August 22nd. The company reported C($0.01) EPS for the quarter, hitting analysts consensus estimates of C($0.01). About Nevada King Gold Nevada King Gold Corp. engages in the acquisition, exploration, and evaluation of resource properties in Nevada, the United States. The company explores for gold, silver, iron ore, vanadium, and other base metals. The company was formerly known as Victory Metals Inc and changed its name to Nevada King Gold Corp in April 2021. Featured Stories Receive News & Ratings for Nevada King Gold Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Nevada King Gold and related companies with MarketBeat.com's FREE daily email newsletter. HBK Sorce Advisory LLC reduced its position in shares of Norfolk Southern Co. (NYSE:NSC Free Report) by 38.8% during the 2nd quarter, according to the company in its most recent filing with the Securities and Exchange Commission. The institutional investor owned 3,822 shares of the railroad operators stock after selling 2,422 shares during the period. HBK Sorce Advisory LLCs holdings in Norfolk Southern were worth $821,000 at the end of the most recent reporting period. Several other institutional investors and hedge funds have also made changes to their positions in NSC. Gryphon Financial Partners LLC raised its position in shares of Norfolk Southern by 7.5% during the 4th quarter. Gryphon Financial Partners LLC now owns 2,840 shares of the railroad operators stock valued at $672,000 after acquiring an additional 198 shares in the last quarter. Avantax Advisory Services Inc. raised its position in shares of Norfolk Southern by 18.5% during the 4th quarter. Avantax Advisory Services Inc. now owns 7,355 shares of the railroad operators stock valued at $1,738,000 after acquiring an additional 1,149 shares in the last quarter. Foundations Investment Advisors LLC raised its position in shares of Norfolk Southern by 6.7% during the 4th quarter. Foundations Investment Advisors LLC now owns 2,514 shares of the railroad operators stock valued at $642,000 after acquiring an additional 158 shares in the last quarter. NorthRock Partners LLC raised its position in shares of Norfolk Southern by 11.3% during the 4th quarter. NorthRock Partners LLC now owns 1,784 shares of the railroad operators stock valued at $422,000 after acquiring an additional 181 shares in the last quarter. Finally, Independent Advisor Alliance raised its position in shares of Norfolk Southern by 9.5% during the 4th quarter. Independent Advisor Alliance now owns 8,902 shares of the railroad operators stock valued at $2,104,000 after acquiring an additional 771 shares in the last quarter. 75.10% of the stock is owned by institutional investors and hedge funds. Get Norfolk Southern alerts: Wall Street Analysts Forecast Growth A number of brokerages recently commented on NSC. Benchmark upped their price objective on Norfolk Southern from $266.00 to $270.00 and gave the stock a buy rating in a research note on Monday, July 29th. TD Cowen upped their price objective on Norfolk Southern from $251.00 to $253.00 and gave the stock a hold rating in a research note on Friday, July 26th. Morgan Stanley restated an underweight rating and set a $175.00 price objective on shares of Norfolk Southern in a research note on Monday, July 8th. Royal Bank of Canada lowered their price objective on Norfolk Southern from $270.00 to $267.00 and set an outperform rating for the company in a research note on Friday, July 26th. Finally, Susquehanna upped their price objective on Norfolk Southern from $245.00 to $255.00 and gave the stock a neutral rating in a research note on Friday, July 26th. One equities research analyst has rated the stock with a sell rating, five have given a hold rating and twelve have assigned a buy rating to the company. According to MarketBeat.com, Norfolk Southern presently has a consensus rating of Moderate Buy and a consensus target price of $264.24. Norfolk Southern Trading Down 3.5 % Shares of NSC stock opened at $245.80 on Friday. The stock has a 50-day simple moving average of $240.36 and a 200 day simple moving average of $238.22. Norfolk Southern Co. has a fifty-two week low of $183.09 and a fifty-two week high of $263.66. The stock has a market capitalization of $55.58 billion, a P/E ratio of 39.58, a P/E/G ratio of 2.48 and a beta of 1.31. The company has a quick ratio of 0.54, a current ratio of 0.63 and a debt-to-equity ratio of 1.30. Norfolk Southern (NYSE:NSC Get Free Report) last announced its earnings results on Thursday, July 25th. The railroad operator reported $3.06 earnings per share for the quarter, beating the consensus estimate of $2.86 by $0.20. Norfolk Southern had a return on equity of 19.64% and a net margin of 14.85%. The business had revenue of $3.04 billion during the quarter, compared to analysts expectations of $3.04 billion. During the same quarter last year, the business posted $2.95 earnings per share. The companys quarterly revenue was up 2.1% on a year-over-year basis. On average, sell-side analysts expect that Norfolk Southern Co. will post 11.8 earnings per share for the current fiscal year. Norfolk Southern Dividend Announcement The business also recently announced a quarterly dividend, which was paid on Tuesday, August 20th. Stockholders of record on Friday, August 2nd were issued a dividend of $1.35 per share. This represents a $5.40 annualized dividend and a dividend yield of 2.20%. The ex-dividend date was Friday, August 2nd. Norfolk Southerns dividend payout ratio is 86.96%. Insider Activity In other news, EVP Nabanita C. Nag sold 355 shares of the companys stock in a transaction on Monday, July 29th. The stock was sold at an average price of $249.38, for a total transaction of $88,529.90. Following the completion of the sale, the executive vice president now directly owns 1,488 shares of the companys stock, valued at $371,077.44. The sale was disclosed in a document filed with the SEC, which is available at the SEC website. In other news, EVP Nabanita C. Nag sold 355 shares of the companys stock in a transaction on Monday, July 29th. The stock was sold at an average price of $249.38, for a total transaction of $88,529.90. Following the completion of the sale, the executive vice president now directly owns 1,488 shares of the companys stock, valued at $371,077.44. The sale was disclosed in a document filed with the SEC, which is available at the SEC website. Also, Director Richard H. Anderson acquired 2,000 shares of Norfolk Southern stock in a transaction that occurred on Monday, July 29th. The stock was acquired at an average cost of $247.48 per share, with a total value of $494,960.00. Following the completion of the purchase, the director now owns 3,000 shares in the company, valued at approximately $742,440. The disclosure for this purchase can be found here. 0.19% of the stock is currently owned by company insiders. About Norfolk Southern (Free Report) Norfolk Southern Corporation, together with its subsidiaries, engages in the rail transportation of raw materials, intermediate products, and finished goods in the United States. The company transports agriculture, forest, and consumer products comprising soybeans, wheat, corn, fertilizers, livestock and poultry feed, food products, food oils, flour, sweeteners, ethanol, lumber and wood products, pulp board and paper products, wood fibers, wood pulp, beverages, and canned goods; chemicals consist of sulfur and related chemicals, petroleum products comprising crude oil, chlorine and bleaching compounds, plastics, rubber, industrial chemicals, chemical wastes, sand, and natural gas liquids; metals and construction materials, such as steel, aluminum products, machinery, scrap metals, cement, aggregates, minerals, clay, transportation equipment, and military-related products; and automotive, including finished motor vehicles and automotive parts, as well as coal. Recommended Stories Want to see what other hedge funds are holding NSC? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Norfolk Southern Co. (NYSE:NSC Free Report). Receive News & Ratings for Norfolk Southern Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Norfolk Southern and related companies with MarketBeat.com's FREE daily email newsletter. The North West Company Inc. (TSE:NWC Get Free Report)s share price reached a new 52-week high during trading on Thursday . The stock traded as high as C$52.13 and last traded at C$51.59, with a volume of 11302 shares. The stock had previously closed at C$51.54. Analysts Set New Price Targets NWC has been the topic of several recent analyst reports. BMO Capital Markets lifted their target price on shares of North West from C$44.00 to C$56.00 in a research report on Friday, September 6th. Royal Bank of Canada lifted their target price on shares of North West from C$40.00 to C$47.00 in a research report on Friday, September 6th. TD Securities lifted their target price on shares of North West from C$52.00 to C$59.00 in a research report on Friday, September 6th. Finally, CIBC lifted their target price on shares of North West from C$43.00 to C$44.00 and gave the stock a neutral rating in a research report on Thursday, June 6th. Four research analysts have rated the stock with a hold rating and one has given a buy rating to the company. According to data from MarketBeat, the stock presently has a consensus rating of Hold and a consensus price target of C$49.80. Get North West alerts: Get Our Latest Report on NWC North West Price Performance The firm has a 50 day moving average price of C$45.17 and a two-hundred day moving average price of C$41.56. The company has a market cap of C$2.47 billion, a P/E ratio of 18.86, a P/E/G ratio of 1.11 and a beta of 0.64. The company has a debt-to-equity ratio of 60.00, a quick ratio of 0.64 and a current ratio of 2.22. North West (TSE:NWC Get Free Report) last issued its earnings results on Wednesday, September 4th. The company reported C$0.73 earnings per share for the quarter, topping analysts consensus estimates of C$0.71 by C$0.02. North West had a net margin of 5.25% and a return on equity of 19.76%. The firm had revenue of C$646.49 million during the quarter. On average, analysts predict that The North West Company Inc. will post 3.3409536 EPS for the current fiscal year. North West Increases Dividend The firm also recently disclosed a quarterly dividend, which will be paid on Tuesday, October 15th. Investors of record on Monday, September 30th will be paid a $0.40 dividend. This represents a $1.60 dividend on an annualized basis and a yield of 3.10%. This is a positive change from North Wests previous quarterly dividend of $0.39. The ex-dividend date is Monday, September 30th. North Wests payout ratio is currently 58.39%. About North West (Get Free Report) The North West Company Inc, through its subsidiaries, engages in the retail of food and everyday products and services to rural communities and urban neighborhood markets in northern Canada, rural Alaska, the South Pacific, and the Caribbean. The company operates Northern stores, which offers food, financial services, and general merchandise; NorthMart stores that provides fresh food products, apparel, and health products and services; and Quickstop convenience stores that provides ready-to-eat food products, and fuel and related services. Featured Articles Receive News & Ratings for North West Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for North West and related companies with MarketBeat.com's FREE daily email newsletter. O Neil Global Advisors Inc. grew its stake in shares of CAVA Group, Inc. (NYSE:CAVA Free Report) by 42.8% during the second quarter, according to its most recent filing with the Securities and Exchange Commission. The institutional investor owned 13,462 shares of the companys stock after purchasing an additional 4,037 shares during the period. CAVA Group makes up 0.6% of O Neil Global Advisors Inc.s investment portfolio, making the stock its 25th largest holding. O Neil Global Advisors Inc.s holdings in CAVA Group were worth $1,249,000 as of its most recent filing with the Securities and Exchange Commission. Other hedge funds and other institutional investors also recently modified their holdings of the company. Federated Hermes Inc. grew its stake in CAVA Group by 9.7% in the second quarter. Federated Hermes Inc. now owns 1,060,029 shares of the companys stock worth $98,318,000 after purchasing an additional 93,635 shares during the period. DekaBank Deutsche Girozentrale purchased a new stake in shares of CAVA Group in the 2nd quarter worth about $1,871,000. American Century Companies Inc. bought a new position in shares of CAVA Group in the 2nd quarter worth about $309,000. State of New Jersey Common Pension Fund D purchased a new position in CAVA Group during the 2nd quarter valued at about $1,957,000. Finally, Sentry Investment Management LLC boosted its stake in CAVA Group by 25.5% during the second quarter. Sentry Investment Management LLC now owns 4,168 shares of the companys stock worth $387,000 after acquiring an additional 846 shares in the last quarter. Hedge funds and other institutional investors own 73.15% of the companys stock. Get CAVA Group alerts: Analysts Set New Price Targets A number of equities analysts have weighed in on the stock. JPMorgan Chase & Co. raised their target price on shares of CAVA Group from $77.00 to $90.00 and gave the company a neutral rating in a research note on Monday, August 26th. Loop Capital lifted their price objective on CAVA Group from $80.00 to $122.00 and gave the company a hold rating in a research note on Monday, August 26th. Robert W. Baird boosted their target price on CAVA Group from $105.00 to $125.00 and gave the stock an outperform rating in a report on Friday, August 23rd. TD Cowen restated a buy rating and set a $115.00 price target on shares of CAVA Group in a report on Thursday. Finally, Stifel Nicolaus upped their price target on shares of CAVA Group from $90.00 to $110.00 and gave the stock a buy rating in a research note on Monday, August 19th. Seven research analysts have rated the stock with a hold rating and seven have assigned a buy rating to the companys stock. According to MarketBeat.com, CAVA Group has a consensus rating of Moderate Buy and a consensus target price of $111.58. Insider Buying and Selling at CAVA Group In other CAVA Group news, Director Theodoros Xenohristos sold 98,490 shares of the businesss stock in a transaction dated Monday, August 26th. The stock was sold at an average price of $125.77, for a total transaction of $12,387,087.30. Following the completion of the sale, the director now directly owns 424,846 shares in the company, valued at approximately $53,432,881.42. The transaction was disclosed in a filing with the SEC, which is available at the SEC website. In other news, Director Theodoros Xenohristos sold 98,490 shares of the companys stock in a transaction on Monday, August 26th. The stock was sold at an average price of $125.77, for a total value of $12,387,087.30. Following the completion of the sale, the director now directly owns 424,846 shares in the company, valued at approximately $53,432,881.42. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. Also, insider Kenneth Robert Bertram sold 5,250 shares of CAVA Group stock in a transaction on Tuesday, June 18th. The shares were sold at an average price of $95.28, for a total value of $500,220.00. Following the transaction, the insider now owns 67,005 shares in the company, valued at $6,384,236.40. The disclosure for this sale can be found here. Over the last 90 days, insiders have sold 427,165 shares of company stock valued at $49,526,186. CAVA Group Stock Down 2.3 % Shares of CAVA stock opened at $122.57 on Friday. The firm has a market capitalization of $14.01 billion, a PE ratio of 298.96 and a beta of 3.34. The company has a 50 day moving average price of $97.16 and a 200-day moving average price of $82.66. CAVA Group, Inc. has a 52 week low of $29.05 and a 52 week high of $128.18. CAVA Group (NYSE:CAVA Get Free Report) last announced its earnings results on Thursday, August 22nd. The company reported $0.17 earnings per share for the quarter, beating analysts consensus estimates of $0.13 by $0.04. The business had revenue of $233.50 million during the quarter, compared to analyst estimates of $219.47 million. CAVA Group had a net margin of 5.04% and a return on equity of 7.37%. The companys quarterly revenue was up 35.1% compared to the same quarter last year. During the same period in the previous year, the business earned $0.21 EPS. Analysts anticipate that CAVA Group, Inc. will post 0.43 earnings per share for the current year. About CAVA Group (Free Report) CAVA Group, Inc owns and operates a chain of restaurants under the CAVA brand in the United States. The company also offers dips, spreads, and dressings through grocery stores. In addition, the company provides online and mobile ordering platforms. Cava Group, Inc was founded in 2006 and is headquartered in Washington, the District of Columbia. Featured Stories Want to see what other hedge funds are holding CAVA? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for CAVA Group, Inc. (NYSE:CAVA Free Report). Receive News & Ratings for CAVA Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CAVA Group and related companies with MarketBeat.com's FREE daily email newsletter. Olympus Co. (OTCMKTS:OLYMY Get Free Report) was the recipient of a large increase in short interest during the month of August. As of August 31st, there was short interest totalling 11,400 shares, an increase of 100.0% from the August 15th total of 5,700 shares. Based on an average daily trading volume, of 57,000 shares, the days-to-cover ratio is presently 0.2 days. Olympus Price Performance Shares of OLYMY stock traded down $0.15 during trading hours on Friday, reaching $17.90. The companys stock had a trading volume of 31,051 shares, compared to its average volume of 43,353. Olympus has a one year low of $12.36 and a one year high of $19.26. The firms fifty day simple moving average is $17.19 and its 200 day simple moving average is $15.84. Get Olympus alerts: Olympus Company Profile (Get Free Report) See Also Olympus Corporation manufactures and sells precision machineries and instruments worldwide. It operates through: Endoscopic Solutions Business, Therapeutic Solutions Business, Scientific Solutions Business, and Others segments. The Endoscopic Solutions Business segment offers gastrointestinal and surgical endoscopy systems, surgical microscopes, endoscope reprocessors, and maintenance services. Receive News & Ratings for Olympus Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Olympus and related companies with MarketBeat.com's FREE daily email newsletter. Wells Fargo & Company upgraded shares of ONE Gas (NYSE:OGS Free Report) from an equal weight rating to an overweight rating in a research note issued to investors on Wednesday morning, Marketbeat reports. They currently have $80.00 target price on the utilities providers stock, up from their previous target price of $71.00. Other equities analysts also recently issued research reports about the company. Mizuho upped their price objective on ONE Gas from $64.00 to $65.00 and gave the company a neutral rating in a research note on Wednesday, June 5th. StockNews.com downgraded ONE Gas from a hold rating to a sell rating in a research note on Saturday, July 20th. Finally, Morgan Stanley dropped their price objective on ONE Gas from $61.00 to $58.00 and set an equal weight rating on the stock in a research note on Monday, June 24th. Three research analysts have rated the stock with a sell rating, two have issued a hold rating and two have given a buy rating to the stock. According to data from MarketBeat.com, the stock has an average rating of Hold and a consensus target price of $64.64. Get ONE Gas alerts: View Our Latest Research Report on ONE Gas ONE Gas Price Performance Shares of NYSE OGS opened at $72.67 on Wednesday. ONE Gas has a twelve month low of $55.50 and a twelve month high of $75.89. The stocks 50 day moving average price is $68.10 and its 200-day moving average price is $64.45. The company has a market capitalization of $4.12 billion, a PE ratio of 17.94, a PEG ratio of 3.68 and a beta of 0.66. The company has a quick ratio of 0.32, a current ratio of 0.41 and a debt-to-equity ratio of 0.76. ONE Gas (NYSE:OGS Get Free Report) last announced its earnings results on Monday, August 5th. The utilities provider reported $0.48 earnings per share for the quarter, missing analysts consensus estimates of $0.49 by ($0.01). ONE Gas had a return on equity of 8.04% and a net margin of 10.83%. The business had revenue of $354.14 million during the quarter, compared to analysts expectations of $362.06 million. During the same quarter in the previous year, the firm earned $0.58 EPS. ONE Gass quarterly revenue was down 11.0% on a year-over-year basis. On average, equities analysts anticipate that ONE Gas will post 3.84 EPS for the current year. ONE Gas Announces Dividend The firm also recently announced a quarterly dividend, which was paid on Friday, August 30th. Shareholders of record on Wednesday, August 14th were issued a dividend of $0.66 per share. The ex-dividend date of this dividend was Wednesday, August 14th. This represents a $2.64 dividend on an annualized basis and a yield of 3.63%. ONE Gass payout ratio is 65.19%. Institutional Investors Weigh In On ONE Gas A number of hedge funds and other institutional investors have recently bought and sold shares of the business. Hexagon Capital Partners LLC raised its holdings in ONE Gas by 21.7% during the 2nd quarter. Hexagon Capital Partners LLC now owns 977 shares of the utilities providers stock valued at $62,000 after acquiring an additional 174 shares during the period. Tidal Investments LLC increased its holdings in shares of ONE Gas by 6.1% in the first quarter. Tidal Investments LLC now owns 3,580 shares of the utilities providers stock worth $228,000 after purchasing an additional 206 shares during the period. Harbor Capital Advisors Inc. increased its holdings in shares of ONE Gas by 0.9% in the second quarter. Harbor Capital Advisors Inc. now owns 25,019 shares of the utilities providers stock worth $1,597,000 after purchasing an additional 230 shares during the period. GW Henssler & Associates Ltd. increased its holdings in shares of ONE Gas by 7.4% in the first quarter. GW Henssler & Associates Ltd. now owns 3,442 shares of the utilities providers stock worth $222,000 after purchasing an additional 237 shares during the period. Finally, Linden Thomas Advisory Services LLC increased its holdings in shares of ONE Gas by 1.8% in the second quarter. Linden Thomas Advisory Services LLC now owns 15,213 shares of the utilities providers stock worth $971,000 after purchasing an additional 270 shares during the period. Institutional investors own 88.71% of the companys stock. ONE Gas Company Profile (Get Free Report) ONE Gas, Inc, together with its subsidiaries, operates as a regulated natural gas distribution company in the United States. The company provides natural gas distribution services to approximately 2.3 million customers in Oklahoma, Kansas, and Texas. It serves residential, commercial, and transportation customers. Read More Receive News & Ratings for ONE Gas Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ONE Gas and related companies with MarketBeat.com's FREE daily email newsletter. Oppenheimer Asset Management Inc. lifted its position in Eli Lilly and Company (NYSE:LLY Free Report) by 8.7% during the second quarter, according to the company in its most recent filing with the Securities and Exchange Commission (SEC). The fund owned 39,390 shares of the companys stock after buying an additional 3,151 shares during the period. Oppenheimer Asset Management Inc.s holdings in Eli Lilly and Company were worth $35,663,000 as of its most recent filing with the Securities and Exchange Commission (SEC). Several other large investors also recently modified their holdings of LLY. Twelve Points Wealth Management LLC raised its holdings in shares of Eli Lilly and Company by 1.4% during the second quarter. Twelve Points Wealth Management LLC now owns 811 shares of the companys stock worth $734,000 after purchasing an additional 11 shares during the last quarter. Verum Partners LLC raised its stake in shares of Eli Lilly and Company by 1.5% during the 2nd quarter. Verum Partners LLC now owns 731 shares of the companys stock valued at $662,000 after buying an additional 11 shares during the last quarter. Acorn Creek Capital LLC lifted its holdings in shares of Eli Lilly and Company by 1.3% in the 2nd quarter. Acorn Creek Capital LLC now owns 927 shares of the companys stock valued at $840,000 after buying an additional 12 shares during the period. Thompson Davis & CO. Inc. lifted its holdings in shares of Eli Lilly and Company by 0.7% in the 2nd quarter. Thompson Davis & CO. Inc. now owns 1,687 shares of the companys stock valued at $1,527,000 after buying an additional 12 shares during the period. Finally, Versant Capital Management Inc boosted its stake in shares of Eli Lilly and Company by 1.8% in the first quarter. Versant Capital Management Inc now owns 736 shares of the companys stock worth $573,000 after buying an additional 13 shares during the last quarter. Institutional investors own 82.53% of the companys stock. Get Eli Lilly and Company alerts: Eli Lilly and Company Stock Performance LLY opened at $923.71 on Friday. Eli Lilly and Company has a twelve month low of $516.57 and a twelve month high of $972.53. The firm has a market capitalization of $877.92 billion, a PE ratio of 136.04, a PEG ratio of 2.79 and a beta of 0.42. The company has a current ratio of 1.11, a quick ratio of 0.87 and a debt-to-equity ratio of 1.74. The firms 50-day moving average price is $897.12 and its 200 day moving average price is $832.88. Eli Lilly and Company Announces Dividend Eli Lilly and Company ( NYSE:LLY Get Free Report ) last issued its earnings results on Thursday, August 8th. The company reported $3.92 earnings per share for the quarter, topping the consensus estimate of $2.64 by $1.28. Eli Lilly and Company had a return on equity of 67.52% and a net margin of 18.86%. The business had revenue of $11.30 billion for the quarter, compared to analysts expectations of $9.83 billion. As a group, sell-side analysts expect that Eli Lilly and Company will post 16.49 EPS for the current fiscal year. The company also recently announced a quarterly dividend, which was paid on Tuesday, September 10th. Shareholders of record on Thursday, August 15th were paid a dividend of $1.30 per share. This represents a $5.20 dividend on an annualized basis and a yield of 0.56%. The ex-dividend date was Thursday, August 15th. Eli Lilly and Companys dividend payout ratio (DPR) is presently 76.58%. Wall Street Analyst Weigh In A number of research analysts have issued reports on the company. Deutsche Bank Aktiengesellschaft raised Eli Lilly and Company from a hold rating to a buy rating and boosted their price target for the company from $725.00 to $1,025.00 in a report on Monday, August 12th. Truist Financial reissued a buy rating and set a $1,000.00 price target (up from $892.00) on shares of Eli Lilly and Company in a report on Tuesday, June 25th. JPMorgan Chase & Co. lifted their price objective on shares of Eli Lilly and Company from $1,050.00 to $1,100.00 and gave the company an overweight rating in a report on Friday. Berenberg Bank increased their target price on shares of Eli Lilly and Company from $1,000.00 to $1,050.00 and gave the stock a buy rating in a research note on Wednesday, August 14th. Finally, Citigroup initiated coverage on Eli Lilly and Company in a research note on Friday. They issued a buy rating and a $1,060.00 price target for the company. Three investment analysts have rated the stock with a hold rating and seventeen have given a buy rating to the company. According to MarketBeat.com, Eli Lilly and Company presently has a consensus rating of Moderate Buy and an average target price of $977.35. Check Out Our Latest Report on Eli Lilly and Company Insider Buying and Selling at Eli Lilly and Company In other news, major shareholder Lilly Endowment Inc sold 210,000 shares of Eli Lilly and Company stock in a transaction that occurred on Wednesday, July 10th. The shares were sold at an average price of $939.82, for a total transaction of $197,362,200.00. Following the sale, the insider now owns 96,943,810 shares of the companys stock, valued at approximately $91,109,731,514.20. The transaction was disclosed in a filing with the SEC, which can be accessed through this link. Insiders have sold 646,878 shares of company stock worth $591,465,138 in the last ninety days. 0.13% of the stock is owned by insiders. About Eli Lilly and Company (Free Report) Eli Lilly and Company discovers, develops, and markets human pharmaceuticals worldwide. The company offers Basaglar, Humalog, Humalog Mix 75/25, Humalog U-100, Humalog U-200, Humalog Mix 50/50, insulin lispro, insulin lispro protamine, insulin lispro mix 75/25, Humulin, Humulin 70/30, Humulin N, Humulin R, and Humulin U-500 for diabetes; Jardiance, Mounjaro, and Trulicity for type 2 diabetes; and Zepbound for obesity. Read More Receive News & Ratings for Eli Lilly and Company Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eli Lilly and Company and related companies with MarketBeat.com's FREE daily email newsletter. Alta Advisers Ltd raised its position in shares of Pfizer Inc. (NYSE:PFE Free Report) by 7.5% during the 2nd quarter, according to its most recent disclosure with the Securities & Exchange Commission. The institutional investor owned 15,800 shares of the biopharmaceutical companys stock after buying an additional 1,100 shares during the quarter. Alta Advisers Ltds holdings in Pfizer were worth $442,000 at the end of the most recent quarter. Several other institutional investors and hedge funds have also recently bought and sold shares of PFE. Olistico Wealth LLC acquired a new stake in shares of Pfizer during the 2nd quarter worth approximately $25,000. MFA Wealth Advisors LLC acquired a new stake in shares of Pfizer during the 2nd quarter worth approximately $29,000. Creekmur Asset Management LLC acquired a new stake in shares of Pfizer during the 4th quarter worth approximately $32,000. Trivant Custom Portfolio Group LLC raised its position in shares of Pfizer by 100.0% during the 1st quarter. Trivant Custom Portfolio Group LLC now owns 1,200 shares of the biopharmaceutical companys stock worth $33,000 after purchasing an additional 600 shares during the period. Finally, Financial Connections Group Inc. acquired a new stake in shares of Pfizer during the 2nd quarter worth approximately $34,000. Institutional investors and hedge funds own 68.36% of the companys stock. Get Pfizer alerts: Pfizer Stock Performance PFE opened at $29.27 on Friday. The firm has a market cap of $165.86 billion, a PE ratio of -487.75, a P/E/G ratio of 1.04 and a beta of 0.68. The company has a quick ratio of 0.60, a current ratio of 0.86 and a debt-to-equity ratio of 0.65. The business has a fifty day simple moving average of $29.21 and a 200-day simple moving average of $28.11. Pfizer Inc. has a fifty-two week low of $25.20 and a fifty-two week high of $34.42. Pfizer Announces Dividend Pfizer ( NYSE:PFE Get Free Report ) last released its quarterly earnings data on Tuesday, July 30th. The biopharmaceutical company reported $0.60 earnings per share for the quarter, topping the consensus estimate of $0.46 by $0.14. The business had revenue of $13.28 billion during the quarter, compared to analyst estimates of $12.96 billion. Pfizer had a positive return on equity of 8.42% and a negative net margin of 4.66%. The companys revenue was up 2.1% compared to the same quarter last year. During the same period last year, the company posted $0.67 EPS. As a group, equities analysts predict that Pfizer Inc. will post 2.66 EPS for the current fiscal year. The firm also recently announced a quarterly dividend, which was paid on Tuesday, September 3rd. Stockholders of record on Friday, July 26th were issued a $0.42 dividend. The ex-dividend date of this dividend was Friday, July 26th. This represents a $1.68 dividend on an annualized basis and a dividend yield of 5.74%. Pfizers payout ratio is currently -2,799.53%. Analyst Upgrades and Downgrades PFE has been the topic of a number of research reports. Cantor Fitzgerald reiterated an overweight rating and set a $45.00 price target on shares of Pfizer in a research report on Monday, September 9th. Daiwa Capital Markets raised Pfizer from a neutral rating to an outperform rating and increased their price objective for the stock from $28.00 to $34.00 in a report on Wednesday, August 7th. Wells Fargo & Company increased their price objective on Pfizer from $28.00 to $30.00 and gave the stock an equal weight rating in a report on Wednesday, July 31st. Barclays increased their price objective on Pfizer from $30.00 to $32.00 and gave the stock an equal weight rating in a report on Wednesday, July 31st. Finally, UBS Group increased their price objective on Pfizer from $30.00 to $31.00 and gave the stock a neutral rating in a report on Wednesday, July 31st. Eight equities research analysts have rated the stock with a hold rating, seven have given a buy rating and one has issued a strong buy rating to the companys stock. Based on data from MarketBeat, the stock has an average rating of Moderate Buy and an average target price of $34.54. View Our Latest Analysis on PFE Pfizer Profile (Free Report) Pfizer Inc discovers, develops, manufactures, markets, distributes, and sells biopharmaceutical products in the United States, Europe, and internationally. The company offers medicines and vaccines in various therapeutic areas, including cardiovascular metabolic, migraine, and women's health under the Eliquis, Nurtec ODT/Vydura, Zavzpret, and the Premarin family brands; infectious diseases with unmet medical needs under the Prevnar family, Abrysvo, Nimenrix, FSME/IMMUN-TicoVac, and Trumenba brands; and COVID-19 prevention and treatment, and potential future mRNA and antiviral products under the Comirnaty and Paxlovid brands. See Also Want to see what other hedge funds are holding PFE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Pfizer Inc. (NYSE:PFE Free Report). Receive News & Ratings for Pfizer Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pfizer and related companies with MarketBeat.com's FREE daily email newsletter. PIMCO Corporate & Income Opportunity Fund (NYSE:PTY Get Free Report)s share price crossed below its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of $14.33 and traded as low as $14.23. PIMCO Corporate & Income Opportunity Fund shares last traded at $14.30, with a volume of 583,597 shares trading hands. PIMCO Corporate & Income Opportunity Fund Stock Performance The firms fifty day moving average is $14.27 and its 200 day moving average is $14.33. Get PIMCO Corporate & Income Opportunity Fund alerts: PIMCO Corporate & Income Opportunity Fund Cuts Dividend The company also recently disclosed a monthly dividend, which will be paid on Tuesday, October 1st. Stockholders of record on Friday, September 13th will be paid a $0.1188 dividend. This represents a $1.43 dividend on an annualized basis and a dividend yield of 10.03%. The ex-dividend date is Friday, September 13th. Institutional Trading of PIMCO Corporate & Income Opportunity Fund PIMCO Corporate & Income Opportunity Fund Company Profile Several institutional investors and hedge funds have recently made changes to their positions in the company. Axxcess Wealth Management LLC purchased a new stake in shares of PIMCO Corporate & Income Opportunity Fund in the second quarter worth about $7,233,000. Cetera Investment Advisers lifted its holdings in shares of PIMCO Corporate & Income Opportunity Fund by 162.9% in the 1st quarter. Cetera Investment Advisers now owns 798,564 shares of the investment management companys stock worth $11,875,000 after acquiring an additional 494,797 shares during the last quarter. Envestnet Asset Management Inc. increased its stake in shares of PIMCO Corporate & Income Opportunity Fund by 81.3% in the second quarter. Envestnet Asset Management Inc. now owns 527,690 shares of the investment management companys stock worth $7,551,000 after buying an additional 236,616 shares during the period. Truist Financial Corp increased its stake in shares of PIMCO Corporate & Income Opportunity Fund by 458.4% in the second quarter. Truist Financial Corp now owns 268,396 shares of the investment management companys stock worth $3,841,000 after buying an additional 220,335 shares during the period. Finally, Golden State Equity Partners purchased a new position in shares of PIMCO Corporate & Income Opportunity Fund in the second quarter worth $2,595,000. Hedge funds and other institutional investors own 10.25% of the companys stock. (Get Free Report) PIMCO Corporate & Income Opportunity Fund is a closed-ended fixed income mutual fund launched and managed by Allianz Global Investors Fund Management LLC. It is co-managed by Pacific Investment Management Company LLC. The fund invests in fixed income markets across the globe. It invests in corporate debt obligations rated in the lowest investment grade category Baa or BBB and in the highest non-investment grade category Ba or BB. Further Reading Receive News & Ratings for PIMCO Corporate & Income Opportunity Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for PIMCO Corporate & Income Opportunity Fund and related companies with MarketBeat.com's FREE daily email newsletter. Prosperity Consulting Group LLC decreased its position in shares of WEC Energy Group, Inc. (NYSE:WEC Free Report) by 31.6% during the second quarter, according to the company in its most recent filing with the SEC. The institutional investor owned 35,648 shares of the utilities providers stock after selling 16,471 shares during the quarter. Prosperity Consulting Group LLCs holdings in WEC Energy Group were worth $2,797,000 at the end of the most recent quarter. Other large investors also recently modified their holdings of the company. Alley Investment Management Company LLC lifted its stake in shares of WEC Energy Group by 324.5% during the 4th quarter. Alley Investment Management Company LLC now owns 39,256 shares of the utilities providers stock valued at $3,304,000 after buying an additional 30,009 shares in the last quarter. Advisory Services Network LLC lifted its stake in WEC Energy Group by 34.0% in the 4th quarter. Advisory Services Network LLC now owns 37,561 shares of the utilities providers stock worth $3,162,000 after purchasing an additional 9,526 shares in the last quarter. Lantz Financial LLC lifted its stake in WEC Energy Group by 324.9% in the 1st quarter. Lantz Financial LLC now owns 28,454 shares of the utilities providers stock worth $2,337,000 after purchasing an additional 21,758 shares in the last quarter. Quadrature Capital Ltd lifted its stake in WEC Energy Group by 18.2% in the 4th quarter. Quadrature Capital Ltd now owns 38,980 shares of the utilities providers stock worth $3,282,000 after purchasing an additional 5,994 shares in the last quarter. Finally, Brookstone Capital Management lifted its stake in WEC Energy Group by 789.0% in the 1st quarter. Brookstone Capital Management now owns 125,219 shares of the utilities providers stock worth $10,283,000 after purchasing an additional 111,134 shares in the last quarter. Hedge funds and other institutional investors own 77.20% of the companys stock. Get WEC Energy Group alerts: Insider Transactions at WEC Energy Group In related news, VP Anthony Reese sold 1,362 shares of the stock in a transaction that occurred on Wednesday, August 7th. The shares were sold at an average price of $88.78, for a total transaction of $120,918.36. Following the completion of the sale, the vice president now owns 1,462 shares of the companys stock, valued at $129,796.36. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink. In other news, VP Joshua M. Erickson sold 350 shares of WEC Energy Group stock in a transaction on Monday, August 5th. The shares were sold at an average price of $89.75, for a total transaction of $31,412.50. Following the completion of the sale, the vice president now directly owns 2,377 shares in the company, valued at $213,335.75. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this link. Also, VP Anthony Reese sold 1,362 shares of WEC Energy Group stock in a transaction on Wednesday, August 7th. The stock was sold at an average price of $88.78, for a total transaction of $120,918.36. Following the sale, the vice president now owns 1,462 shares of the companys stock, valued at $129,796.36. The disclosure for this sale can be found here. In the last quarter, insiders sold 11,702 shares of company stock valued at $1,078,058. Company insiders own 0.34% of the companys stock. WEC Energy Group Price Performance Shares of WEC stock opened at $95.84 on Friday. The companys 50-day simple moving average is $88.15 and its two-hundred day simple moving average is $83.33. WEC Energy Group, Inc. has a one year low of $75.13 and a one year high of $96.15. The company has a market capitalization of $30.29 billion, a P/E ratio of 20.93, a P/E/G ratio of 2.44 and a beta of 0.44. The company has a debt-to-equity ratio of 1.37, a current ratio of 0.74 and a quick ratio of 0.54. WEC Energy Group (NYSE:WEC Get Free Report) last announced its quarterly earnings results on Wednesday, July 31st. The utilities provider reported $0.67 earnings per share for the quarter, topping the consensus estimate of $0.63 by $0.04. WEC Energy Group had a net margin of 15.85% and a return on equity of 12.26%. The firm had revenue of $1.77 billion during the quarter, compared to analysts expectations of $1.87 billion. During the same quarter in the prior year, the company earned $0.92 EPS. The companys revenue was down 3.2% compared to the same quarter last year. As a group, equities analysts anticipate that WEC Energy Group, Inc. will post 4.88 EPS for the current year. WEC Energy Group Announces Dividend The firm also recently declared a quarterly dividend, which was paid on Sunday, September 1st. Stockholders of record on Wednesday, August 14th were given a $0.835 dividend. The ex-dividend date of this dividend was Wednesday, August 14th. This represents a $3.34 annualized dividend and a dividend yield of 3.48%. WEC Energy Groups payout ratio is presently 72.93%. Wall Street Analyst Weigh In A number of analysts have recently weighed in on WEC shares. Wells Fargo & Company boosted their target price on shares of WEC Energy Group from $98.00 to $103.00 and gave the stock an overweight rating in a report on Wednesday, August 21st. Scotiabank boosted their target price on shares of WEC Energy Group from $90.00 to $103.00 and gave the stock a sector outperform rating in a report on Tuesday, August 20th. StockNews.com downgraded shares of WEC Energy Group from a hold rating to a sell rating in a report on Friday, August 9th. Barclays boosted their target price on shares of WEC Energy Group from $80.00 to $86.00 and gave the stock an underweight rating in a report on Tuesday, August 6th. Finally, Bank of America upped their price target on shares of WEC Energy Group from $88.00 to $90.00 and gave the company an underperform rating in a report on Thursday, August 29th. Four investment analysts have rated the stock with a sell rating, four have issued a hold rating and four have issued a buy rating to the company. According to MarketBeat.com, the company currently has a consensus rating of Hold and an average price target of $90.17. Check Out Our Latest Stock Analysis on WEC Energy Group WEC Energy Group Profile (Free Report) WEC Energy Group, Inc, through its subsidiaries, provides regulated natural gas and electricity, and renewable and nonregulated renewable energy services in the United States. It operates through Wisconsin, Illinois, Other States, Electric Transmission, and Non-Utility Energy Infrastructure segments. Recommended Stories Receive News & Ratings for WEC Energy Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for WEC Energy Group and related companies with MarketBeat.com's FREE daily email newsletter. DekaBank Deutsche Girozentrale lessened its position in shares of Quest Diagnostics Incorporated (NYSE:DGX Free Report) by 4.2% in the 2nd quarter, according to its most recent filing with the Securities & Exchange Commission. The fund owned 733,633 shares of the medical research companys stock after selling 32,305 shares during the quarter. DekaBank Deutsche Girozentrale owned approximately 0.66% of Quest Diagnostics worth $100,805,000 at the end of the most recent quarter. Other large investors have also recently added to or reduced their stakes in the company. State of Alaska Department of Revenue raised its holdings in Quest Diagnostics by 50.9% in the 1st quarter. State of Alaska Department of Revenue now owns 41,796 shares of the medical research companys stock valued at $5,562,000 after acquiring an additional 14,090 shares during the last quarter. The Manufacturers Life Insurance Company increased its stake in shares of Quest Diagnostics by 5.8% during the 4th quarter. The Manufacturers Life Insurance Company now owns 135,148 shares of the medical research companys stock valued at $18,634,000 after acquiring an additional 7,415 shares during the last quarter. Scarborough Advisors LLC purchased a new position in shares of Quest Diagnostics during the 4th quarter worth about $1,562,000. Mitsubishi UFJ Asset Management Co. Ltd. increased its position in Quest Diagnostics by 16.5% during the first quarter. Mitsubishi UFJ Asset Management Co. Ltd. now owns 131,329 shares of the medical research companys stock worth $17,481,000 after purchasing an additional 18,642 shares during the last quarter. Finally, Vanguard Group Inc. raised its stake in Quest Diagnostics by 2.5% during the first quarter. Vanguard Group Inc. now owns 13,921,955 shares of the medical research companys stock worth $1,853,151,000 after purchasing an additional 345,754 shares during the period. 88.06% of the stock is owned by institutional investors and hedge funds. Get Quest Diagnostics alerts: Quest Diagnostics Price Performance Shares of DGX opened at $154.36 on Friday. The company has a current ratio of 1.00, a quick ratio of 0.91 and a debt-to-equity ratio of 0.57. The firm has a market cap of $17.18 billion, a price-to-earnings ratio of 20.78, a PEG ratio of 2.80 and a beta of 0.89. Quest Diagnostics Incorporated has a 52 week low of $119.59 and a 52 week high of $159.36. The stock has a 50 day moving average of $149.21 and a 200-day moving average of $139.85. Quest Diagnostics Dividend Announcement Quest Diagnostics ( NYSE:DGX Get Free Report ) last issued its earnings results on Tuesday, July 23rd. The medical research company reported $2.35 earnings per share for the quarter, topping analysts consensus estimates of $2.31 by $0.04. Quest Diagnostics had a net margin of 8.99% and a return on equity of 15.35%. The company had revenue of $2.40 billion for the quarter, compared to analysts expectations of $2.39 billion. During the same period in the prior year, the company earned $2.30 earnings per share. The firms revenue was up 2.5% compared to the same quarter last year. As a group, analysts forecast that Quest Diagnostics Incorporated will post 8.89 EPS for the current year. The business also recently declared a quarterly dividend, which will be paid on Monday, October 21st. Investors of record on Friday, October 4th will be issued a $0.75 dividend. This represents a $3.00 annualized dividend and a dividend yield of 1.94%. The ex-dividend date is Friday, October 4th. Quest Diagnosticss dividend payout ratio (DPR) is 40.38%. Insider Activity at Quest Diagnostics In other Quest Diagnostics news, SVP Karthik Kuppusamy sold 1,990 shares of the businesss stock in a transaction dated Wednesday, August 28th. The shares were sold at an average price of $153.26, for a total transaction of $304,987.40. Following the completion of the transaction, the senior vice president now directly owns 11,459 shares of the companys stock, valued at approximately $1,756,206.34. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. In other news, SVP Mark E. Delaney sold 420 shares of the companys stock in a transaction that occurred on Wednesday, July 24th. The shares were sold at an average price of $143.08, for a total value of $60,093.60. Following the completion of the sale, the senior vice president now directly owns 5,019 shares of the companys stock, valued at approximately $718,118.52. The sale was disclosed in a document filed with the SEC, which is available at this hyperlink. Also, SVP Karthik Kuppusamy sold 1,990 shares of the firms stock in a transaction that occurred on Wednesday, August 28th. The shares were sold at an average price of $153.26, for a total transaction of $304,987.40. Following the transaction, the senior vice president now owns 11,459 shares in the company, valued at approximately $1,756,206.34. The disclosure for this sale can be found here. Insiders own 0.79% of the companys stock. Analyst Ratings Changes A number of equities research analysts have issued reports on the company. StockNews.com raised Quest Diagnostics from a hold rating to a buy rating in a research report on Tuesday, July 23rd. Robert W. Baird lifted their price target on shares of Quest Diagnostics from $153.00 to $154.00 and gave the company a neutral rating in a research report on Wednesday, July 24th. Citigroup raised shares of Quest Diagnostics from a neutral rating to a buy rating and increased their price objective for the stock from $145.00 to $165.00 in a research report on Wednesday, July 10th. Evercore ISI initiated coverage on Quest Diagnostics in a report on Wednesday, August 28th. They issued an in-line rating and a $165.00 price target for the company. Finally, Piper Sandler boosted their price objective on Quest Diagnostics from $145.00 to $150.00 and gave the stock a neutral rating in a research note on Monday, July 29th. Nine research analysts have rated the stock with a hold rating and five have issued a buy rating to the stock. According to data from MarketBeat, Quest Diagnostics presently has an average rating of Hold and a consensus price target of $154.33. Get Our Latest Stock Analysis on DGX About Quest Diagnostics (Free Report) Quest Diagnostics Incorporated provides diagnostic testing and services in the United States and internationally. The company develops and delivers diagnostic information services, such as routine, non-routine and advanced clinical testing, anatomic pathology testing, and other diagnostic information services. Featured Articles Receive News & Ratings for Quest Diagnostics Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Quest Diagnostics and related companies with MarketBeat.com's FREE daily email newsletter. Secom Co., Ltd. (OTCMKTS:SOMLY Get Free Report) was the target of a significant increase in short interest in August. As of August 31st, there was short interest totalling 86,900 shares, an increase of 113.0% from the August 15th total of 40,800 shares. Based on an average trading volume of 47,100 shares, the days-to-cover ratio is presently 1.8 days. Secom Stock Down 0.1 % SOMLY traded down $0.02 on Friday, hitting $19.32. 15,414 shares of the companys stock were exchanged, compared to its average volume of 58,427. The firm has a 50-day moving average price of $16.63 and a 200-day moving average price of $16.79. The company has a current ratio of 2.63, a quick ratio of 2.47 and a debt-to-equity ratio of 0.03. Secom has a fifty-two week low of $14.11 and a fifty-two week high of $19.92. Get Secom alerts: Secom (OTCMKTS:SOMLY Get Free Report) last announced its quarterly earnings data on Friday, August 9th. The company reported $0.19 earnings per share (EPS) for the quarter. Secom had a net margin of 8.59% and a return on equity of 7.25%. The firm had revenue of $1.74 billion during the quarter. Secom Company Profile SECOM CO., LTD., together with its subsidiaries, provides security services in Japan and internationally. The company operates through Security Services, Fire Protection Services, Medical Services, Insurance Services, Geospatial Information Services, BPO and ICT Services, and Other Services. The Security Services segment offers static guard, armored car, merchandise, and other services, as well as online security systems. Read More Receive News & Ratings for Secom Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Secom and related companies with MarketBeat.com's FREE daily email newsletter. Shimano Inc. (OTCMKTS:SMNNY Get Free Report) was the target of a significant decrease in short interest during the month of August. As of August 31st, there was short interest totalling 19,900 shares, a decrease of 47.6% from the August 15th total of 38,000 shares. Based on an average daily trading volume, of 86,900 shares, the short-interest ratio is currently 0.2 days. Shimano Stock Up 1.4 % SMNNY traded up $0.25 during trading on Friday, hitting $17.82. The companys stock had a trading volume of 45,316 shares, compared to its average volume of 65,753. The businesss 50 day simple moving average is $17.58 and its 200-day simple moving average is $16.27. The firm has a market cap of $16.01 billion, a PE ratio of 34.94 and a beta of 0.59. Shimano has a one year low of $12.85 and a one year high of $19.69. Get Shimano alerts: Shimano (OTCMKTS:SMNNY Get Free Report) last announced its earnings results on Tuesday, July 30th. The company reported $0.14 EPS for the quarter. The company had revenue of $746.22 million for the quarter. Shimano had a return on equity of 8.74% and a net margin of 12.62%. Shimano Company Profile Shimano Inc develops, produces, and distributes bicycle components, fishing tackles, and rowing equipment. It has operations in Japan, Asia, Europe, North America, Latin America, and Oceania. Shimano Inc was founded in 1921 and is headquartered in Sakai, Japan. Featured Stories Receive News & Ratings for Shimano Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Shimano and related companies with MarketBeat.com's FREE daily email newsletter. Anhui Conch Cement Company Limited (OTCMKTS:AHCHY Get Free Report) saw a large drop in short interest in the month of August. As of August 31st, there was short interest totalling 2,000 shares, a drop of 47.4% from the August 15th total of 3,800 shares. Based on an average daily trading volume, of 43,000 shares, the short-interest ratio is presently 0.0 days. Anhui Conch Cement Stock Performance OTCMKTS AHCHY opened at $10.84 on Friday. Anhui Conch Cement has a 52 week low of $9.82 and a 52 week high of $13.91. The business has a 50 day moving average price of $11.63 and a 200-day moving average price of $11.57. Get Anhui Conch Cement alerts: About Anhui Conch Cement (Get Free Report) Further Reading Anhui Conch Cement Company Limited, together with its subsidiaries, manufactures, sells, and trades in clinker and cement products. The company operates through five segments: Eastern China, Central China, Southern China, Western China, and Overseas. It provides construction and installation services for industrial purposes; logistic services; and mining and related services. Receive News & Ratings for Anhui Conch Cement Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Anhui Conch Cement and related companies with MarketBeat.com's FREE daily email newsletter. Sibanye Stillwater Limited (NYSE:SBSW Get Free Report)s stock price gapped up prior to trading on Thursday . The stock had previously closed at $3.39, but opened at $3.53. Sibanye Stillwater shares last traded at $3.57, with a volume of 2,575,709 shares trading hands. Wall Street Analysts Forecast Growth Several equities research analysts recently weighed in on the company. JPMorgan Chase & Co. decreased their price target on Sibanye Stillwater from $7.00 to $6.00 and set a neutral rating for the company in a report on Wednesday, July 3rd. BMO Capital Markets lowered their target price on shares of Sibanye Stillwater from $5.00 to $4.50 and set a market perform rating on the stock in a report on Friday. Three research analysts have rated the stock with a sell rating and three have issued a hold rating to the companys stock. According to data from MarketBeat.com, Sibanye Stillwater presently has a consensus rating of Hold and an average target price of $5.17. Get Sibanye Stillwater alerts: Get Our Latest Analysis on Sibanye Stillwater Sibanye Stillwater Price Performance Institutional Inflows and Outflows The company has a 50-day simple moving average of $4.21 and a 200-day simple moving average of $4.59. The company has a debt-to-equity ratio of 0.49, a current ratio of 1.70 and a quick ratio of 0.97. A number of hedge funds have recently added to or reduced their stakes in SBSW. Scarborough Advisors LLC acquired a new stake in shares of Sibanye Stillwater in the 4th quarter worth approximately $28,000. GAMMA Investing LLC increased its position in shares of Sibanye Stillwater by 62.6% in the first quarter. GAMMA Investing LLC now owns 8,837 shares of the companys stock valued at $42,000 after buying an additional 3,401 shares in the last quarter. Vanguard Capital Wealth Advisors acquired a new position in shares of Sibanye Stillwater during the 1st quarter worth $50,000. Fermata Advisors LLC purchased a new stake in shares of Sibanye Stillwater in the 1st quarter worth about $52,000. Finally, Peapack Gladstone Financial Corp acquired a new stake in Sibanye Stillwater in the 4th quarter valued at about $56,000. 34.93% of the stock is owned by hedge funds and other institutional investors. Sibanye Stillwater Company Profile (Get Free Report) Sibanye Stillwater Limited, together with its subsidiaries, operates as a precious metals mining company in South Africa, the United States, Europe, and Australia. The company produces gold; platinum group metals (PGMs), including palladium, platinum, rhodium, iridium, and ruthenium; chrome; nickel; and silver, cobalt, and copper. Read More Receive News & Ratings for Sibanye Stillwater Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sibanye Stillwater and related companies with MarketBeat.com's FREE daily email newsletter. SPDR Gold Shares (NYSEARCA:GLD Get Free Report) was the target of unusually large options trading activity on Friday. Stock traders acquired 259,354 call options on the stock. This represents an increase of 59% compared to the typical daily volume of 162,785 call options. Hedge Funds Weigh In On SPDR Gold Shares Several institutional investors have recently bought and sold shares of the stock. Scarborough Advisors LLC grew its stake in shares of SPDR Gold Shares by 10,133.3% in the 4th quarter. Scarborough Advisors LLC now owns 2,662,393 shares of the exchange traded funds stock worth $508,970,000 after buying an additional 2,636,376 shares in the last quarter. Toronto Dominion Bank grew its stake in shares of SPDR Gold Shares by 23.6% in the 1st quarter. Toronto Dominion Bank now owns 5,649,510 shares of the exchange traded funds stock worth $1,162,217,000 after buying an additional 1,079,452 shares in the last quarter. Arizona PSPRS Trust purchased a new position in shares of SPDR Gold Shares in the 2nd quarter worth $157,187,000. Global Assets Advisory LLC purchased a new position in shares of SPDR Gold Shares in the 1st quarter worth $144,269,000. Finally, GLOBALT Investments LLC GA purchased a new position in shares of SPDR Gold Shares in the 4th quarter worth $124,066,000. 42.19% of the stock is owned by hedge funds and other institutional investors. Get SPDR Gold Shares alerts: SPDR Gold Shares Stock Performance Shares of NYSEARCA GLD traded up $2.34 during midday trading on Friday, reaching $238.67. The stock had a trading volume of 7,453,090 shares, compared to its average volume of 7,055,491. The stock has a 50-day moving average of $226.74 and a 200-day moving average of $216.85. SPDR Gold Shares has a 12-month low of $168.30 and a 12-month high of $238.98. About SPDR Gold Shares SPDR Gold Trust (the Trust) is an investment trust. The investment objective of the Trust is for the Shares to reflect the performance of the price of gold bullion, less the Trusts expenses. The Trusts business activity is the investment of gold. The Trust creates and redeems Shares from time to time, but in one or more Baskets (a Basket equals a block of 100,000 Shares). Recommended Stories Receive News & Ratings for SPDR Gold Shares Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SPDR Gold Shares and related companies with MarketBeat.com's FREE daily email newsletter. Analysts at StockNews.com started coverage on shares of Issuer Direct (NYSE:ISDR Get Free Report) in a research note issued on Thursday. The brokerage set a buy rating on the stock. Issuer Direct Stock Performance Shares of ISDR stock opened at $10.66 on Thursday. Issuer Direct has a one year low of $7.61 and a one year high of $20.94. The companys 50-day moving average is $9.53 and its 200 day moving average is $10.55. The company has a market capitalization of $40.83 million, a price-to-earnings ratio of 53.30 and a beta of 0.75. The company has a debt-to-equity ratio of 0.39, a quick ratio of 0.82 and a current ratio of 0.82. Get Issuer Direct alerts: Issuer Direct (NYSE:ISDR Get Free Report) last announced its quarterly earnings results on Thursday, August 8th. The company reported $0.16 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.14 by $0.02. The firm had revenue of $7.69 million for the quarter, compared to the consensus estimate of $7.62 million. Issuer Direct had a negative net margin of 1.97% and a positive return on equity of 5.86%. Sell-side analysts expect that Issuer Direct will post 0.54 EPS for the current fiscal year. Insider Buying and Selling About Issuer Direct In other Issuer Direct news, major shareholder Topline Capital Partners, Lp acquired 22,469 shares of the companys stock in a transaction that occurred on Thursday, June 27th. The shares were bought at an average price of $7.83 per share, with a total value of $175,932.27. Following the completion of the acquisition, the insider now owns 685,324 shares in the company, valued at approximately $5,366,086.92. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this hyperlink . In other news, major shareholder Topline Capital Partners, Lp bought 22,469 shares of the firms stock in a transaction on Thursday, June 27th. The shares were bought at an average price of $7.83 per share, for a total transaction of $175,932.27. Following the purchase, the insider now owns 685,324 shares in the company, valued at $5,366,086.92. The purchase was disclosed in a legal filing with the Securities & Exchange Commission, which is available through this hyperlink . Also, insider Topline Capital Management, Ll bought 19,826 shares of the firms stock in a transaction on Tuesday, August 27th. The shares were purchased at an average price of $9.65 per share, for a total transaction of $191,320.90. Following the purchase, the insider now owns 705,150 shares in the company, valued at approximately $6,804,697.50. The disclosure for this purchase can be found here . Over the last quarter, insiders have acquired 51,153 shares of company stock valued at $444,409. 26.08% of the stock is owned by insiders. (Get Free Report) Issuer Direct Corporation operates as a communications and compliance company, provides solutions for both public relations and investor relations professionals in the United States and internationally. The company provides press release distribution, media databases, media monitoring, and newsrooms through media advantage platform; ACCESSWIRE, a news dissemination and media outreach service; and Webcaster Platform, a cloud-based webcast, webinar, and virtual meeting platform that delivers live and on-demand streaming of events to audiences of various sizes, as well as allows customers to create, produce, and deliver events. Further Reading Receive News & Ratings for Issuer Direct Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Issuer Direct and related companies with MarketBeat.com's FREE daily email newsletter. SYM FINANCIAL Corp acquired a new position in shares of Mitsubishi UFJ Financial Group, Inc. (NYSE:MUFG Free Report) during the second quarter, HoldingsChannel.com reports. The institutional investor acquired 10,112 shares of the companys stock, valued at approximately $109,000. A number of other hedge funds have also recently added to or reduced their stakes in the stock. Catalina Capital Group LLC increased its stake in Mitsubishi UFJ Financial Group by 5.4% during the 2nd quarter. Catalina Capital Group LLC now owns 17,965 shares of the companys stock worth $194,000 after acquiring an additional 918 shares during the period. First Affirmative Financial Network increased its stake in Mitsubishi UFJ Financial Group by 5.1% during the 2nd quarter. First Affirmative Financial Network now owns 19,463 shares of the companys stock worth $210,000 after acquiring an additional 938 shares during the period. Traveka Wealth LLC increased its stake in Mitsubishi UFJ Financial Group by 2.7% during the 2nd quarter. Traveka Wealth LLC now owns 37,775 shares of the companys stock worth $408,000 after acquiring an additional 980 shares during the period. LRI Investments LLC boosted its holdings in shares of Mitsubishi UFJ Financial Group by 6.9% in the 2nd quarter. LRI Investments LLC now owns 15,156 shares of the companys stock valued at $164,000 after purchasing an additional 981 shares in the last quarter. Finally, Commonwealth Equity Services LLC boosted its holdings in shares of Mitsubishi UFJ Financial Group by 1.0% in the 2nd quarter. Commonwealth Equity Services LLC now owns 101,421 shares of the companys stock valued at $1,095,000 after purchasing an additional 1,002 shares in the last quarter. Institutional investors own 13.59% of the companys stock. Get Mitsubishi UFJ Financial Group alerts: Mitsubishi UFJ Financial Group Trading Up 0.6 % Shares of MUFG stock opened at $10.37 on Friday. The stocks fifty day moving average price is $10.57 and its two-hundred day moving average price is $10.37. The company has a market cap of $120.83 billion, a PE ratio of 11.91, a P/E/G ratio of 0.88 and a beta of 0.60. Mitsubishi UFJ Financial Group, Inc. has a fifty-two week low of $7.89 and a fifty-two week high of $11.72. The company has a debt-to-equity ratio of 2.25, a quick ratio of 0.92 and a current ratio of 0.92. Mitsubishi UFJ Financial Group Profile Mitsubishi UFJ Financial Group ( NYSE:MUFG Get Free Report ) last issued its earnings results on Thursday, August 1st. The company reported $0.30 earnings per share for the quarter, beating analysts consensus estimates of $0.27 by $0.03. The firm had revenue of $22.61 billion for the quarter. Mitsubishi UFJ Financial Group had a return on equity of 7.46% and a net margin of 11.73%. Equities analysts predict that Mitsubishi UFJ Financial Group, Inc. will post 0.88 EPS for the current fiscal year. (Free Report) Mitsubishi UFJ Financial Group, Inc operates as the bank holding company for MUFG Bank, Ltd. that provides various financial services in Japan, the United States, Europe, Asia/Oceania, and internationally. It operates through Digital Service Business Group; Retail & Commercial Banking Business Group; Japanese Corporate & Investment Banking Business Group; Asset Management & Investor Services Business Group; Global Corporate & Investment Banking Business Group; Global Commercial Banking Business Group; and Global Markets Business Group segments. See Also Want to see what other hedge funds are holding MUFG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Mitsubishi UFJ Financial Group, Inc. (NYSE:MUFG Free Report). Receive News & Ratings for Mitsubishi UFJ Financial Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Mitsubishi UFJ Financial Group and related companies with MarketBeat.com's FREE daily email newsletter. KYODO NEWS - Sep 14, 2024 - 19:04 | Sports, All Rank-and-file wrestler Wakamotoharu remained two wins off the pace with a victory over ozeki Kotozakura on Saturday, the seventh day of the Autumn Grand Sumo Tournament. A former sekiwake, No. 3 maegashira Wakamotoharu (5-2) failed to get the hold he was looking for at the jump but did manage to get lower than Kotozakura (5-2) and doggedly forced the ozeki backward out of the ring at Tokyo's Ryogoku Kokugikan. "I was determined to fight harder than he did," Wakamotoharu said. "I'm concerned more about the quality of my sumo than my win total. For the most part, I've stayed aggressive, and that's the style I strive for." Sekiwake Onosato remained unbeaten at 7-0, defeating Hiradoumi (4-3) with the kind of solid opening charge he had lacked in losing his previous two bouts against the komusubi. After being too cautious in May and too reckless in July, Onosato found the perfect balance with a rapid charge that did not permit Hiradoumi to either hold his ground or evade. The 24-year-old Onosato, who in May won a grand tournament with fewer meets under his belt than any previous champion, is now five wins shy of the unofficial benchmark for ozeki promotion of 33 wins over three meets as a komusubi or sekiwake. Sekiwake Kirishima remained one back at 6-1 by patiently outlasting No. 4 maegashira Kotoshoho (4-3). Kotoshoho deftly avoided being run out of the ring at the jump. He managed to bar the seikwake's arms, but only after Kirishima had secured a pair of belt holds. It was not, however, a winning tactic, as Kotoshoho tired after a prolonged stalemate and was forced out. Ozeki Hoshoryu (3-4) combined a powerful opening charge with a strong shove to the throat as he drove No. 4 maegashira Shodai (4-3) out of the ring in a flash. Related coverage: Sumo: Onosato beats Shodai to keep outright lead on Day 6 Sumo: Kotozakura among 3 to lose, handing Onosato sole Autumn lead Sumo: Slow starter Shodai bucks trend to share early Autumn lead Telstra Co. Limited (OTCMKTS:TLSYY Get Free Report)s stock price passed above its 50 day moving average during trading on Thursday . The stock has a 50 day moving average of $12.48 and traded as high as $12.65. Telstra shares last traded at $12.48, with a volume of 63,400 shares changing hands. Telstra Trading Up 0.7 % The firms fifty day moving average is $12.48 and its two-hundred day moving average is $12.48. The company has a quick ratio of 0.59, a current ratio of 0.63 and a debt-to-equity ratio of 0.49. Telstra Company Profile (Get Free Report) Telstra Corporation Limited provides telecommunications and information services to businesses, governments, and individuals in Australia and internationally. It operates in four segments: Telstra Consumer and Small Business, Telstra Enterprise, Networks and IT, and Telstra InfraCo The company offers telecommunication, media and technology products and services in Australia using mobile and fixed network technologies, as well as operates call centers, retail stores, a dealership network, digital channels, distribution systems and Telstra Plus customer loyalty program. See Also Receive News & Ratings for Telstra Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Telstra and related companies with MarketBeat.com's FREE daily email newsletter. Beverly Hills Private Wealth LLC decreased its position in shares of The Williams Companies, Inc. (NYSE:WMB Free Report) by 9.4% during the 2nd quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The institutional investor owned 8,484 shares of the pipeline companys stock after selling 885 shares during the period. Beverly Hills Private Wealth LLCs holdings in Williams Companies were worth $361,000 at the end of the most recent quarter. A number of other hedge funds and other institutional investors also recently bought and sold shares of WMB. Rise Advisors LLC acquired a new position in shares of Williams Companies in the first quarter worth $27,000. Western Pacific Wealth Management LP acquired a new position in Williams Companies during the 4th quarter worth $25,000. SouthState Corp purchased a new stake in Williams Companies during the 2nd quarter worth about $31,000. Fairman Group LLC acquired a new stake in Williams Companies in the 4th quarter valued at about $31,000. Finally, Alaska Permanent Fund Corp purchased a new position in shares of Williams Companies in the 1st quarter valued at about $46,000. 86.44% of the stock is currently owned by hedge funds and other institutional investors. Get Williams Companies alerts: Analyst Ratings Changes A number of equities research analysts have commented on the stock. Morgan Stanley increased their price objective on shares of Williams Companies from $45.00 to $48.00 and gave the company an equal weight rating in a research report on Monday, June 10th. StockNews.com downgraded shares of Williams Companies from a buy rating to a hold rating in a research report on Tuesday, August 6th. Royal Bank of Canada increased their target price on shares of Williams Companies from $44.00 to $47.00 and gave the company an outperform rating in a research report on Friday, August 23rd. Barclays boosted their price target on shares of Williams Companies from $41.00 to $42.00 and gave the company an equal weight rating in a research report on Monday, August 19th. Finally, Truist Financial raised their price objective on Williams Companies from $40.00 to $42.00 and gave the stock a hold rating in a report on Tuesday, June 18th. One analyst has rated the stock with a sell rating, nine have issued a hold rating and six have issued a buy rating to the companys stock. According to data from MarketBeat.com, the company has a consensus rating of Hold and a consensus target price of $42.83. Williams Companies Stock Up 0.9 % Williams Companies stock opened at $45.03 on Friday. The stock has a market capitalization of $54.88 billion, a price-to-earnings ratio of 18.92, a PEG ratio of 6.19 and a beta of 1.05. The company has a current ratio of 0.45, a quick ratio of 0.39 and a debt-to-equity ratio of 1.64. The stock has a 50 day moving average of $43.79 and a two-hundred day moving average of $40.98. The Williams Companies, Inc. has a twelve month low of $32.49 and a twelve month high of $45.81. Williams Companies (NYSE:WMB Get Free Report) last posted its quarterly earnings data on Monday, August 5th. The pipeline company reported $0.43 earnings per share for the quarter, beating analysts consensus estimates of $0.38 by $0.05. The firm had revenue of $2.34 billion for the quarter, compared to analyst estimates of $2.46 billion. Williams Companies had a net margin of 27.11% and a return on equity of 16.17%. Williams Companiess quarterly revenue was down 5.9% on a year-over-year basis. During the same period last year, the firm posted $0.42 EPS. As a group, research analysts expect that The Williams Companies, Inc. will post 1.93 earnings per share for the current year. Williams Companies Dividend Announcement The company also recently declared a quarterly dividend, which will be paid on Monday, September 30th. Investors of record on Friday, September 13th will be issued a dividend of $0.475 per share. The ex-dividend date of this dividend is Friday, September 13th. This represents a $1.90 annualized dividend and a yield of 4.22%. Williams Companiess dividend payout ratio is currently 79.83%. Williams Companies Company Profile (Free Report) The Williams Companies, Inc, together with its subsidiaries, operates as an energy infrastructure company primarily in the United States. It operates through Transmission & Gulf of Mexico, Northeast G&P, West, and Gas & NGL Marketing Services segments. The Transmission & Gulf of Mexico segment comprises natural gas pipelines; Transco, Northwest pipeline, MountainWest, and related natural gas storage facilities; and natural gas gathering and processing, and crude oil production handling and transportation assets in the Gulf Coast region. Recommended Stories Want to see what other hedge funds are holding WMB? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Williams Companies, Inc. (NYSE:WMB Free Report). Receive News & Ratings for Williams Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Williams Companies and related companies with MarketBeat.com's FREE daily email newsletter. Tri City Bankshares Co. (OTCMKTS:TRCY Get Free Report)s stock price dropped 0.9% on Wednesday . The stock traded as low as $12.50 and last traded at $12.79. Approximately 14,738 shares changed hands during trading, an increase of 240% from the average daily volume of 4,335 shares. The stock had previously closed at $12.90. Tri City Bankshares Price Performance The businesss fifty day simple moving average is $12.70 and its 200 day simple moving average is $11.79. Get Tri City Bankshares alerts: Tri City Bankshares Dividend Announcement The company also recently disclosed a quarterly dividend, which was paid on Thursday, August 8th. Shareholders of record on Monday, July 29th were issued a $0.18 dividend. The ex-dividend date was Monday, July 29th. This represents a $0.72 dividend on an annualized basis and a yield of 5.54%. Tri City Banksharess dividend payout ratio is currently 19.36%. About Tri City Bankshares Tri City Bankshares Corporation, through its subsidiary, provides various banking products and services primarily in Southeastern Wisconsin. The company accepts checking accounts, savings accounts, money market accounts, youth and health savings accounts, certificates of deposit, and individual retirement accounts. Featured Articles Receive News & Ratings for Tri City Bankshares Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Tri City Bankshares and related companies with MarketBeat.com's FREE daily email newsletter. TV Asahi Holdings Co. (OTCMKTS:THDDY Get Free Report) saw a large decline in short interest in the month of August. As of August 31st, there was short interest totalling 100 shares, a decline of 88.9% from the August 15th total of 900 shares. Based on an average daily trading volume, of 200 shares, the short-interest ratio is currently 0.5 days. TV Asahi Price Performance Shares of OTCMKTS:THDDY opened at $13.75 on Friday. The firms 50 day simple moving average is $13.37 and its 200-day simple moving average is $13.37. TV Asahi has a one year low of $10.70 and a one year high of $14.97. Get TV Asahi alerts: About TV Asahi (Get Free Report) Further Reading TV Asahi Holdings Corporation, together with its subsidiaries, engages in television (TV) broadcasting business in Japan and internationally. The company operates through TV Broadcasting Business, Internet Business, Shopping Business, and Other Businesses segments. It engages in the video distribution with advertisements using the internet, production of video distribution content, and licensing of rights; mail-order sales on TV shopping programs and EC sites; and other businesses, including music publishing, event, equipment sales and leasing, and investment in film business. Receive News & Ratings for TV Asahi Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for TV Asahi and related companies with MarketBeat.com's FREE daily email newsletter. Twilio (NYSE:TWLO Get Free Report) was upgraded by research analysts at StockNews.com from a hold rating to a buy rating in a research report issued to clients and investors on Friday. A number of other equities research analysts have also recently weighed in on the company. Piper Sandler reiterated an overweight rating and issued a $83.00 price objective (up previously from $77.00) on shares of Twilio in a research note on Friday, August 2nd. Sanford C. Bernstein increased their price target on shares of Twilio from $68.00 to $70.00 and gave the stock a market perform rating in a research note on Tuesday, August 27th. Morgan Stanley cut shares of Twilio from an overweight rating to an equal weight rating and reduced their target price for the company from $70.00 to $60.00 in a report on Friday, June 14th. Barclays dropped their price target on Twilio from $70.00 to $65.00 and set an equal weight rating on the stock in a research report on Monday, August 5th. Finally, Wells Fargo & Company increased their price objective on Twilio from $60.00 to $65.00 and gave the stock an equal weight rating in a research report on Friday, August 2nd. Two research analysts have rated the stock with a sell rating, eleven have given a hold rating and ten have given a buy rating to the stock. According to data from MarketBeat.com, Twilio presently has an average rating of Hold and an average target price of $70.52. Get Twilio alerts: View Our Latest Stock Report on TWLO Twilio Stock Up 0.5 % Twilio stock traded up $0.31 during midday trading on Friday, reaching $59.46. The companys stock had a trading volume of 1,862,737 shares, compared to its average volume of 2,758,452. The business has a 50-day simple moving average of $59.50 and a 200-day simple moving average of $59.34. The company has a market cap of $9.55 billion, a P/E ratio of -14.83, a P/E/G ratio of 3.35 and a beta of 1.35. Twilio has a fifty-two week low of $49.86 and a fifty-two week high of $78.16. The company has a quick ratio of 5.57, a current ratio of 5.57 and a debt-to-equity ratio of 0.11. Twilio (NYSE:TWLO Get Free Report) last announced its quarterly earnings results on Thursday, August 1st. The technology company reported $0.87 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.70 by $0.17. Twilio had a negative return on equity of 0.22% and a negative net margin of 14.02%. The firm had revenue of $1.08 billion during the quarter, compared to the consensus estimate of $1.06 billion. During the same period in the prior year, the company posted ($0.23) earnings per share. The firms quarterly revenue was up 4.4% compared to the same quarter last year. On average, research analysts expect that Twilio will post 0.54 earnings per share for the current fiscal year. Insider Activity at Twilio In other Twilio news, insider Dana Wagner sold 4,237 shares of the companys stock in a transaction on Monday, July 1st. The stock was sold at an average price of $56.28, for a total value of $238,458.36. Following the sale, the insider now owns 160,137 shares of the companys stock, valued at $9,012,510.36. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through the SEC website. In other news, CEO Khozema Shipchandler sold 11,241 shares of the companys stock in a transaction on Monday, July 1st. The stock was sold at an average price of $56.29, for a total value of $632,755.89. Following the sale, the chief executive officer now directly owns 300,084 shares in the company, valued at $16,891,728.36. The sale was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this hyperlink. Also, insider Dana Wagner sold 4,237 shares of Twilio stock in a transaction dated Monday, July 1st. The stock was sold at an average price of $56.28, for a total value of $238,458.36. Following the sale, the insider now owns 160,137 shares of the companys stock, valued at approximately $9,012,510.36. The disclosure for this sale can be found here. Insiders sold 47,030 shares of company stock valued at $2,677,475 in the last ninety days. Corporate insiders own 4.50% of the companys stock. Institutional Inflows and Outflows Several institutional investors have recently bought and sold shares of TWLO. Tidal Investments LLC increased its stake in Twilio by 2.3% in the first quarter. Tidal Investments LLC now owns 7,295 shares of the technology companys stock valued at $446,000 after purchasing an additional 166 shares during the last quarter. Robeco Institutional Asset Management B.V. increased its stake in shares of Twilio by 14.2% during the 4th quarter. Robeco Institutional Asset Management B.V. now owns 1,339 shares of the technology companys stock valued at $102,000 after acquiring an additional 167 shares during the last quarter. Tokio Marine Asset Management Co. Ltd. lifted its holdings in shares of Twilio by 3.6% during the 1st quarter. Tokio Marine Asset Management Co. Ltd. now owns 5,806 shares of the technology companys stock worth $355,000 after acquiring an additional 201 shares during the period. Arizona State Retirement System lifted its holdings in shares of Twilio by 0.4% during the 2nd quarter. Arizona State Retirement System now owns 47,511 shares of the technology companys stock worth $2,699,000 after acquiring an additional 205 shares during the period. Finally, Royal London Asset Management Ltd. boosted its position in shares of Twilio by 0.4% in the second quarter. Royal London Asset Management Ltd. now owns 60,074 shares of the technology companys stock worth $3,413,000 after acquiring an additional 220 shares during the last quarter. 84.27% of the stock is currently owned by institutional investors and hedge funds. About Twilio (Get Free Report) Twilio Inc, together with its subsidiaries, provides customer engagement platform solutions in the United States and internationally. It operates through two segments, Twilio Communications and Twilio Segment. The company provides various application programming interfaces and software solutions for communications between customers and end users, including messaging, voice, email, flex, marketing campaigns, and user identity and authentication. Featured Articles Receive News & Ratings for Twilio Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Twilio and related companies with MarketBeat.com's FREE daily email newsletter. Wells Fargo & Company reaffirmed their overweight rating on shares of Uber Technologies (NYSE:UBER Free Report) in a report published on Friday, Benzinga reports. The firm currently has a $97.00 price target on the ride-sharing companys stock. Other equities analysts have also recently issued research reports about the stock. Needham & Company LLC reissued a buy rating and issued a $90.00 price target on shares of Uber Technologies in a research report on Wednesday, August 7th. TD Cowen lifted their target price on Uber Technologies from $88.00 to $90.00 and gave the company a buy rating in a report on Tuesday, July 23rd. Citigroup upped their target price on shares of Uber Technologies from $96.00 to $98.00 and gave the stock a buy rating in a research note on Wednesday, August 7th. Cantor Fitzgerald started coverage on Uber Technologies in a report on Thursday, September 5th. They issued an overweight rating and a $90.00 target price on the stock. Finally, The Goldman Sachs Group upped their price objective on Uber Technologies from $85.00 to $90.00 and gave the stock a buy rating in a research report on Wednesday, August 7th. Four research analysts have rated the stock with a hold rating and thirty-one have assigned a buy rating to the stock. According to data from MarketBeat, the stock presently has an average rating of Moderate Buy and a consensus price target of $87.60. Get Uber Technologies alerts: Check Out Our Latest Report on UBER Uber Technologies Trading Up 6.4 % Shares of NYSE UBER opened at $72.48 on Friday. The stock has a fifty day simple moving average of $69.41 and a 200-day simple moving average of $70.99. Uber Technologies has a twelve month low of $40.09 and a twelve month high of $82.14. The company has a current ratio of 1.21, a quick ratio of 1.21 and a debt-to-equity ratio of 0.72. The stock has a market capitalization of $152.28 billion, a PE ratio of 116.90, a PEG ratio of 1.25 and a beta of 1.33. Uber Technologies (NYSE:UBER Get Free Report) last issued its quarterly earnings results on Tuesday, August 6th. The ride-sharing company reported $0.47 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $0.31 by $0.16. The company had revenue of $10.70 billion for the quarter, compared to analyst estimates of $10.57 billion. Uber Technologies had a net margin of 5.02% and a return on equity of 17.06%. The businesss revenue for the quarter was up 15.9% compared to the same quarter last year. During the same period in the prior year, the firm earned $0.18 earnings per share. On average, equities analysts forecast that Uber Technologies will post 1.06 earnings per share for the current year. Insider Activity In other news, CEO Dara Khosrowshahi sold 500,000 shares of Uber Technologies stock in a transaction that occurred on Monday, July 15th. The shares were sold at an average price of $71.91, for a total transaction of $35,955,000.00. Following the completion of the sale, the chief executive officer now directly owns 1,266,114 shares in the company, valued at approximately $91,046,257.74. The transaction was disclosed in a legal filing with the SEC, which is available through this hyperlink. Insiders own 3.84% of the companys stock. Institutional Investors Weigh In On Uber Technologies Large investors have recently added to or reduced their stakes in the business. GHP Investment Advisors Inc. lifted its holdings in Uber Technologies by 222.7% in the 2nd quarter. GHP Investment Advisors Inc. now owns 355 shares of the ride-sharing companys stock valued at $26,000 after purchasing an additional 245 shares in the last quarter. Financial Management Professionals Inc. raised its position in shares of Uber Technologies by 111.6% in the first quarter. Financial Management Professionals Inc. now owns 383 shares of the ride-sharing companys stock valued at $29,000 after buying an additional 202 shares during the last quarter. WR Wealth Planners LLC raised its position in shares of Uber Technologies by 563.9% in the second quarter. WR Wealth Planners LLC now owns 405 shares of the ride-sharing companys stock valued at $29,000 after buying an additional 344 shares during the last quarter. J.Safra Asset Management Corp purchased a new position in shares of Uber Technologies during the first quarter valued at approximately $32,000. Finally, MCF Advisors LLC boosted its position in Uber Technologies by 1,038.5% during the second quarter. MCF Advisors LLC now owns 444 shares of the ride-sharing companys stock worth $32,000 after acquiring an additional 405 shares during the last quarter. 80.24% of the stock is owned by institutional investors and hedge funds. About Uber Technologies (Get Free Report) Uber Technologies, Inc develops and operates proprietary technology applications in the United States, Canada, Latin America, Europe, the Middle East, Africa, and Asia excluding China and Southeast Asia. It operates through three segments: Mobility, Delivery, and Freight. The Mobility segment connects consumers with a range of transportation modalities, such as ridesharing, carsharing, micromobility, rentals, public transit, taxis, and other modalities; and offers riders in a variety of vehicle types, as well as financial partnerships products and advertising services. Featured Articles Receive News & Ratings for Uber Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Uber Technologies and related companies with MarketBeat.com's FREE daily email newsletter. Valeo Pharma (TSE:VPH Get Free Report) released its quarterly earnings data on Thursday. The company reported C($0.08) earnings per share (EPS) for the quarter, reports. The company had revenue of C$12.60 million for the quarter. Valeo Pharma Price Performance The stock has a market capitalization of C$6.41 million, a P/E ratio of -0.19 and a beta of 2.38. The stocks 50-day moving average is C$0.08 and its 200-day moving average is C$0.12. Valeo Pharma has a 1-year low of C$0.05 and a 1-year high of C$0.39. Get Valeo Pharma alerts: Valeo Pharma Company Profile (Get Free Report) Featured Stories Valeo Pharma Inc, a specialty pharmaceutical company, engages in the acquisition, in-licensing brands, and sale of pharmaceuticals and hospital specialty products for unmet medical needs in Canada. Its product portfolio includes Enerzair Breezhaler, a LABA/LAMA/ICS fixed triple dose asthma drug; Atectura Breezhaler, a LABA/ICS dual combination asthma drug; Redesca, to treat and prevent deep vein thrombosis and pulmonary embolism; Onstryv, for the treatment of Idiopathic Parkinson's disease; M-Eslon, extended-release morphine sulphate used for pain management; and Yondelis, a soft tissue sarcoma. Receive News & Ratings for Valeo Pharma Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Valeo Pharma and related companies with MarketBeat.com's FREE daily email newsletter. Wealthspire Advisors LLC increased its holdings in iShares Russell 2000 Growth ETF (NYSEARCA:IWO Free Report) by 9.6% in the 2nd quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The institutional investor owned 38,197 shares of the exchange traded funds stock after acquiring an additional 3,343 shares during the period. Wealthspire Advisors LLCs holdings in iShares Russell 2000 Growth ETF were worth $10,028,000 at the end of the most recent reporting period. A number of other hedge funds have also made changes to their positions in IWO. Parallel Advisors LLC lifted its position in shares of iShares Russell 2000 Growth ETF by 9.4% in the second quarter. Parallel Advisors LLC now owns 1,513 shares of the exchange traded funds stock worth $397,000 after buying an additional 130 shares during the last quarter. Oppenheimer & Co. Inc. boosted its holdings in iShares Russell 2000 Growth ETF by 22.4% in the 2nd quarter. Oppenheimer & Co. Inc. now owns 31,069 shares of the exchange traded funds stock valued at $8,157,000 after purchasing an additional 5,687 shares during the period. Stonebridge Financial Planning Group LLC increased its holdings in shares of iShares Russell 2000 Growth ETF by 5.1% during the 2nd quarter. Stonebridge Financial Planning Group LLC now owns 3,613 shares of the exchange traded funds stock worth $948,000 after purchasing an additional 175 shares during the period. Idaho Trust Bank acquired a new position in shares of iShares Russell 2000 Growth ETF during the second quarter valued at about $4,287,000. Finally, Eagle Strategies LLC bought a new stake in shares of iShares Russell 2000 Growth ETF in the second quarter valued at about $1,427,000. Get iShares Russell 2000 Growth ETF alerts: iShares Russell 2000 Growth ETF Stock Up 2.5 % iShares Russell 2000 Growth ETF stock opened at $277.23 on Friday. iShares Russell 2000 Growth ETF has a 12 month low of $203.42 and a 12 month high of $291.61. The stock has a market cap of $11.56 billion, a price-to-earnings ratio of 16.25 and a beta of 1.31. The business has a 50 day moving average price of $273.28 and a two-hundred day moving average price of $265.52. iShares Russell 2000 Growth ETF Company Profile iShares Russell 2000 Growth ETF (the Fund) is an exchange-traded fund (ETF). The Fund seeks investment results that correspond generally to the price and yield performance, before fees and expenses, of the Russell 2000 Growth Index (the Index). The Index measures the performance of the small-capitalization growth sector of the United States equity market. Further Reading Want to see what other hedge funds are holding IWO? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares Russell 2000 Growth ETF (NYSEARCA:IWO Free Report). Receive News & Ratings for iShares Russell 2000 Growth ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Russell 2000 Growth ETF and related companies with MarketBeat.com's FREE daily email newsletter. Winland Holdings Co. (OTCMKTS:WELX Get Free Report)s stock price crossed below its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of $4.85 and traded as low as $3.41. Winland shares last traded at $3.95, with a volume of 6,513 shares changing hands. Winland Trading Up 2.6 % The companys 50-day simple moving average is $4.86 and its two-hundred day simple moving average is $4.84. About Winland (Get Free Report) Winland Holdings Corporation, through its subsidiaries, provides critical condition monitoring devices to the security industry. Its products are used to protect against loss of assets due to damage from water, excess humidity, extremes of temperature, and loss of power. The company offers EnviroAlert, which monitors temperature, humidity, and/or water presence in critical environments; TempAlert, a temperature monitoring device for residential and commercial environments through security systems; WaterBug, a water presence and leak detection system; and Power-Out Alert, a power outage detector. Read More Receive News & Ratings for Winland Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Winland and related companies with MarketBeat.com's FREE daily email newsletter. Shares of ZTE Co. (OTCMKTS:ZTCOY Get Free Report) passed above its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of $4.04 and traded as high as $4.08. ZTE shares last traded at $4.04, with a volume of 4,800 shares trading hands. ZTE Stock Up 6.3 % The stock has a market cap of $9.32 billion, a PE ratio of 8.60 and a beta of 0.77. The business has a 50 day moving average price of $4.04 and a 200 day moving average price of $4.04. The company has a quick ratio of 1.16, a current ratio of 1.63 and a debt-to-equity ratio of 0.56. About ZTE (Get Free Report) ZTE Corporation provides integrated communication information solutions in the People's Republic of China, rest of Asia, Africa, Europe, the United States, and Oceania. It operates through three segments: Carriers' Networks, Government and Corporate Business, and Consumer Business. The Carriers' Network segment provides wireless access, wireline access, bearer systems, core networks, telecommunication software systems and services, and other technologies and product solutions for meeting carries' requirements. Featured Stories Receive News & Ratings for ZTE Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ZTE and related companies with MarketBeat.com's FREE daily email newsletter. China's top legislator calls for enhanced exchanges with Kyrgyz parliament Xinhua) 08:19, September 14, 2024 Zhao Leji, chairman of the National People's Congress (NPC) Standing Committee, holds talks with Kyrgyz Parliament Speaker Nurlanbek Shakiev in Beijing, capital of China, Sept. 13, 2024. (Xinhua/Ding Haitao) BEIJING, Sept. 13 (Xinhua) -- China's top legislator Zhao Leji held talks with Kyrgyz Parliament Speaker Nurlanbek Shakiev on Friday in Beijing, calling for enhanced exchanges to provide the legal guarantee for deepening pragmatic cooperation. Zhao, chairman of the National People's Congress (NPC) Standing Committee, said that under the strategic guidance of the two countries' leaders, bilateral relations have achieved leapfrog development, reaching a new high in a comprehensive strategic partnership for a new era. China is ready to work with Kyrgyzstan to implement the important consensus reached by the two heads of state, deepen mutually beneficial cooperation on all fronts, and jointly build a China-Kyrgyzstan community with a shared future featuring good neighborliness and shared prosperity, said Zhao. Zhao called on the two sides to enhance cooperation amid the severe and complicated international and regional situations, to jointly maintain the security and development interests of the two countries, adding that China will as always firmly support Kyrgyzstan in safeguarding its national sovereignty, security and development interests. The two sides should tap deeper into the potential of cooperation in traditional areas, work to make the pie of economic and trade cooperation bigger, promote the exchanges of scientific innovation achievements, and improve connectivity, Zhao said, adding that the construction of the China-Kyrgyzstan-Uzbekistan railway should be started as soon as possible. Zhao said the NPC is willing to work with the Kyrgyz side to strengthen exchanges and communication between high-level members of legislatures, specialized committees and friendly groups, engage in mutual learning in foreign-related rule-of-law construction and other fields, and enhance coordination within multilateral frameworks including the China-Central Asia mechanism and the Shanghai Cooperation Organization. When briefing Shakiev on the people's congress system of China, Zhao said China is willing to strengthen the exchanges of experience in democracy and the rule-of-law construction with Kyrgyzstan on the basis of mutual respect for each other's development path and political system, so as to better serve the development of their respective countries. Noting that Kyrgyzstan and China enjoy a time-honored friendship, Shakiev said the Kyrgyz Parliament is ready to enhance friendly exchanges with the NPC to make positive contributions to bilateral cooperation. (Web editor: Zhang Kaiwei, Liang Jun) KYODO NEWS - Sep 14, 2024 - 11:36 | All, Japan More than 60 percent of Japan's 47 prefectures said they had not established plans to work with neighboring prefectures for dialysis patients in the event of a large-scale disaster, a recent survey by Kyodo News found. The results, underscoring the disparity in preparations, came after a weeklong advisory was issued last month over a potential megaquake in the Nankai Trough, which runs along the Pacific coast. Concerns abound that local dialysis facilities would not be able to fulfill demand if an emergency were to strike. Among the 30 prefectures that had no plans, Nara Prefecture said it had "exchanged contact details with the people in charge of dialysis treatments in neighboring prefectures," while Osaka acknowledged the need to consider a plan. Fukui Prefecture said that while it did not regularly coordinate with its neighbors, it had a history of working with others, including accepting dialysis patients who were affected by the Noto Peninsula earthquake on New Year's Day this year. The survey, conducted between May and June, also found that among the 15 prefectures that have prepared measures, Kochi has a manual to support dialysis patients and has set up a system to provide continued health care during an emergency. Kochi is expected to be hit hard in case of a Nankai Trough earthquake. The Kanto region centering on Tokyo has established a network of eight prefectures, including Niigata, Ibaraki and Tochigi, which hold an annual meeting and drill to exchange information. "It is crucial to work broadly with other prefectures in order to secure dialysis treatments during a disaster," said an official from Saitama Prefecture near Tokyo. Around 350,000 people in Japan require dialysis treatment, which is usually carried out three times a week and requires a large quantity of water. A research group under the Ministry of Health, Labor and Welfare in 2023 formed a series of recommendations outlining the need for municipalities to compile individual manuals and to establish networks with neighboring prefectures. "There is a risk that the initial response will be delayed because they don't know where to turn. It's critical for municipalities to publicize their manuals and prepare with a range of facilities," said Toshihiko Yamaka, secretariat head of the Japan Hemodialysis Assistance Team. Related coverage: FOCUS: People warned to beware of tick-borne infections in Japan Japan set to approve Lilly's donanemab to treat Alzheimer's disease KYODO NEWS - Sep 14, 2024 - 15:22 | All, World Canada will boost its military presence in the Indo-Pacific region and aims to be a "reliable" partner for like-minded nations in the area, the country's defense chief said Friday, amid China's growing maritime assertiveness. In an interview with Kyodo News in Tokyo, Canadian Defense Minister Bill Blair said Japan is a candidate submarine supplier for Canada, which plans to procure up to 12 conventional military subs in the future to replace old ones. "We recognize, economically, geopolitically, strategically, the (Indo-Pacific) region's importance," Blair said, adding Canada "recognizes that we have, along with all of our allies, a responsibility to maintain security in the region." Blair's remarks came as Canada has been deepening its commitment to the region under its "Indo-Pacific Strategy," released in November 2022, through measures such as dispatching warships. Under the strategy, Ottawa pledged to deepen security cooperation with nations such as Japan and South Korea while characterizing China as an "increasingly disruptive global power." Blair met with Japanese Defense Minister Minoru Kihara on Friday and confirmed they will bolster cooperation and personnel exchanges, according to Japan's Defense Ministry. Japan and China have also been at odds over various issues, including repeated incursions by Chinese coast guard vessels into Japanese territorial waters around the Tokyo-controlled, Beijing-claimed Senkaku Islands in the East China Sea. "Our Indo-Pacific strategy and our deepening military engagement in the region is intended to demonstrate to all of our allies here that we will be a reliable and trustworthy partner," Blair said in the interview. Canadian Navy ships continue sailing through the Taiwan Strait not to "provoke" China but to demonstrate "the freedom of navigation" in international waterways, he added. China's ruling Communist Party has been intensifying military pressure on Taiwan. Beijing views the self-ruled democratic island as a renegade province to be reunified with the mainland, by force if necessary. As for Canada's plan of obtaining submarines announced in July, Blair said Japanese subs have an "outstanding reputation," but his ministry will see each candidate's "interest" and "capacity" before making a decision. Related coverage: Japan, China, South Korea set 2030 goal of 40 mil. cross-border tourists Australia, Japan reaffirm defense cooperation amid China's clout In a move to promote eco-friendly transportation in the Northeast region, Noida-based Kinatic E-Bikes India officially launched its electric two-wheelers in Assam on Friday. The launch event, held in Guwahati, marks the first phase of the companys ambitious plan to introduce sustainable transportation solutions in the region. Addressing mediapersons, Manik Miglani, chairman of Kinatic E-Bikes India, affirmed the companys commitment to providing state-of-the-art electric vehicles designed for urban commuters. Initially, the company will focus on its flagship brand, Kinatic, with low-speed electric two-wheelers exclusively launched in Assam. Advertisement These electric vehicles are built for urban use and are ideal for short commutes, Miglani said. With a top speed of 25 kilometers per hour, these two-wheelers do not require registration at the Regional Transport Office (RTO), nor do they need number plates which significantly reduce the hassle for buyers. The Kinatic electric two-wheelers are priced between Rs 65,000 and Rs 75,000, making them accessible to a wide range of consumers. Each vehicle offers a mileage of between 65 and 120 kilometers per charge, depending on the type of battery used. This makes them a cost-effective option for daily commuters looking to save on fuel costs while contributing to environmental sustainability. Kinatic E-Bikes India aims to set up a robust dealer network to support its sales and service operations in the Northeast. According to Miglani, the company plans to establish 100 dealers in Assam alone within the next year, ensuring that customers across the state have easy access to the companys products and after-sales services. The Northeast market presents a unique opportunity for electric vehicles, given the increasing awareness about environmental issues and the rising fuel costs. We are confident that our vehicles will resonate with consumers looking for efficient and eco-friendly alternatives to traditional petrol-driven scooters and bikes, Miglani added. The Maharashtra government will soon launch a scheme to facilitate additional income to farmers by selling surplus power generated by their solar pump sets. This was announced here on Friday by Deputy Chief Minister and Energy Minister, Devendra Fadnavis. The governments move is important as the state has witnessed transition from farmers waiting for years to get grid connection for agriculture pumps to getting pumps on demand, thanks to Solar Agriculture Pump on Demand (SAPD) scheme of the state government. Advertisement He was speaking after inaugurating a registration website for farmers for the SAPD scheme developed by the Maharashtra State Electricity Distribution Company Limited (MSEDCL). He also published a booklet about the scheme and a poster. A scheme will be launched so that surplus power generated in solar panels of agriculture pumps can be sent to the grid and be sold to power companies so that farmers will get additional income. Thus, farmers will be earning money by selling power instead of paying power bills, said Fadnavis. He said that before 2014, there was serious problem of paid pending cases. After that during his government, emphasis was given on providing power connections to farmers. Now thanks to SAPD, farmers are getting solar pumps on demand with 90 per cent subsidy. Due to solar pumps, farmers are getting day time quality power supply. They wont be required to pay bills for the next 25 years as there will be solar power generation for that period. If we consider a 7.5 HP pump, then a farmer will save Rs 10 lakh in a 25-year period. This scheme has been implemented on the basis of the PM Kusum B scheme, he added. He said that under the Mukhyamantri Saur Krushi Vahini Yojana 2.0, agreements for 12,000 MW have been done and projects have started. Power generation will start from those projects with full capacity in the next two years. Hence farmers will get 100 per cent solar energy for irrigation. Apart from farmers getting green energy, a lot of funds for subsidy and cross subsidy will be saved, he added. MahaVitaran CMD Lokesh Chandra said there has been emphasis on using renewable energy for farmers in the last two-and-a-half years. At one point of time there were 8.5 lakh farmers waiting for power connection but now the number of paid pending cases is negligible. Now paid pending problem will become history due to SAPD. Under this scheme, one million agriculture solar pumps will be installed in the state. After the scheme was launched, two lakh farmers registered and paid their share and out of them pumps have been installed in farms of 45,000 growers in the last six months and it is a record. Maharashtra is on number one position in the country regarding installation of solar pumps, he added. The Municipal Corporation of Delhi (MCD) on Saturday said that it conducted a special drive against temporary encroachments in the South Zone of the national capital. The civic body conducted the anti-encroachment drive following complaints about vendors and occupiers occupying unauthorised spaces. Taking cognisance of the complaints received by the South Zone of Municipal Corporation of Delhi regarding vendors and occupiers, a joint temporary encroachment removal drive was successfully executed by the MCD under the leadership of the Deputy Commissioner (South Zone), the MCD said. Advertisement The South Zone of the MCD had been receiving a number of complaints regarding vendors and squatters, the civic body said. Deputy Commissioner (South Zone) informed that the vendors and squatters were found to be hindering vehicular and pedestrian movement. Besides, vendors were blocking footpaths and vending on roads, causing significant inconvenience to the general public, the MCD said. For the removal of such vendors and squatters, a joint temporary encroachment removal drive was successfully executed and temporary encroachment from the footpaths and roads from the entire stretch from the IIT gate to the National Institute of Health and Family Welfare were removed, it said. In the coming week, the MCD will intensify temporary encroachment removal drives to clear roads and footpaths, it added. Senior Aam Aadmi Party (AAP) leader Sanjay Singh on Saturday accused the BJP of spreading lies on the bail conditions of Delhi Chief Minister Arvind Kejriwal and categorically said the Supreme Court (SC) has not imposed any restriction on Kejriwal. Addressing a press conference, Singh said, After Arvind Kejriwal got bail, the BJP members are shouting at the top of their voice that many restrictions have been imposed on him. The BJP is spreading lies. Attacking the BJP, the AAP MP said, BJP people are saying Arvind Kejriwal cannot sign, cannot work as CM. Why are they so excited? The BJP wants to stop the work of Delhi people. Have they read the court order? CM Kejriwal does not have any department. All the ministers do their own work and sign their own files. Kejriwal signs only those files which are sent to the LG for approval. Advertisement He said the SC has not stopped him from signing the files which will go to the LG. Kejriwal will continue to work as the CM of Delhi like before, and will keep fighting for the people of Delhi to get them their rights. Not a single work of the people of Delhi will stop. The SC has not stopped the Delhi government and Kejriwal from doing any work. He has the right to sign every important file that goes to the LG, Singh said. Stating that Kejriwal is a popular CM of Delhi, he said, After Independence, he is the first CM who has done exemplary work in the fields of electricity, water, education and health, and will continue to do so. On the 2025 Assembly elections in Delhi, Singh said, The people of Delhi will get your (BJP candidates) security deposits forfeited (in the elections) and then you will come to your senses. A shopkeeper and his helper were beaten black and blue before being arrested by police in the Loni area for serving juice mixed with urine. People claimed to have found a can filled with urine from a juice shop, which was handed over to the police. Later, the police reached the spot and arrested shopkeeper Aamir. Based on peoples information, a case was also registered against him. A minor employee working at his shop was also arrested. Advertisement ACP (Ankur Vihar) Bhaskar Verma said on Saturday that the police received information on Friday that a juice seller had been serving fruit juice to customers after mixing human urine into it. The police searched the shop and recovered a cane filled with approximately 1 kg of urine. We interrogated the owner about the container filled with urine but he failed to give any satisfactory reply. The accused, namely Aamir, and his co-worker, a minor, have been arrested. Investigation is underway, the ACP said. The ACP told media persons that during police interrogation, the accused admitted he used to urinate in the can because there was no place nearby to relieve himself. When people saw the can, they thought he was mixing urine with juice. Amir, who hails from Bahraich, had opened the juice shop one and a half months ago. However, eyewitnesses claimed that they had seen him mixing urine from a can in a glass of juice. People also claimed that the shopkeeper confessed to his mistake and pleaded for forgiveness when people thrashed him severely. The ACP said that the can containing urine raised suspicion among people. He added that samples from the can have been sent to the lab for testing. Action will be taken against him on the basis of the report, he added. After 6 years of its release, Rahi Anil Barves cult folk-horror Tumbaad recently re-released in theatres. Achieving an impressive milestone, the opening day re-release collection beat the original first-day sale by a whopping 1 crore margin. While in 2018, its Friday collection was 65 lakhs, the first-day collection upon re-release boasts a staggering figure of 1.65 crores. Additionally,upon its initial release, the film produced and headlined by Soham Shah, was lauded by critics and fans alike. Over the years, it has become a cult horror film and has amassed a massive fanbase. Amid the historic success of the re-release, the makers have announced the awaited sequel Tumbbad 2 after 6 years. Taking to his Instagram account on Saturday, Sohum announced the sequel with a tantalising teaser. The video features the 2018 films characters Vinayak and his son Pandurang. A voiceover by Sohum Shah teases, Samay ka pahiya gol hai, jo beet gaya woh fir laut ke aayegadarwaaza bhi ek baar phir khulega (Time moves in circles. What happened in the past will return again The door will reopen). To this, his sons character asks, Yeh, wapas khulega toh Hastar bhi fir ayega? (If it opens again, will Hastar return?). Ending the trailer on a high, Shah responds, Pralay, Pralay ayega (cataclysm will come). Catch it here: Advertisement View this post on Instagram A post shared by Sohum Shah Films (@sohumshahfilms) Exuding dark and ominous vibes, the teaser has set social media ablaze as fans await further details in anticipation. Meanwhile, given the success of the first film, netizens have high expectations for the sequel. It is expected to be grander and more intense. Also Read: Tumbbad re-release: Kriti Sanon, Gajraj Rao hail horror classic In a statement by Shahs PR team, they shared their plans for the sequel film. Tumbbad has been a special journey and a labour of love for us. And to see the love it continues to receive is overwhelming and only ratifies our belief and ethos of Sohum Shah Films, that content is king. With Tumbbad 2, we want to push the cinematic experience and boundaries even further. Tumbbad 2 will take audiences deeper into the world weve created, with bigger twists and a more intense exploration of what happens when greed knows no limits. The 2018 hit, Tumbbad chronicled the story of Vinayak and his son who embarked on a herculean mission. He descends into greed and obsession with acquiring Hastars (a monster who is never to be worshipped) cursed wealth. As they are determined to find what they seek, they face catastrophic consequences. Meanwhile, Tumbbad became the first Indian film to screen at the Venice International Film Festival with its premiere at the 75th edition. Developed on a budget of 5 crores, it collected around 15 crores on its initial release. Moreover, the film received 8 nominations at the 64th Filmfare Awards, and took home 3 prestigious awards. The trial run of Chhattisgarhs second Vande Bharat Express was disrupted on Friday night when stones were thrown at the train near Bagbahra Railway Station, Mahasamund district. The attack on the train raised security concerns days before the official inauguration by Prime Minister Narendra Modi. The train, on its return journey from Visakhapatnam, came under attack at around 9:00 pm. The Railway Police arrested five individuals, including Shiv Kumar Baghel, brother of Tamradhwaj Baghel, Youth Congress president of Khallari constituency, and brother-in-law of local councillor Khileshwari Baghel. Advertisement The other accused have been identified as Devendra Chandrakar, Jeetu Tandi, Lekhraj Sonwani, and Arjun Yadav. All five, aged between 25 and 30, are residents of the Bagbahra area and have been charged under Section 153 of the Railway Act. Investigations are on to determine the motive behind the attack. The isolated nature of the spot and lack of regular monitoring are believed to have contributed to the incident. The Vande Bharat Express, during its trial run, maintained a speed of 130 km/h and completed its journey in a record 8 hours and 15 minutes. The official launch of the service, which will significantly improve connectivity in the region, is set to be held virtually by Prime Minister Modi on September 16. The introduction of the Vande Bharat Express is seen as a significant step forward in bolstering regional rail infrastructure. However, the stone-pelting incident has highlighted the need for enhanced security measures to safeguard critical assets. Authorities are expected to increase vigilance along the route to ensure passenger safety and prevent further incidents. "We have been there for several years, and we will continue to come in the coming years. That's for sure," said Henning Kristoffersen, Commercial Counselor of the Royal Norwegian Embassy, at the ongoing 2024 China International Fair for Trade in Services (CIFTIS). The Norwegian official said that the five-day event exemplifies China's efforts of "inviting foreign companies to come in and access the market." Produced by Xinhua Global Service Emotions ran high as Delhi Chief Minister Arvind Kejriwal returned to his residence on Civil Lines Road after being released from Tihar jail on Friday night. Aam Aadmi Party (AAP) leaders, visibly elated with the return of their chief, joined his family in a heartfelt welcome. His parents and wife, Sunita Kejriwal, greeted him with Aarti and garlands, marking a joyous reunion. The atmosphere was charged with celebration as Arvind Kejriwals supporters and senior leaders of the party, including Manish Sisodia, Sanjay Singh, and Bhagwant Mann, embraced him warmly. Kejriwal held a roadshow from Chandgiram Akhara to his official residence after being released from the Tihar jail and said, The prison walls have increased my courage 100 times. My life is dedicated to the country. Every drop of my blood is dedicated to my country. God has always supported me. Why did God support me? Because I was truthful, I was right; I served the people; I fought for the country; that is why God is with me. Advertisement I express my gratitude to the countrymen who prayed for my release. Some people in the country, anti-national forces, want to weaken the country, want to divide the country. Today judges are being threatened. Attempts are being made to weaken the Election Commission. We have to fight against this. My fault is not that I have committed corruption, my fault is that I have spoken against such anti-national forces. I will continue to fight against such forces, he added. He was released from Tihar Jail on Friday evening, hours after the Supreme Court granted him bail in a corruption case related to the alleged Delhi Excise Policy scam. Kejriwals lawyers furnished surety bonds for his release before the special CBI judge, citing the Supreme Court ruling. Upon his release, Kejriwal was welcomed by a large crowd of AAP leaders and supporters outside the Tihar jail. His supporters celebrated outside his residence with firecrackers. The Supreme Court on Friday granted bail to Kejriwal, saying prolonged incarceration amounts to unjust deprivation of liberty. The apex court also put certain conditions on Kejriwals release, including that he shall not make any public comments about the case and shall be present for all hearings before the trial court unless exempted. Kejriwal was arrested by the Enforcement Directorate (ED) on March 21 in connection with the case. On May 10, the Supreme Court granted him interim bail till June 1 in the money laundering case registered by the ED in connection with the now-scrapped Delhi Excise Policy. However, the court ordered that he should not visit the Office of the Chief Minister or the Delhi Secretariat. In compliance with this directive, he surrendered on June 2. On June 26, he was arrested by the CBI while in ED custody in the excise case. On July 12, the Supreme Court granted him interim bail in the ED case, but Delhis Rouse Avenue Court extended his CBI custody. Union Minister for Home and Cooperation Amit Shah has stated that the government, under the leadership of Prime Minister Narendra Modi, is boosting exports to ensure that farmers receive a fair price for their crops, allowing them to earn maximum value for their produce. In a post on X platform, he said keeping the welfare of farmers paramount, the Modi government has made three important decisions: it has decided to remove the Minimum Export Price (MEP) on onions and reduce the export duty from 40% to 20%. This will increase onion exports, resulting in a rise in income for onion-producing farmers; Advertisement it has also decided to remove the MEP on Basmati rice, enabling Basmati rice-producing farmers to export and earn higher profits; and it has decided to increase the duty on the import of crude palm, soyabean, and sunflower oils from 12.5% to 32.5% and on their refined oils from 13.75% to 35.75%. This will ensure that Indian soybean farmers receive better prices for their crops, thus increasing their income. Uttar Pradesh Chief Minister Yogi Adityanath on Saturday said that Gyanvapi, which some people refer to as a mosque, is actually Vishwanath ji himself. The CM made this statement addressing the inaugural session of an international seminar on the Contribution of Nathpanth in the Creation of a Harmonious Society at Deendayal Upadhyay Gorakhpur University. The two-day seminar was jointly organized by Gorakhpur University and Hindustani Academy Prayagraj. Advertisement During the program at Deeksha Bhavan, Yogi Adityanath elaborated on the tradition of saints and sages, describing it as a force that unites society and the nation. He spoke in detail about Adi Shankar, born in Kerala, who established key Peethas for religion and spirituality across the country. When Adi Shankar arrived in Kashi, Lord Vishwanath sought to test him. As Adi Shankar went to bathe in the Ganga during Brahma Muhurta, Lord Vishwanath appeared before him disguised as an untouchable. When Adi Shankar asked him to step aside, Lord Vishwanath, still in that form, challenged him, asking, If you are truly full of Advaita knowledge, you should not see only the physical body. If Brahma is the ultimate truth, then I possess the same Brahma as you, he stated. The CM added, When the stunned Adi Shankar asked the untouchable Lord for his identity, he revealed, I am the very one for whose worship (Adi Shankar) has come to KashiGyanvapi. The Chief Minister emphasized that Gyanvapi is an embodiment of Lord Vishwanath. Stating that the tradition of Indian sages and saints has always been a force for unity, the Chief Minister remarked that this tradition has valued an egalitarian and harmonious society since ancient times. Our saints and sages have consistently emphasized that physical untouchability is not only a barrier to spiritual practice but also an obstacle to national unity and integrity. The Chief Minister further noted that if more attention had been given to eliminating untouchability, the country might never have been enslaved. The tradition of saints never prioritized untouchability within society, and this is also the ethos of the Nathpanth. The Nathpanth respects all castes, sects, religions, and regions, striving to bring everyone together. He added that the Nath sect, on one hand, focuses on spiritual elevation through physical purification, while on the other, it makes efforts to unify every section of society. Chief Minister Yogi Adityanath highlighted that the words, verses, and couplets of Mahayogi Guru Gorakhnath emphasize societal connection and harmony, adding that his leadership is well-known for enhancing social cohesion. He cited Malik Muhammad Jayasis lines: Binu Guru Panth Na Paiye, Jo Bhule Se Bhet, Jogi Siddh Hoi Tid, Jab Gorakh Saun Bhet, and mentioned how Saint Kabirdas praised his glory, while Goswami Tulsidas wrote, Gorakh jagayo jog, Bhakti bhagayo log nigam niyog se. The Chief Minister noted that the enduring influence of the Nathpanth tradition is evident not only across India but also internationally. He recounted his meeting with a prominent saint from Tamil Nadu in Ayodhya, who provided manuscripts of Nathpanth from remote areas of Tamil Nadu. Many places of worship and traditions linked to Gorakhnath Ji still exist, he remarked. He observed that Maharashtra maintains a tradition of reading Navnaths similar to the Ramcharitmanas, and predicted that the Nathpanth tradition would continue to expand in states like Punjab, Sindh, Tripura, Assam, Bengal, and beyond Greater India, including Nepal, Bangladesh, Tibet, Afghanistan, and Pakistan. Emphasizing the importance of preserving Nathpanth symbols, he suggested that the Mahayogi Guru Gorakhnath Shodhpeeth at Gorakhpur University could lead efforts to create a museum to document and store these traditions. He urged the Shodh Peeth to compile all aspects and symbols of Nathpanth into an Encyclopedia. The Chief Minister stated that Nathpanth has always adapted its role to fit the countrys needs, times, and circumstances. When external threats began to emerge in the country, the Yogis of Nathpanth raised awareness about these dangers through their music. Similarly, Nathpanth has led efforts in challenging social stereotypes. It is indeed a great fortune that Mahayogi Gorakhnath Ji blessed Gorakhpur with his spiritual practices. On the occasion of Hindi Diwas, Chief Minister Yogi Adityanath emphasized that Hindi is a practical language for uniting the country and is derived from Sanskrit, the language of the Gods. He referenced Bharatendu Harishchandras concept of Nij Bhasha Unnati, noting that Harishchandras passion for the language continues to inspire people. He pointed out: If our language and sentiments are not our own, progress will be hindered at every level. The Chief Minister commended the Central Government, under Prime Minister Narendra Modis leadership, for promoting Hindi both in India and globally over the past decade. The Chief Minister also released several publications on the occasion. Chief Minister Sukhvinder Singh Sukhu said on Saturday that Himachal Pradesh has become the first state in the country to make a provision for property seizure in cases of illegal and spurious liquor. He said that the state government was taking strict measures against those involved in the trade of illegal and spurious liquor. Recently, amendments were made to the Himachal Pradesh Excise Act 2011 during the monsoon session of the State Assembly, tightening the noose against individuals involved in unlawful activities. The most significant amendment is the introduction of a provision allowing for the seizure of property belonging to individuals involved in illegal activities, as well as their relatives and associates, an aspect previously missing from the Act. Himachal Pradesh is the first state in the country to implement this provision. Furthermore, to enhance its effectiveness, these offences have now been made cognisable and non-bailable, he said. Advertisement Sukhu further said that the new provisions will go a long way in controlling illegal liquor trade activities, as the amendments also include increased penalties and longer durations of punishment under various sections of the Act. The illegal sale of liquor to minors or their use as sales personnel is a serious crime and to address this, the new law included provisions for penalties, such as six months of imprisonment or a fine of up to Rs 50,000. He added that in order to ensure effective implementation of these provisions, enforcement agencies are being empowered, he said. The establishment of an Excise Police force has also been proposed to expedite the handling of excise cases and discourage illegal activities, he added. Recalling the Hooch Tragedy in Mandi district in 2022, where eight people lost their lives due to the consumption of spurious liquor, he assured that stringent provisions were being implemented to avoid a recurrence of such incidents in the future. The state government was taking defining steps to combat the menace of drug abuse. The recruitment process for over 1,200 constables is underway, with a specific focus on effectively tackling such issues, the Chief Minister said. Himachal Pradesh Chief Minister Sukhvinder Singh Sukhu has condoled the demise of Sepoy Arvind Singh, who attained martyrdom during an encounter with terrorists on Friday in Baramulla of Jammu and Kashmir. Arvind Singh hailed from Hathol Khas village in Kangoo of Nadaun assembly constituency, which falls in Hamirpur district. The Chief Minister said that it was deeply painful to lose a brave heart and said that he would live forever in the hearts of the people of India. Advertisement He also expressed deep condolences to the grief-stricken family members. Sukhu prayed to the Almighty to grant peace to the departed soul and give strength to the family and friends to bear this irreparable loss. He said that the state government was standing firm with the family of the soldier and would provide all possible help in this hour of distress. Deputy Chief Minister Mukesh Agnihotri has also expressed deep sorrow over the demise of the soldier and said that the people of India are indebted to him for his selfless service to the nation. His supreme sacrifice would be remembered forever, he said. Agnihotri offered deep condolences to the grief-stricken family members and prayed to the Almighty to grant peace to the departed soul and strength to the family members to bear this irreparable loss. Union Home Minister and Minister of Cooperation Amit Shah on Saturday addressed the Official Language Diamond Jubilee Celebrations to mark the 75 years of the acceptance of the Hindi language as the official language of the Union. Mr Shah said 14th September is celebrated as Hindi Diwas as on this day in 1949, the Constituent Assembly of India accepted Hindi as the official language of the Union. Prime Minister Narendra Modi, in a post on X, greeted citizens on the occasion of Hindi Day. In a message, he said he was able to connect with so many people only because he knew Hindi. Hindi can be a link between all Indian languages, he said. Advertisement The Home Minister said Hindi is a friend of all Indian languages and they complement each other. Whether it is Gujarati, Marathi, Telugu, Malayalam, Tamil or Bangla, every language strengthens Hindi and Hindi strengthens every language, the Home Minister said. He said that under the leadership of Prime Minister Narendra Modi, a lot of work has been done to strengthen Hindi and local languages in the last 10 years. The Prime Minister has proudly addressed many international forums in Hindi and has put forward the importance of Hindi not only in the country but across the world. The Home Minister addressed the 4th Akhil Bharatiya Rajbhasha Sammelan also and released the Diamond Jubilee Special Issue of the Rajbhasha Bharati magazine. He released a commemorative postage stamp and commemorative coin to mark the Diamond Jubilee. He presented the Rajbhasha Gaurav and Rajbhasha Kirti Awards and launched the Bharatiya Bhasha Anubhaag (Indian Languages Section). Mr Shah said that a new tool Kanthasth has been developed in the past 10 years. Work has been done to establish Hindi prominently in government work. In the coming days, the Department of Official Language is also bringing a portal for translation from Hindi to all languages listed in the Eighth Schedule, through which we will be able to translate any letter or speech into all languages in a very short time using Artificial Intelligence, he said. He said that the Indian Languages Section will become the centre for the protection of Indian languages. The Indian Languages Section will become a supplementary section of the Department of Official Language, because the promotion of the official language cannot take place until we strengthen all our local languages and establish a dialogue between the official language and other Indian languages. The Home Minister said that those who do not incorporate Swaraj, Swadharma and Swabhasha cannot free their future generations from slave mentality. Swabhasha is included in the definition of Swaraj itself. He said a country and people who cannot protect their languages, get cut off from their history, literature, culture, and their future generations continue living with a slave mentality. He said that in the new education policy, the Prime Minister has stressed on imparting primary education in mother tongue. The easiest language for a child to process linguistic expression, thinking, understanding, reasoning, analysis and reaching a decision is through his/her mother tongue. He said that for this reason Prime Minister Modi has laid great emphasis on imparting education in mother tongue. The Home Minister said that today is the day to strengthen all the languages of India and to make the official language the connecting language of the country through which we can carry out the work of our country in our own languages. He said the Department of Official Language has done a lot of work towards making Hindi accommodative and acceptable. He said Hindi can never be polluted with assimilation of words from other Indian languages because Hindi is like mother Ganges and will always remain sacred. Many words are not in Hindi but in other local languages and we have accepted them. Mr Shah said that the languages of India can only be strengthened by Hindi and vice versa. He said that today files and correspondences in the Ministry of Home Affairs and the Ministry of Cooperation are being written in Hindi. Language is an expression, and expression is effective when it is in ones own language, the Home Minister said. India Saturday advocated recognition of culture as a standalone goal in the post-2030 global development agenda, highlighting its transformative potential in driving economic growth, social inclusion, and sustainable development. This is in sync with Indias own cultural renaissance efforts, aimed at preserving and promoting its rich cultural heritage while fostering innovation and creativity, said Culture Secretary Arun Chawla at the ninth BRICS Culture Ministers Meeting in St. Petersburg. He emphasised the need to place culture at the heart of global development strategies, to use it as a tool for empowerment, inclusion, and mutual understanding. Advertisement The Indian official reiterated Indias commitment to harnessing the power of culture for sustainable development and global cooperation. This aligns with Prime Minister Narendra Modis vision of convergence between Culture Creativity, Commerce and Collaboration for building an equal, sustainable and inclusive world. He also stressed the importance of creative industries, innovation, and job creation, emphasizing the need for BRICS nations to leverage their collective cultural strengths to drive economic growth and social development. The promotion of people-to-people exchanges, grassroots cultural diplomacy, and education were also highlighted as key areas of focus for Indias cultural cooperation agenda. The four-member Indian delegation for BRICS Culture Ministers meeting and International United Cultures Forum, led by Mr Chawla, included Joint Secretary Lily Pandeya, Yashveer Singh Director and Shah Faesal, Deputy Secretary Ministry of Culture. By prioritising culture, India aims to strengthen BRICS nations collective response to global challenges, promote mutual understanding and respect, and create a more inclusive and culturally resonant global community. This vision is in line with the BRICS spirit of cooperation and mutual support, and Indias leadership in cultural diplomacy is expected to play a significant role in shaping the groupings cultural agenda in the years to come. Hours before the election rally of Prime Minister Narendra Modi in Doda on Saturday, a junior commissioned officer (JCO) among two soldiers killed in a gunfight with terrorists on Friday evening in the Chhatroo area of the neighbouring Kishtwar district of Jammu. On the other hand, three Pakistani terrorists have been killed in an overnight encounter between the security forces and terrorists at Chak Tapper Kreeri in North Kashmirs Baramulla. The Army has identified the martyred soldiers as Naib Subedar Vipan Kumar and Sepoy Arvind Singh. Advertisement The two Army men, who succumbed to their injuries, were among four soldiers injured in the gunfight with terrorists in the forest area in the Kishtwar district. Two to three terrorists believed to be trapped in the cordon by security forces managed to escape. A massive hunt has been launched in the forest area. The White Knight Corps of the Indian Army wrote on X; Based on the intelligence inputs, a joint operation with J&K Police was launched in the Chatroo area at Kishtwar. A contact was established and the scout leading the patrol exchanged a heavy volume of fire with the terrorists at 1530 hrs. In the ensuing firefight four army personnel were injured. The operation is in progress. Top brass and all ranks of the Northern Command and the White Knight Corps have paid tributes to the martyred soldiers. Additional troops have been rushed to the spot, sources said. The district Police of Kishtwar said The exchange of fire has taken place between search teams of security forces and hiding terrorists at Pingnal Dugadda forest area, upper reaches of Naidgham village under the jurisdiction of Police Station Chhatroo, District Kishtwar. The Army on Wednesday eliminated two Pakistani terrorists in the forest area in the Kathua district. Meanwhile, security forces have busted a terrorist hideout in the Poonch district. Police said that on specific information a joint search operation was launched by Police, Army and CRPF in the general area of Chamerd Surankot in the wee hours. The area was cordoned off and the target area was searched, during the search the security forces were fired upon by the hiding militants. However the fire was retaliated by the security forces. Taking advantage of the thick forest cover the terrorists managed to slip away. Additional forces have been pushed in and the whole area is cordoned off. During the search security forces busted a hideout and recovered some arms, ammunition and eatables. West Bengal Chief Minister Mamata Banerjee, on Saturday, visited agitating junior doctors at Swasthya Bhawan in Kolkata with a request to resume thier duty. The doctors are protesting against the brutal rape and murder of a trainee doctor at Kolkatas RG Kar Medical College and Hospital. Addressing the protesters, the West Bengal CM said, I have come forward by leading the student movement, I have also struggled a lot in my life, I understand your struggle. I am not worried about my position. It rained all night yesterday, you were sitting here protesting, I was worried all night. Advertisement Ms Banerjee assured the junior doctors that she will study their demands and find a solution. After listening to your demands, I will study them. I do not run the government alone, I will definitely find a solution by studying your demands with senior officials. Whoever is found guilty will definitely be punished. I am asking for some time from you, she said in a Bengali. The Bengal chief minister requested the the protesting doctors to return to work, saying the state government will not take any action against them. The state government will not take any action against you (protesting doctors). I request you to return to work All the work related to the development, infrastructure, security of the hospital has been started and will be done further, she added. The doctors have been protesting following the brutal rape and murder of a trainee doctor at Kolkatas RG Kar Hospital, defying both a Supreme Court order and the governments repeated appeals. Earlier on Thursday, the doctors refused to turn up for a meeting called by Ms Banerjee to address their concern and end the deadlock. She reportedly waited for nearly one and half hours but the doctors remained outside the meeting venue. They had demanded for a live telecast of their meeting with Banerjee. However, West Bengal Chief Secretary, Manoj Pant, conveyed that while live streaming was not feasible, the entire session would be documented through video recording. As the death of Mangesh Yadav in an encounter with the police continued to be in the limelight Samajwadi Party President Akhilesh Yadav accused the Uttar Pradesh Police of fake encounters. Mangesh Yadav was abducted from his home and killed. I met his family yesterday to know the truth. The UP Police is conspiring and carrying out fake encounters before pressurising the family members to sing to their tunes, he alleged. Addressing a press conference here on Saturday, Akhilesh Yadav, referring to a statement given by the family of the deceased, said anyone can give any statement due to the fear of the police. Advertisement He was honouring litterateurs, poets and journalists on the occasion of Hindi Diwas. When asked about his statement comparing saints in Ayodhya with mafias, Akhilesh Yadav, citing BSPs slogan calling to hit Brahmins with shoes, asked why didnt these people express their displeasure. The saints of Ayodhya have taken exception to the intemperate remark made by the SP chief. Meanwhile, Bharatiya Janata Yuva Morcha activists held a massive protest in Lucknow against the Yadavs statement. The activists raised slogans against the SP president and demanded an apology from him. Reacting on the BSP supremo Mayawatis blame on the SP over breaking the alliance after 2019 Lok Sabha polls, Akhilesh Yadav said, The SP-BSP alliance was poised to change the politics of the country but we were cheated. It is a very small matter as to who did not answer whose phone call. When I got the information about the break of the alliance, a BSP leader was sitting with me on the stage. I asked him why BSP broke the alliance. He said both you and I have been cheated, SP President revealed. On the SP contesting elections in Jammu and Kashmir, Akhilesh Yadav said small states have good opportunities to become a national level party. On the allegations of land grabbing leveled against BJP leaders in Ayodhya, he said this is happening in the entire state. Their leaders are occupying not only the land but even the pond, he charged. BEIJING, Sept. 14 (Xinhua) -- China is strongly dissatisfied with and firmly opposes U.S. abuse of Section 301 to hike tariffs on some Chinese goods, the Ministry of Commerce said Saturday. The Office of the United States Trade Representative on Friday announced final modifications concerning the statutory review of the tariff actions toward China under Section 301. China has repeatedly lodged solemn representations with the U.S. side concerning the Section 301 tariffs, said a spokesperson for the ministry. The World Trade Organization (WTO) has already ruled that the Section 301 tariffs violate WTO rules. However, instead of rectifying its wrongdoings, the U.S. side further increases tariffs on Chinese products, adding one mistake to another, said the spokesperson. The move is a typical practice of unilateralism and trade protectionism, said the spokesperson, adding that it seriously undermines the international trade order, as well as the security and stability of global industrial and supply chains. Moreover, the move not only fails to solve the United States' own problems concerning trade deficits and industrial competitiveness, but also pushes up prices of U.S. imports and forces U.S. companies and consumers to bear the additional costs. The 2024 Report on WTO Compliance of the United States, which was released Thursday by the ministry, once again expressed serious concern over the U.S. abuse of Section 301, and pointed out that the United States is a "disrupter of global industrial and supply chains." The U.S. side had previously sought public opinions on the results of the Section 301 tariff review. The majority of opinions either opposed the imposition of additional tariffs or requested broader tariff exemptions. "This shows the Section 301 tariffs are unpopular among the U.S. public," said the spokesperson. The United States should rectify its wrongdoings immediately and remove all additional tariffs on Chinese goods, the spokesperson said, adding that China will take necessary measures to firmly safeguard the interests of Chinese enterprises. Hundreds of Bajrang Dal activists staged a protest and waved black flags while raising slogans against a Madhya Pradesh cadre Indian Police Service (IPS) officer at Bhopal alleging the officer indulges in Anti-Hindu activities. The Hindu activists reached outside the Government Railway Police (GRP) Station in Bhopal on Saturday morning, expecting that the newly appointed GRP SP, Rahul Kumar Lodha, would come to take charge today. However, the officer did not reach there. Nonetheless, the Bajrang Dal activists raised slogans and also recited a Sunder Kand Paath, as a mark of protest. Advertisement The right wing Hindu outfit is enraged with the IPS officer, mainly due to his action in a recent incident of alleged stone pelting on a Ganesh idol procession in the Mochipura area of Ratlam district. Lodha was the Ratlam SP during the incident. He fact-checked a complaint of right- wing outfits over pelting of a stone on a Ganesh procession at Ganesh Chaturthi on 7 September night by personally visiting the spot at Mochipura area in Ratlam. However, when Lodha led a team to the spot, more than 500 right wing activists also followed him despite being asked to go away. Clash between police and the protesters took place. Following stone pelting, the police registered a case against around 150 persons, including about a dozen Hindu outfit leaders, the next day. Several people credited Lodha for taking swift action and de-escalating the tension and preventing a major communal riot. However, the right-wing organisations, Bajrang Dal and Hindu religious heads spilled onto the roads in Ratlam against police action and alleged that a member of the Hindu community had died following lathi charge by police on protesters at Mochipura. The state government subsequently removed Lodha and transferred him as GRP SP to Bhopal after the incident. Bajrang Dal leader Dinesh Yadav told some media persons at Bhopal that Rahul Kumar Lodha had misinformed the government over the attack on Ganesh procession by a community in Ratlam. Yadav alleged that the police registered an FIR against Hindu community members only. Yadav also claimed that the police cane charged on the Hindus and a Hindu sustained grievous wounds and succumbed to the injuries. We want to warn him (Lodha) that the way he indulged in anti-Hindu activities wont be tolerated, Yadav averred. If his attitude does not change, the Bajrang Dal will carry on its protest wherever he goes to take charge of new assignments, he declared. In the meantime, we request the administration and government that such an officer should be dismissed from the service, Yadav demanded. Rahul Kumar Lodha, an MP cadre IPS officer of 2011 batch, is expected to take charge as SP GRP Bhopal on September 18. A ragpicker was injured following an explosion near Blochmann Street and SN Banerjee Road in central Kolkata on Thursday afternoon. According to the Kolkata Police, the incident took place around 1.45 pm, and the victim was promptly rushed to NRS Medical College and Hospital. He suffered injuries to his right wrist. Information was received around 1.45 pm regarding an explosion at the crossing of Blochmann Street and SN Banerjee Road. One ragpicker was injured and taken to NRS Hospital with injuries to his right wrist, stated an official from the Kolkata Police. Advertisement The area was swiftly cordoned off, and the Bomb Detection and Disposal Squad (BDDS) was dispatched to the scene. The BDDS team conducted a thorough examination of the area and the traffic was resumed after their clearance. Eyewitnesses described the explosion as loud and frightening. We were standing nearby when the explosion occurred. We rushed to the scene and found the ragpicker lying on the ground, injured. The police responded quickly and transported him to the hospital. Traffic was blocked for a while, an eyewitness recounted. The explosion that comes amid Durga Puja preparations has triggered concerns about public safety in the heart of the city. Following the incident, Dr Sukanta Majumdar, Minister of State for Education and Development of the North Eastern Region, wrote a letter to Union Home Minister Amit Shah, seeking a thorough investigation into the incident. Expressing his serious concern over the blast, Majumdar urged the Ministry of Home Affairs to initiate a detailed probe, recommending that the National Investigation Agency (NIA) or another central agency lead the inquiry. Given the seriousness of the incident and its potential implications for public safety, a comprehensive investigation is essential to determine the cause of this explosion, Majumdar stated in the letter. He further emphasised that swift action is necessary to maintain public confidence and bring the perpetrators to justice. Hitting out at, what he called as the Congress, Abdullah and Mufti dynasties, Prime Minister Narendra Modi said on Saturday that the assembly elections in Jammu and Kashmir are a fight between the three families and the new leadership. Modi, while addressing an election rally in Jammus Doda town, said one family belongs to Congress, another to the National Conference and yet another to the PDP who exploited the youth and suppressed their aspirations. This is the first election rally of Modi held ahead of the first phase of Assembly polls scheduled on 18 September. Advertisement What these three families together have done to you people in Jammu and Kashmir is no less than a sin, he said adding that the three dynasties will be standing against the aspirational youth of Jammu and Kashmir in these elections. They are responsible for ruining Jammu and Kashmir for decades, he said. This time, the election is going to decide the fate of J&K. Since independence, J&K has been targeted by foreign powers. After this, the three dynasties started hollowing out this beautiful region. The political parties you trusted here did not care about your children. Those political parties only promoted their own children, he contended. He said, The youth of J&K have been suffering from terrorism, the parties promoting pariwarwad were having fun by misleading you. These people have never allowed new leaders to emerge anywhere in J&K. These three families are responsible for the terrible state that J&K was in for decades. They indulged in corruption and made you struggle for your basic needs, he said. The PM contded that these families laid the foundation for terrorism and extremism in Kashmir by providing safe haven for the propagation of terrorism for personal gains. BJPs resolution and your support can bring peace, prosperity and security to Jammu and Kashmir. BJP wants to make Jammu and Kashmir developed and terror-free, he added. He urged the people to ensure that the BJP candidates win with a huge mandate and ensure a brighter future for everyone. He warned the people to be vigilant against the false promise of restoration of statehood being made by the Congress as only a BJP government would grant statehood to J&K. The agenda of Congress was to send people to jail whereas we are in the government to eradicate corruption and do good to people. Congress is spreading hatred. The National Conference and Congress have always neglected the Jammu region. They want to bring back Article 370, which will snatch reservation; will you allow them to bring back the old era of fear, the PM asked the people. He said terrorism is taking its last breath in Jammu and Kashmir where his government projected a new leadership to counter dynastic politics which has destroyed this beautiful region. We and you together will make Jammu and Kashmir a secure and prosperous part of the country. He said his government focused on carving out a young leadership soon after we came to power at the centre in 2014. Thanking people for having come out in large numbers in the rally, Modi said I will repay this love and blessings of yours by working twice and thrice as hard for you and the country. Together we will build a safe and prosperous Jammu and Kashmir and this is Modis guarantee. Modi said I want every child in Jammu and Kashmir to have access to good education. Over the past few years, the BJP government has opened various schools and colleges in J&K for the betterment of the youth. The long-standing demand for a medical college in Doda was also fulfilled recently by the BJP government. He further said, We are connecting the remote parts of Jammu and Kashmir by rail. People of Ramban district, Doda Kishtwar and Kashmir Valley can reach Delhi directly by train; we will fulfil this dream of yours. The Ramban station is ready and the trial run has also started. Alfred Hitchcock, the master of suspense, once quipped, Drama is life with the dull bits cut out. In his case, however, it wasnt just the dull bitsHitchcock had a knack for turning the mundane into the macabre, and a stroll down a quiet hallway into a pulse-racing ordeal. Whether its birds inexplicably waging war on humanity or a charming man with mother issues inviting you to a remote motel, Hitchcock never failed to make us reconsider the everyday. So grab your popcorn, but maybe keep an eye on the birds outside, because in Hitchcocks world, danger is always closer than you think. Early life Sir Alfred Hitchcock was born on 13 August 1899 in London, England. A master of suspense, his films were laced with dark humour and a rather grim take on the human experience. Hitchcocks childhood in Londons East End came with a ghostly backdrop: the shadow of Jack the Ripper still loomed large, with whispers of the notorious killer circulating long after the murders. Though he had two siblings, Alfred remembered his youth as a solitary affair, thanks to a father whose disciplinary methods were, lets say, creative. One infamous incident had young Alfred marched to the local police station with a note from his father, leading to a brief, yet terrifying, stint behind barsplanting the seeds for his lifelong fear of small spaces and wrongful imprisonment, themes that would later crawl into his films. As if that werent enough, his doting mother smothered him with watchful eyes and endless meals, providing both the foundation for his signature paunch and a lasting appreciation for the macabre. Advertisement Lets take three quintessential Hitchcock films that showcase his genius for playing with suspense in entirely different ways: Psycho, The Birds and North by Northwest. Each offers its own distinct flavour of thrill, proving that Hitchcock could serve up psychological terror, apocalyptic dread and high-flying adventure with equal flair. Psycho (1960) Alfred Hitchcocks Psycho is the cinematic equivalent of a perfectly set trapcarefully baited, meticulously timed and impossible to escape. From its opening shot to its unforgettable finale, the film plays with audience expectations like Norman Bates plays with taxidermy. With a shower scene that made people fear their own bathrooms, Hitchcock didnt just break the rules of filmmaking; he rewrote them in a new language of tension and terror. The story, deceptively simple, begins with Marion Crane (Janet Leigh) deciding to run off with a bag full of stolen money, thinking her biggest problem is getting caught. But Psycho being Psycho, her plansand quite literally her lifeare cut short by Norman Bates, a shy, soft-spoken young man with a not-so-soft-spoken mother. With what follows, Hitchcock gleefully toys with the audiences sympathies, initially setting us up to root for Marion, only to pivot and put us in the hands of a charming lunatic. Cinematographically, Psycho is a masterclass in visual storytelling. His use of light and shadow is nothing short of masterfuleach scene is carefully lit to enhance tension and create an unnerving atmosphere. Take the Bates Motel, for example: a seemingly innocuous roadside stop bathed in soft light, which somehow makes it even creepier. The interiors, on the other hand, are claustrophobic and shadowy, with the taxidermy-filled parlour practically screaming, This guy is not okay. Then theres the famous shower scene, arguably the most analysed and imitated 45 seconds of film ever made. Hitchcocks use of fast cuts, extreme close-ups and the absence of direct violence on screen is a stroke of genius. Bernard Herrmanns screeching violins amplify the horror, but its Hitchcocks refusal to show too much that does the real damage. Viewers are left filling in the blanks with their imaginations, and in true Hitchcock fashion, what we imagine is always far worse. The camera in Psycho is more than a passive observer; its an accomplice in the suspense. Hitchcock employs it like a peeping tom, whether its slowly creeping toward a closed door or giving us a birds-eye view of Marions car sinking into a swamp. Even the showerhead is given a close-up, as if were being pulled into the very drain along with Marion. The stark black-and-white cinematography adds to the bleak, unsettling vibe, stripping away any sense of comfort or normalcy. Its almost as if the film itself is shot through the eyes of Norman Bateseverything looks innocent at first glance, but upon closer inspection, something is terribly off. The Birds (1963) Alfred Hitchcocks The Birds turns an innocent trip to the pet store into a full-blown avian apocalypse. Its a film that takes the everyday and twists it into a slow, creeping nightmarebecause what could be more innocent than birds? That is, until they decide to turn on humanity and remind us that perhaps were not at the top of the pecking order after all. The story starts off innocuously enough, with socialite Melanie Daniels (Tippi Hedren) flirting her way into a small California town to pull a prank on lawyer Mitch Brenner (Rod Taylor). You think youre in for a breezy romantic comedy, but no, Hitchcock has other planslike weaponising seagulls. By the time the first bird dive-bombs someones head, you realise The Birds isnt about cute lovebirds in cages; its about Hitchcock caging you in a world where the rules of nature no longer apply. What sets The Birds apart is that Hitchcock never bothers to explain why the birds are revoltingno mad scientist, no chemical spill, not even a passing maybe theyre angry at global warming. Instead, he lets the randomness of the attacks make things even scarier. Its chaos for chaos sake, and the fact that our feathered friends have no motive is what makes them truly terrifying. Its Hitchcocks way of saying, There are no safe explanations herejust panic. As for the cinematography, Hitchcock uses it to unsettle from the get-go. The films opening scenes are bathed in a sunny, postcard-perfect light, with Bodega Bay looking like the ideal spot for a weekend getaway. But as the birds hostility escalates, so does the visual tension. The calm, picturesque setting begins to feel like a cruel joke, with the wide open sky slowly transforming into a menacing void. The cinematography uses extreme wide shots to show the sheer number of birds, which, instead of offering comfort, overwhelms the viewer. Theres something deeply unnerving about watching thousands of birds gather silently in a jungle gym, as if plotting their next move. Hitchcock also plays with the cameras perspective to ramp up the terror. When the birds attack, youre thrown right into the chaos, with quick cuts between screaming faces and flapping wings. In one famous sequence, Melanie watches helplessly through a window as the birds relentlessly crash against the glassa clear metaphor for the fragile illusion of human control. Hitchcock frames her like a bird in a cage, reversing the power dynamic in a way thats both brilliant and disturbing. Another standout moment is when Melanie is trapped in a telephone booth, desperately trying to avoid being pecked to death. The cinematography puts you in her shoesor, rather, in her claustrophobic glass boxas birds slam into every side, turning an everyday object into a death trap. The camera angles keep shifting, giving you no stable point of view, which only adds to the feeling that you, too, are trapped in this bird-driven nightmare. And then theres the soundor lack of it. Unlike Psycho, with its shrieking violins, The Birds doesnt rely on a traditional musical score. Instead, Hitchcock uses the eerie silence of the skies, punctuated by the dissonant squawks and flaps of wings. Its unsettling to realise that what fills the soundtrack is simply natureamplified, twisted and turned against us. In true Hitchcock fashion, The Birds doesnt end with a comforting resolution. Theres no heroic rescue, no cathartic explanationjust a chilling silence as the survivors drive off, unsure if theyll even make it to the next town. Hitchcock leaves us dangling, wondering if the birds will strike againor if theyre simply waiting for the right moment to remind us whos really in charge. North by Northwest (1959) North by Northwest is Hitchcock at his most playfulan espionage thriller wrapped in a cat-and-mouse chase, all led by a man whos very good at being in the wrong place at the wrong time. Starring Cary Grant as Roger Thornhill, an ad exec mistaken for a government agent, the film takes its audience on a wild, cross-country ride through mistaken identities, Cold War paranoia and enough mischief to make even James Bond jealous. The plot kicks off when Thornhill, whos about as far from a secret agent as one can get, is mistaken for a non-existent spy named George Kaplan. From there, hes whisked into a whirlwind of kidnappings, near-death escapes and sharp suits that never seem to wrinkle, no matter how many times hes almost killed. And lets not forget the iconic crop-duster scene where Hitchcock manages to turn a wide-open cornfield into a claustrophobic deathtrap. Who knew that being chased by a plane in the middle of nowhere could be so nerve-wracking? What makes North by Northwest so fun is that its Hitchcock doing adventure. Its less about psychological horror and more about how much you can throw at a charming, bewildered protagonist before he finally cracks. Cary Grant is at his suave best herequick with a quip, good under pressure and never without a perfectly tailored suit, even when hes scaling Mount Rushmore. Speaking of Mount Rushmore, lets talk about the cinematography. Hitchcocks love of iconic, sweeping landscapes is on full display, from New Yorks gleaming skyscrapers to the vast, barren plains of the Midwest. Cinematographer Robert Burks masterfully uses both grandiose settings and confined spaces to heighten the tension. One moment, youre marvelling at the scale of Thornhill running through the vast, open fields as a plane zooms toward him; the next, youre in the tight corridors of a train car where every glance feels like it could reveal a spy. The crop-duster scene is, of course, a visual masterpiece. Hitchcock plays with perspective brilliantlywide, desolate shots that make Thornhill look minuscule, as if swallowed by the vast landscape. This sense of isolation amplifies the tension, as theres literally nowhere to hide. The long, slow build-up, with the distant sound of the planes engine gradually getting louder, is Hitchcock at his suspenseful best. Just when you think youve had enough of staring at cornfields, the plane swoops down, and suddenly, youre in the thick of one of cinemas most famous chase sequences. Hitchcock also uses the architecture of urban spaces to his advantage. Take the United Nations scene, for instance. The stark, modernist design of the UN building contrasts with the chaotic action unfolding inside. Thornhills attempts to blend into the crowd only emphasise how out of place he is, heightening the sense of paranoia. Hitchcocks framing here is tight and claustrophobic, making the viewer feel as trapped as Thornhill does. And then theres the grand finale at Mount Rushmorea sequence thats as absurd as it is thrilling. Hitchcocks choice to stage a climactic fight on the faces of U.S. presidents is both audacious and oddly symbolic. Thornhill and his love interest, Eve Kendall (Eva Marie Saint), dangling from the face of George Washington, perfectly encapsulate the films mix of high stakes and high style. The cinematography here is breathtaking, using the grandeur of the monument to heighten the peril and, of course, to remind us that Hitchcock was always ready to go big. In Psycho, Hitchcock is like a puppet master, slowly unravelling your mind. In The Birds, he plays the architect of chaos, creating an atmosphere where everything familiar becomes terrifying. And in North by Northwest, hes the conductor of a thrill ride, throwing twists, turns and iconic chase scenes at you with a grin. Three films, three types of suspenseone Hitchcock, endlessly clever and always ready to keep you on edge, whether youre gripping your seat in terror, watching the skies in fear or laughing at the absurdity of it all while hanging from Mount Rushmore. The first impressions of the Nicole Kidman starrer, The Perfect Couple, did mislead me. It was gorgeously mounted, set in a scenic American sea coast and had the most beautiful people serenading across the small screen. It so very much resembled one of those Hindi serials in which the characters are impeccably dressed at all times of the day and night. The Perfect Couple Netflixs latest outing seemed frivolous and even pretentious in those first minutes. But, then, I was wrong. It was glamorous, it was dazzling and the scenes were alluring. But the six-episode limited series had stuff, and resembled an Agatha Christie murder mystery where every character is a suspect, and each had a motive to kill. Brilliantly shot and mounted, it grew on me, every minute of it and I had to finish all of six hours of the show (or thereabouts) at one go. In the midst of all this opulence lavish lifestyles funded by fifth generational wealth that could buy the most expensive cars and Kashmir knits a bloody incident plays spoilsport. Set in Nantucket, Massachusetts in the U.S., a family gets together for a marriage in a 40-bedroom mansion that is nestled by the blue waters of an ocean. Kidmans Greer Garrison Winbury and Tag (Liev Schreiber) have been married for 29 years, and outwardly they are a perfect pair. But are they? Well, not really. Tag has had a string of affairs that Greer chooses to ignore, but when one of his girlfriends at the beach resort is washed ashore, it is trouble and turbulence in paradise. The couple has three sons Thomas (Jack Reynor), Benji (Billy Howle) and Will (Sam Nivola). Benji is the groom, all set to walk down the aisle with Amelia (Eve Hewson). They are madly in love with each other, a union that Greer frowns upon, losing no opportunity to savage the bride behind her back. Advertisement There are more people. Thomass pregnant wife Abby (essayed by Dakota Fanning), Shooter (Ishaan Khattar from our own Bollywood) and the faithful housekeeper Gosia (Irina Dubova). Wait a sec. Isabelle Adjani as a family friend and a couple of detectives complete the circle or almost. One of them is Donna Lynne Champlin as Nikki Henry, and what a performance. Every thought she has about each member of the motley group is written so luminously on her face. I would think that she is the queen of the drama not Kidman, not Fanning, not Hewson. Based on the 2018 novel, also titled The Perfect Couple by Elin Hilderbrand and which became a hot topic of conversation, the series helmed by Susanne Bier is flawlessly directed and smartly edited. The suspense does not suffocate you, and the beach watch has an easy going feel. Even when the body of a woman is washed ashore, the grimness of the terrible incident is not thrust on your face. In a way, the style is Hitchcockian, subtle and soft. And the atmosphere resembles a Barbara Cartland novel with a touch of the Agatha Christie mystery. A lovely cocktail, indeed. I know the reviews have been mixed, but I quite enjoyed it, because of its crispness and peppy dialogue. Do not miss out on Adjani taking French, nineteen to a dozen. And the turns and twists thrill! When the climax comes, nobody could have guessed it. The sleuths themselves could not! remaining of Thank you for reading! On your next view you will be asked to log in to your subscriber account or create an account and subscribepurchase a subscription to continue reading. ISTANBUL, Sept. 14 (Xinhua) -- Turkish police have apprehended a suspect believed to have orchestrated a January attack on a church in Istanbul, local media reported on Saturday. The Ihlas News Agency said the Turkish National Intelligence Organization (MIT) and the General Directorate of Security conducted a joint operation in Istanbul to capture Viskhan Soltamatov, a suspected member of the Islamic State (IS). According to the agency, Soltamatov was involved in planning the assault on the Santa Maria Italian Church and was responsible for supplying the weapon used. The attack, which occurred on January 28 during prayer in the Sariyer district, resulted in the death of a Turkish citizen. Intelligence and risk analyses by MIT revealed that Soltamatov was operating in Turkiye under the IS -- Khorasan Province, an IS affiliate based in Afghanistan. His nationality remains unclear. Turkish police had previously arrested 31 suspects linked to the attack, and the investigation continues. Turkiye has designated IS as a terrorist group since 2013, and the organization has claimed responsibility for several deadly attacks in the country, prompting frequent operations against its members. A well-qualified person opens up opportunities for oneself, and for many others who can be trained by him and by the institutions and subordinates he creates and nurtures. It requires a strong foundation of education. Dr Vijay Prakash knew this well. So, he chose to join Patna Medical College to work as an Assistant Professor in 1990 and taught for 32 years at this institution, guiding many undergraduate and postgraduate students. Many of his students are now successful doctors all across the country. Over time, he became head of the medicine department and took it to commanding heights. Despite being a brilliant medical student and a successful doctor, one of the reasons for joining Patna Medical College was his strong attachment to his alma mater and his state. Childhood and Education Vijay Prakash was the third child of Kamla Devi, a homemaker, and Assistant Sub Inspector Ramdutt Singh. He was born on 19 February 1954 in Banka Government Hospital. At four, he was admitted to a Mission School in Kahangaon, a sleepy village at the time. His father left his family at Kahalgaon to avoid the childrens frequent school changes with each transfer, ensuring they secured proper education to have a successful career and bright future ahead. He wished for them to overcome the extreme backwardness of their rural background. Vijay Prakash and his siblings did not disappoint their father, and all of them excelled in their studies. Vijay Prakash maintained the top position in all his classes. Later, he was selected for admission to the prestigious Netarhat School. He topped the list of successful candidates (in the erstwhile state of Bihar) in the entrance test for admission to the school. He completed his schooling in 1971 and was awarded the distinction of being the Best Outgoing Student of Neterhat School that year. After that, he secured admission to St. Xaviers College, Ranchi, for higher studies, and emerged as the University Topper. After this, Vijay Prakash joined Patna Medical College and did his MBBS, with gold medals in many subjects; he was also awarded the best outgoing student in the year 1978. It was here that he met his life partner, Rashmi, who was studying in the same medical college and was a year junior to him. Dr Vijay Prakash further secured admission for postgraduation at the prestigious PGI, Chandigarh, through an All India competitive exam and completed his MD in 1982 with a high score and as the branch topper. Subsequently, he joined the Indira Gandhi Institute of Medical Sciences (IGIMS) as its first doctor on 27 July 1984. In 1988, Dr Prakash went to the UK, where he completed MRCP successfully and in a record time of just four months. Post-retirement from Patna Medical College in February 2021, driven by his indomitable spirit to serve humanity, Dr Vijay Prakash established Big Apollo Spectra Hospital, a multi-super speciality hospital in Patna. At this hospital, state-of-the-art treatment is provided at an affordable cost. Under his astute leadership as Senior Consultant and Director, the institute has grown by leaps and bounds. His wife, Dr Rashmi, currently serves as the Head of the Department of Community Medicine at Patna Medical College. His son, Ravi, assists him in his professional work, and his daughter, Ritu, and her husband, Saket, serve as associate professors in Critical Care and GI Surgery at IGIMS, Patna. Dr Vijay Prakash, at 69, leads an active life and looks forward to achieving many more feats. He has authored and published many articles in various medical journals, besides participating in national and international conferences. He has been a member of the Governing Council of the National Board of Examinations (NBE) and the Executive Council of the Medical Council of India a rare distinction achieved by only a handful of doctors. For his immense contribution to medicine and gastroenterology, Dr Prakash was awarded the Padma Shri by Dr A.P.J. Abdul Kalam (the then President of India) in 2003. Dr Prakash was awarded the Padma Shri by Dr A.P.J. Abdul Kalam (the then President of India) in 2003 A day after External Affairs Minister S. Jaishankar said that roughly 75 per cent of the disengagement problems with China have been sorted out, China said that troops have disengaged at four places in Eastern Ladakh, including Galwan Valley. The Chinese Foreign Ministry also said that India and China have agreed during their meeting in Russia to work together to create conditions for the improvement of bilateral relations. National Security Advisor Ajit Doval and Chinese Foreign Minister Wang Yi held talks on the sidelines of a meeting of the BRICS high-ranking officials responsible for security matters, in St Petersburg. Earlier, at an interaction in Geneva, Jaishankar had said that the clashes in Galwan Valley in June 2020 affected India-China ties, and pointed out that the two countries have been engaged in talks since then. He said that about 75 per cent of the disengagement problems are sorted out. ALSO READ: What changed two years after Galwan clash? Asked whether the two countries were close to a breakthrough to revive the bilateral ties frozen for over four years due to the military standoff in Eastern Ladakh, Chinese Foreign Ministry spokesperson Mao Ning said the China-India border situation is generally stable and under control. Doval and Wang are the Special Representatives for the India-China border talks mechanism. Elaborating further about the Doval-Wang meeting, the Chinese Foreign Ministry release said both parties expressed the belief that the stability of the China-India relations is in the fundamental and long-term interests of the two peoples and conducive to regional peace and development. ALSO READ: In 1962 war, Galwan Valley was a flashpoint China and India agreed to implement the consensus reached by the heads of the two countries, enhance mutual understanding and trust, maintain continuous communication, and create conditions for boosting bilateral ties, it said. Wang, also a member of the Political Bureau of the Communist Party of China Central Committee, stressed that facing a turbulent world, China and India as two ancient eastern civilisations and emerging developing countries should adhere to independence, choose unity and cooperation, and avoid consuming each other, state-run Xinhua news agency reported. Wang expressed the hope that the two sides will properly handle their differences in a pragmatic approach and find the right way to get along with each other and push the China-India relations back on track for healthy, stable, and sustainable development. During their Thursday meeting, both Wang and Doval discussed progress made in recent consultation on border issues and agreed to deliver on the common understandings reached by leaders of the two countries, enhance mutual understanding and trust, create conditions for improving bilateral ties and maintain communication to this end, she said. A press release on the talks issued by the Ministry of External Affairs (MEA) said India and China on Thursday agreed to work with urgency and redouble their efforts to achieve complete disengagement in the remaining friction points in eastern Ladakh. In the meeting, Doval conveyed to Wang that peace and tranquillity in border areas and respect for the Line of Actual Control (LAC) are essential for the return of normalcy in bilateral ties, the MEA press release said. The Doval-Wang meeting came two weeks after India and China held diplomatic talks during which they agreed to intensify contacts through diplomatic and military channels to find a resolution to the outstanding issues. The Indian and Chinese militaries have been locked in a standoff since May 2020 and a full resolution of the border row has not yet been achieved though the two sides have disengaged from a number of friction points. The ties between the two countries nosedived significantly following the fierce clash in the Galwan Valley in June 2020 that marked the most serious military conflict between the two sides in decades. India has been maintaining that its ties with China cannot be normal unless there is peace in the border areas. The two sides have so far held 21 rounds of Corps Commander-level talks to resolve the standoff. The proposed talks to resolve the RG Kar impasse between West Bengal Chief Minister Mamata Banerjee and protesting junior doctors again ended up in a stalemate on Saturday as the meeting failed to start. Banerjee had appealed to the agitating doctors, who had arrived at her residence in Kolkata for talks, to join the meeting amid the demand for live-streaming of the discussions. However, the agitating junior doctors remained adamant on live-streaming of the talks. "I cannot allow live-streaming as the matter is before the court. I will video-record the meeting and will provide you with a copy only after the Supreme Court's permission," said CM. She also told the protesting doctors to not insult her like this. "I have been waiting for the meeting. Why are you people insulting me like this? Please don't insult me like this. Earlier on the three occasions, I have been waiting but you people didn't come," she said. Meanwhile, the agitating doctors said they were "unceremoniously" asked to leave the venue. When we came here, we had demanded video recording or live-streaming of the talks. We were not allowed. Then the chief minister came out and appealed to us to join the talks, promising that we would receive the minutes of the meeting. We discussed among ourselves and agreed to join the meeting without live-streaming or video recording," said an agitating doctor. When the decision was conveyed to Health Minister Chandrima Bhattacharya, we were told to leave the venue because it was too late and they had been waiting for three hours, he added. After Mamata Banerjee visited the protesting doctors outside 'Swasthya Bhavan', the state health department headquarters, they agreed to attend the meeting with the CM. Chief Secretary Manoj Pant in an email said that 15 representatives of the junior doctors were invited to the meeting. While addressing the junior doctors during their dharna, Banerjee said, "I came to meet you as your 'Didi', not as the chief minister...This is my last attempt to resolve the crisis." She assured justice and said no actions would be taken against the protesting doctors after they resumed their work. "I support your movement... I am going to study the demands placed before us, and if anyone is guilty, we will take appropriate action. I want justice to be served," she said. The doctors have been protesting since a junior doctor was raped and murdered in Kolkata's RG Kar Medical College and Hospital on August 9. Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. (Xinhua/Wang Ye) LANZHOU, Gansu/BAOJI, Shaanxi, Sept. 13 (Xinhua) -- Chinese President Xi Jinping urged northwest China's Gansu Province to deepen reform, make innovation, and do solid work to improve the people's well-being and the region's prosperity, to write a Gansu chapter in Chinese modernization. Xi, also general secretary of the Communist Party of China (CPC) Central Committee and chairman of the Central Military Commission, made the remarks during an inspection from Tuesday to Friday. Gansu should take solid steps in improving ecological conservation and restoration, accelerating green and low-carbon transition, further deepening reform and opening up comprehensively, promoting rural revitalization across the board, better securing people's livelihood and strengthening ethnic unity, Xi said during his inspection tour in Gansu Province. On Tuesday afternoon, Xi visited the Fuxi Temple in Tianshui City, where he learned about the protection and preservation of cultural heritage. The temple is dedicated to Fuxi, a mythical ancestor of the Chinese nation. It is imperative to protect and pass on the precious cultural heritage, so that the wisdom and creativity of ancestors will constantly inspire future generations and enhance national pride and confidence, Xi said. On Wednesday morning, Xi listened to the report of a local water diversion project and urged better maintenance and management of the project so that it would play a greater role in improving people's lives. While visiting a Huaniu apple production base, he emphasized the importance of optimizing cultivation of this variety and innovating marketing models so that this specialty industry will be expanded and more people will increase their incomes through it. Xi then visited the Maijishan Grottoes, where he carefully inspected the caves, sculptures and paintings that date back over 1,600 years. He called on cultural relic workers to make greater contributions to promoting the preservation and innovative development of China's traditional culture and enhancing its influence. On Wednesday afternoon, Xi came to Lanzhou, the provincial capital. At a local residential community, he emphasized the necessity of focusing on the practical needs of residents, especially the elderly and children, and continuously improving community services. During his visit to retired Party member Li Zongbiao, Xi said that local authorities have worked hard in providing elderly care, healthcare and community services and the work must continue and improve over time. When speaking to local residents, Xi said 2024 marks the 75th anniversary of the founding of the People's Republic of China and the Chinese people should be more united and make more efforts to create even greater achievements. He extended his holiday greetings to the residents ahead of this year's Mid-Autumn Festival, which falls on Sept. 17. Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. (Xinhua/Wang Ye) Xi then inspected a section of the Yellow River near the Zhongshan Bridge in Lanzhou and learned about the ecological protection of the country's "mother river." He called for joint efforts in protecting the river so that it will benefit the people forever. On Friday morning, Xi listened to the work report from the CPC Gansu Provincial Committee and the provincial government. Gansu should promote new-type industrialization, accelerate the transformation and upgrading of traditional industries, build a significant national manufacturing base for new energy and new-energy-related equipment, and fortify the ecological security in the western part of the country, Xi said. He stressed the need to deepen reform and expand opening up with greater courage and determination, and to implement the people-centered new urbanization strategy effectively. Xi emphasized the need to strengthen cultural heritage protection and build the Dunhuang Academy into an example of world cultural heritage protection and a center of Dunhuang studies. He also called for efforts to advance the building of national cultural parks dedicated to the Great Wall, the Long March and the Yellow River. Efforts should be made to promote social advancement and governance, and lay a solid foundation for common prosperity for people of all ethnic groups, he said. Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. (Xinhua/Ju Peng) On his way to Gansu, Xi visited the Baoji Bronze Ware Museum in Baoji City of Shaanxi Province on Tuesday afternoon. He hailed China's bronze civilization and called for better protection, research and promotion of bronze cultural relics. Xi also inspected a local riverside ecological park. Cai Qi, a member of the Standing Committee of the Political Bureau of the CPC Central Committee and director of the General Office of the CPC Central Committee, accompanied Xi on the inspection tour. On Thursday morning, Xi met with senior officers and representatives of soldiers and civilian staff of the Chinese People's Liberation Army troops stationed in Lanzhou. (Video reporters: Ma Muwangqing, Li Hengyi, Guo Gang, Ma Sha; Video editors: Wang Houyuan, Wei Yin, Yin Le, Meng Na, Li Qin, Su Yiren, Qi Xing.) Two soldiers, including a junior commissioned officer (JCO), were killed and two injured in an encounter with terrorists in Jammu and Kashmir's Kishtwar district on Friday. The four Army personnel were injured in the gunfight. Naib Subedar Vipan Kumar, a JCO, and Sepoy Arvind Singh later succumbed to injuries. The gunbattle broke out when a joint patrol party of the Army and J&K police, based on intelligence inputs, launched a cordon-and-search operation in the Naidgham area in the Chhatroo belt connecting Kishtwar with south Kashmir's Anantnag district. Meanwhile, another encounter broke out between security forces and terrorists in Baramulla district. The gunfight, which started in the Pattan area of the north Kashmir district, is still on and more details are awaited. #Encounter has started at Chak Tapper Kreeri Pattan area of #Baramulla. Police and security forces are on the job. Further details shall follow.@JmuKmrPolice Kashmir Zone Police (@KashmirPolice) September 13, 2024 The officials said there was an exchange of fire between the search team of the security forces and the terrorists hiding in the Pingnal Dugadda forest area in the upper reaches of Naidgham village located within the Chhatroo police station limits. Earlier, the soldiers injured in Kishtwar encounter were airlifted to an Army hospital. In another operation on Friday, two terrorists were killed in an encounter at Khandara Kathua. The Army also recovered an AK-47 assault rifle, pistol, magazines, a machete, and a US-made M4 carbine as well. The encounters comes days after the Army killed two Jaish-e-Mohammad terrorists in Basantgarh, Udhampur district. The gunfights have raised security concerns ahead of Prime Minister Narendra Modi's election rally in J&K's Doda district. The final verdict in the MUDA case on alleged land allotment scam involving Karnataka Chief Minister Siddaramaiah, could be a landmark judgment that redefines the role of a governor, at a time when repeated standoffs between governors and chief ministers of non-BJP ruled states are raising questions over the practice of parliamentary democracy. The MUDA case proceedings have exposed several grey areas in the Constitution, where the discretionary powers of governors are called in question. ALSO READ: Debate over CM Siddaramaiah's replacement heats up The Karnataka High Court bench headed by Justice M. Nagaprasanna concluded the process of hearing the writ petition filed by Siddaramaiah challenging the order of Governor Thaawarchand Gehlot sanctioning his prosecution, on September 12, in the Mysore Urban Development Authority land allotment case. The court has reserved the order while extending the interim stay on proceedings in the trial court till the High Court ruling. The much-awaited verdict which would determine the political path of Siddaramaiah and the Congress government in the state, is expected to throw light on the scope of Section 17(A) of the Prevention of Corruption Act, an amendment brought in 2018 to provide protection to a public servant from frivolous and malicious litigations. The current debate is on the bifurcation of powers of the chief minister and the governor in a parliamentary democracy. Senior counsel Abhishek Manu Singhvi, appearing for the chief minister, argued that the principle of natural justice was not adhered to while granting sanction. Solicitor General Tushar Mehta, representing the governor, said that at the stage of 17A there was no requirement of principles of natural justice. Non-issuance of notice even in one case would not have caused prejudice". ALSO READ: Is it endgame for Siddaramaiah? The debate highlighted the fact that the governor's power was akin to what is mentioned by Supreme Court in Lalita Kumari vs State of UP (2014), where he has to see whether a prima facie case is made out. Decision of the governor by way of the office he holds and considering the nature of Section 17A his reasons need not be elaborate; it must reflect application of mind, said Mehta. Drawing distinction between Section 17A of the Prevention of Corruption Act that pertains to enquiry/inquiry/investigation of offences relatable to recommendations made or decision taken by public servant in discharge of official functions or duties and Section 19 of the Act is on the necessity of "previous sanction" for prosecution of a public servant, Mehta said. The governor was to act in his own discretion and not on the aid and advice of the cabinet as the chief minister himself was facing the allegation. Mehta then referred to Rule 20 of The Karnataka Government (Transaction of Business) Rules. "Chief minister has an overarching power. He is the final authority, and it is not enough that he did not participate in the meeting of cabinet because the process requires his participation. It is he who decides whether to send it to the cabinet. Merely because he abstains from particular meeting would not meet ends of justice." Senior advocate Prabhuling Navadagi, appearing for one of the respondents Pradeep Kumar, said the question of governor consulting the cabinet before sanctioning prosecution order against the chief ministers did not arise as the Article 163 (Constitution of India) does not bifurcate between council of ministers and the chief minister and here the allegation was against the CM. In his rejoinder submissions, Singhvi noted that the umbrella of statutory protection was provided especially to an elected public servant, as filter to an inquiry or investigation which creates serious implications of diluting, unseating or creating a mandate contrary to the election. There is nothing to show the chief minister's prima facie complicity. Yet, the governor grants sanction and commits a constitutional sin by not answering the question 'why' (he is granting sanction) and instead grants by asking 'why not', argued Singhvi, adding that if one looked at the legislative history of Section 17A, it would show that the idea of Section 17A was to provide a genuine strong filter, a protective layer for the public servant otherwise governance would come to a standstill. The court reminded that the protective shield was not for the guilty, but a safeguard for the innocent. Singhvi stated that initially the 17A was conceived to provide protection through the Lokayukta. This demanded a heightened scrutiny before granting sanction. Later, the Lokayukta was replaced with the government or the police officer, he added. Singhvi argued that the investigating officer (in this case the governor) must first form an opinion that inquiry or investigation is warranted. So, a private complainant becomes the third party as his interference reduces the very objective of the 17A - that is to provide protection to the public servant. The law mandates the registration of a First Information Report (FIR) in cases of cognisable offences is a must. What should a private complainant do if the police refuse to register an FIR?, asked the court and also added that the question of whether a private complaint can seek sanction to investigate is settled, as the law states anybody can set the criminal law in motion. Should the governor always act on advice of cabinet? Mehta, in his submissions, had said the cabinet advice was, in fact, a collective non-application of the mind as it was a copy-paste of the Advocate Generals opinion. Elaborating on why the governor should not be heeding to the advice of the cabinet in this particular case, Mehta said, Article 164 requires, that the Governor would appoint Minister on the recommendation of Chief Minister. Also, by Rule 20 of the Karnataka Government (Transaction of Business) Rules, the Chief Minister has an overarching power. He is the final authority, and it is not enough that he did not participate in the meeting of cabinet (which was chaired by deputy chief minister at CMs behest) because the process requires his participation. It is he who decides whether to send it to the cabinet. Merely because he abstains from particular meeting would not meet ends of justice". Mehta cited the Supreme Court's judgment in A.R. Antulay vs R.S. Nayak & Anr. (1988) and said, the court had said when it comes to sanction of chief minister the governor should independently apply his mind. Recalling Constituent Assembly debates, Singhvi pointed out that Dr Ambedkar, on the question of having elected governors, had said there cannot be two swords in one scabbard. There was a thought to nominate the governors as there was apprehension over anybody applying for the post as it was so non-active, said Singhvi, to illustrate the governor post had limited powers. The power to grant sanction under 17A is not an independent discretionary power of the governor but an executive power ordinarily exercised on the aid and advice of the cabinet. Only in exceptions where there is overwhelming material on record on the basis of which prima facie case is clearly made out and yet the council has refused sanction. Moreover, the governor had to examine the reasons given by the cabinet. But his order does not demonstrate how the cabinet advice is irrational, said Singhvi. Calling out presumptive bias of the governor against the cabinet, Singhvi said, The sanction order only says the cabinet is deemed to be appointed by the chief minister. But he does not give reasons why he believes there should be an inquiry, or if the CM was handling the portfolio or sign any file or give instruction that proves his complicity, before rejecting the advice of the cabinet. Any disagreement of the governor with the cabinet should reflect in the order with reasons. Citing Shamsher Singh vs state of Punjab case, Singvi said in case a minister or chief minister is involved, the governor's discretionary powers are automatically overridden. The Constitution says the discretionary powers are so limited and so specific. But if it is shown that in a case of sanction, the order denying or recommending sanction suffers from transparent apparent bias, it has to be demonstrated. Once the governor demonstrates that, the discretionary comes into play. In this case, the governor, in his 6-page order has made only one point that he is deciding independently and is not bound by the cabinet. As per the Constitution, the governor should act in harmony with the council of ministers, Singhvi added. Prime Minister Narendra Modi kicked off the Bharatiya Janata Partys election campaign in Jammu and Kashmir by addressing a large rally in Doda, where he accused the Abdullah, Mufti, and Gandhi families of ruining the region. Terrorism in J&K is nearing its end and the upcoming elections will determine the future of the Union Territory (UT), Modi said. Modi alleged that the National Conference (NC), People's Democratic Party (PDP), and Congress have promoted separatism and terrorism in J&K for the past seven decades to maintain their political influence. #WATCH | Doda, J&K: Prime Minister Narendra Modi says "...We are connecting the remote parts of Jammu and Kashmir by rail. People of Ramban district, Doda Kishtwar and Kashmir Valley can reach Delhi directly by train, we will fulfil this dream of yours. Very soon, the work of the pic.twitter.com/chx8C4IDVE ANI (@ANI) September 14, 2024 He commended BJP workers who had travelled from Ramban and Kishtwar for the rally, I really appreciate your great enthusiasm, he said. Modi said that foreign powers targeted J&K after independence and conspired against the region, while family-run politics further worsened the situation. He criticised NC, PDP, and Congress for failing to hold Panchayat elections after 2000. "It was the BJP government that brought democracy to the grassroots," he said. The Block Development Council and District Development Council elections were held for the first time under BJP rule. Modi described the upcoming election as a contest between three political families and the youth of J&K, who are pursuing their dreams. Young people who used to throw stones at security forces are now aiming for bigger goals, he said. Stones are now being used to build big structures. He also praised Shagun Parihar, the BJP candidate from Kishtwar, whose parents were killed by terrorists. We gave her a ticket to contest the polls. She is our tool against terrorism, he said. Modi assured that BJP is committed to restoring statehood to J&K, making it a terror-free region and a tourist paradise. He added that J&K will soon become a global film destination and that a direct train from Delhi to Srinagar will be operational soon. Taking a jab at the election manifestos of NC, Congress, and PDP, Modi urged voters to choose BJPs Sankalp Patra over the other parties promises, which he claimed, aim to return J&K to its troubled past. He said the other manifestos advocate for the return of Article 370 and a rollback of reservations for Paharis, Gujjars, and Bakerwals. They also want to take away voting rights from Valmikis and Dalits, Modi charged. The Central Bureau of Investigation (CBI) on Saturday arrested RG Kar Medical College and Hospital's former principal Sandip Ghosh and a police officer for allegedly missing the evidence and causing delay in the FIR registration in the trainee doctor's rape and murder case. The CBI has also added rape and murder charge against Ghosh. Earlier, Ghosh was arrested by the central agency in the case relating to probe on financial irregularies at the hospital. The CBI had alleged that Ghosh had been operating a 'criminal nexus' along with other 'co-accused' for 'wrongful gains'. The agency had also arrested two vendors for alleged financial irregularities at RG Kar Hospital. ALSO READ | Impasse over RG Kar rape-murder case continues as doctors-govt meeting fails to start; Mamata says she is being insulted Presently, he is in judicial custody till September 23. CBI had applied in court seeking Ghosh's custody. The arrested police officer is Tala Police Station SHO Abhijit Mondal. Mondal was questioned by the CBI officials on Saturday for several hours and was arrested later for discrepancies in statements. Three persons have so far been arrested in connection with the rape and murder case. Earlier, one civic volunteer was arrested by the Kolkata Police in connection with the rape and murder case on an order of the Calcutta High Court. The central agency arrested Ghosh on September 2 in the case of financial irregularities at the hospital. Meanwhile, West Bengal CM Mamata Banerjee visited the protesting doctors outside 'Swasthya Bhavan', the state health department headquarters, on Saturday and assured justice to the victim. While addressing the junior doctors during their dharna, Banerjee said, "I came to meet you as your 'Didi', not as the chief minister...This is my last attempt to resolve the crisis." Despite failed attempts, the protesting doctors agreed to meet with CM Banerjee for discussions at her residence to resolve the RG Kar impasse. However, it was another failed attempt as the meeting failed to start. The agitating doctors said that it seems the "government is not serious about resolving the issue." On Thursday, the West Bengal government invited the protesting doctors for talks again but they did not attend despite reaching the venue as they demanded a live telecast of the meeting which the government turned down. The doctors have been protesting since a junior doctor was raped and murdered in Kolkata's RG Kar Medical College and Hospital on August 9. Amid the RG Kar impasse, the West Bengal government invited the protesting junior doctors for a meeting with Chief Minister Mamata Banerjee at her residence on Saturday. This might be the last attempt by the state government to resolve the crisis. #WATCH | Kolkata, West Bengal: A delegation of junior doctors protesting over the RG Kar Medical College and Hospital rape-murder case, arrive at the Chief Minister's residence to attend a meeting with CM Mamata Banerjee regarding their demands. pic.twitter.com/XpD7KWrntt ANI (@ANI) September 14, 2024 Chief Secretary Manoj Pant in a mail said that 15 representatives of the junior doctors were invited to the meeting. ALSO READ | RG Kar rape-murder case: Protesting doctors write to President Murmu, PM Modi seeking intervention to end impasse #WATCH | Kolkata, West Bengal: A delegation of junior doctors protesting over the RG Kar Medical College and Hospital rape-murder case, arrive at the Chief Minister's residence to attend a meeting with CM Mamata Banerjee regarding their demands. pic.twitter.com/GMXiKWu1Zs ANI (@ANI) September 14, 2024 The invite took place after CM Banerjee visited the protesting doctors outside 'Swasthya Bhavan', the state health department headquarters. The agitating doctors agreed to the meeting and said that they were going to attend it with an open mind. #WATCH | Kolkata, West Bengal: Dr Arif says, "CM Mamata Banerjee came to our protest site. We are happy that the door for discussion and dialogue is open from both sides. For this, we have also sent a mail to the CM office, in which we have told her that we are very happy that pic.twitter.com/acyOP0vUHM ANI (@ANI) September 14, 2024 The doctors will be placing before the CM their five demands and they had emphasised that there will not be any compromise on their demands. ALSO READ | 'Not here as CM, but as your didi': Mamata visits protesters, promises justice Meanwhile, the RG Kar Medical College and Hospital victim's mother said that the West Bengal CM should be forthright in her comments about action against culprits. Speaking to the reporters, the victim's mother expressed hope that the discussions between the CM and the agitating doctors would yield results. "Instead of merely saying that whoever is found guilty would be punished, she should have been more categorical and direct. Considering the destruction of evidence at the crime scene, and the arrest of only one person, we were expecting the CM to be more forthright," she said. She also demanded the arrest of all perpetrators and officials behind the 'cover-up'. "I wish the state government does not take any punitive action against the agitating doctors who are waging a battle for justice," she said. On Thursday, the West Bengal government invited the protesting doctors for talks again but they did not attend despite reaching the venue as they demanded a live telecast of the meeting which the government turned down. The doctors have been protesting since a junior doctor was raped and murdered in Kolkata's RG Kar Medical College and Hospital on August 9. Tamil Nadu Chief Minister M.K. Stalin called shameful the Bharatiya Janata Partys and Union Finance Minister Nirmala Sitharamans handling of Annapoorna Srinivasan GST row. Stalin reminded the union minister people are watching. Addressing presspersons at Chennai Airport on returning from the US, Stalin said, Srinivasan had raised a just issue on the disparity in GST. The way in which the Finance Minister handled it is shameful. People are watching. Well known Restaurant Annapurna Owner Srinivasan made to apologize after asking questions on GST in an interaction session with Nirmala Sitaraman. Modi & Gang has become arrogant & unquestionable. They should be chased away from our South India. pic.twitter.com/qICb1RAE9E Hyderabad Intellectuals Forum (@HydForum) September 13, 2024 What started a humorous interaction between Union Finance Minister Nirmala Sitharaman and renowned hotelier Srinivasan, owner of Annapoorna chain of hotels in Coimbatore, blew up into a huge row after a video emerged showing the latter apologising to Sitharaman for the conversation. #Annapoorna Group Chairman Srinivasan was Allegedly forced to Apologise to FM Nirmala Sitharaman During a public meeting in Coimbatore, he questioned her about GST complexities. If the Modi govt is questioned on taxes, will Mahaprabhu be embarrassed?pic.twitter.com/dPnXj7IlNW Goudrusarkar - (@Gs_0107) September 13, 2024 During a recent interaction with Finance Minister Nirmala Sitharaman, Srinivasan, also the honorary president of Tamil Nadu Hotels Association, raised humorously the issues faced by hoteliers due to varying GST for food items. The video went viral on social media and it reportedly irked the union minister. Srinivasan jokingly said while bun is exempted from GST, 18% GST is imposed on cream. Customers tell us you give us bun and cream separately, we will handle it, he said. Srinivasan said due to varying GST on savouries and sweets, even the computer is finding it difficult to compute. Srinivasans humourous take didnt go down well with the union minister. Srinivasan met with Nirmala Sitharaman in private later, in the presence of BJP MLA Vanathi Srinivasan and apologised. He also told the minister that he was not a member of any political party. A video of this private conversation was allegedly shot by a few BJP functionaries present there and was released on social media. Tamil Nadu BJP President K. Annamalai had to issue an apology following the incident and said he spoke with Srinivasan and expressed regret. Uttar Pradesh Chief Minister Yogi Adityanath called for an encyclopedia of the Nath Sampradaya with collections from literature from all over the world including Afghanistan and Pakistan to be compiled. Artefacts from all over the world should also be collected; and where they no longer exist, replicas be made and displayed in a museum, he said. He was speaking at the inaugural session of an international conference which will discuss the contributions of the Nath sampradaya to social amity. The CM currently heads the sect. This is the second such conference which will draw in-person and virtual participation from scholars, academics and members from across the world. Adityanath said that the impact of the sect was visible throughout the country. This is a sect that has never practised any kind of untouchability. There are no distinctions of caste, religion, area or language. It has had just one goal- to unite everyone, he said. The two-day conference is being held at the Deen Dayal Upadhyay Gorakhpur University which houses a research wing dedicated to the sect. Touching on the multi-faceted influence of the sect, Adityanath said that in Punjab, it had instilled people with the courage to fight off foreign invaders through songs sung to the tune of sarangis (a stringed instrument). In Karnataka, the influence is visible in the Manjunath tradition of which Shiva is the presiding deity. He also touched upon the real nature of the Gyanvapi mosque- the nature of which is currently the subject of a court case. The name, he said, denoted Shiva- who was omniscient. It is not a mosque as is currently thought, he said. The Gorakhvani, a book which encompasses the message of Gorakhnath, the founding father of the peeth, Adityanath said had been quoted in various texts by various poets and writers. Among those he mentioned were Malik Muhammed Jayasi and Kalidas. The central tenet of our belief is cleanliness of the spirit and the body, he said. Adityanath called upon the attendees to not only hold academic discussions on the sect but also such that could be a part of everyday, practical living. The US woman, who is said to be the first person to post the baseless statement about Haitians eating pets in Ohio's Springfield, has claimed that she has no firsthand knowledge about any such incident. The rumour spread like wildfire and triggered controversy after Republican candidate Donald Trump went on to push it during his debate with Democrat and Vice President Kamala Harris. Erika Lee, a resident of Springfield, told NBC News that she is now filled with regret and fear. "It just exploded into something I didnt mean to happen," Lee told the media house. A key point missing in a lot of post debate analysis is that Trumps claim about immigrants eating pets almost perfectly syncs up to the piano in the Peanuts theme song. pic.twitter.com/6icWLe1sPN Noah Garfinkel (@NoahGarfinkel) September 11, 2024 Newsguard, a media watchdog that monitors for misinformation online, had found out that Lee was the early one to post the rumour. She did so after finding out about a neighbour's cat that went missing. Lee added that the neighbour had told her the cat was attacked by her Haitian neighbours. As for the neighbour Kimberly Newton, she just "heard about the attack from a third party". Newton also told Newsguard that Lee misstated her story on Facebook and that the owner of the missing cat was "an acquaintance of a friend". She refused to answer the media's questions. Also read: US debate: Kamala Harris rattles Trump as former President peddles conspiracy theories The whole incident snowballed into a major controversy after Donald Trump repeated the claim on national television to millions of viewers. The Presidential candidate also pledged to conduct mass deportations of Haitian immigrants from the Ohio city of Springfield though the majority of them are in the United States legally. Local police and city officials too repeatedly said there is no evidence of such crimes in Springfield and no such complaint has been received. However, Lee added that she never imagined her post would become fodder for conspiracy theories and hate. "Im not a racist," she said, adding that her daughter is half Black and she herself is mixed race and a member of the LGBTQ community. "Everybody seems to be turning it into that, and that was not my intent," she added. Trump's statement triggered an anti-immigrant fervour in Springfield with authorities receiving bomb threats. Lee added that she is worried and concerned about the safety of the Haitian community. Adding that she did not intend to villainize en masse, Lee said: "I feel for the Haitian community. If I was in the Haitians position, Id be terrified, too, worried that somebodys going to come after me because they think Im hurting something that they love and that, again, thats not what I was trying to do." NEW YORK, Sept. 14, 2024 /PRNewswire/ -- The article has been published by China Daily on Sept. 13: Finding the right way for China and the United States to get along with each other is what both nations and the international community need the most, a top Chinese envoy said on Thursday. Chinese Ambassador to the US Xie Feng, speaking at the Vision China event at the Asia Society in New York, said that for the past 50 years, the reopening and development of China-US relations was the most important event in international relations and the biggest contributor to global peace and prosperity. "History provides us inspirations to look ahead to the future," Xie said in a keynote speech. He said that in the next 50 years, charting that proper course will be critical to international relations. Jointly hosted by China Daily and Bank of China, the event brought together more than 200 people to discuss "inspiration from the past" and "vision for the future". Also speaking at the event, Qu Yingpu, publisher and editor-in-chief of China Daily, said the country's only national English-language newspaper has chronicled the development of Sino-US relations and "faithfully recorded the bonds forged between the two peoples". "China-US relations are the most important bilateral relations in the world, and they must be managed well, despite the risks and challenges," Qu said. "Just as President Xi Jinping pointed out 'the hope of the China-US relationship lies in the people, its foundation is in grassroots connections, its future depends on the youth, and its vitality comes from subnational exchanges' those are the key aspects we should constantly work to strengthen," Qu said. The veteran journalist said he is a firm believer that "mutual understanding is the foundation of friendly cooperation" and that "a modernized China represents an opportunity, rather than a challenge, to the US". Ge Haijiao, chairman of Bank of China, said it is the longest continuously operating and most globalized bank in China. The bank has been a witness, participant and contributor to the ever-deepening and mutually beneficial cooperation between China and the US. "Bank of China is firmly committed to promoting trade and commerce between China and the United States, to the benefit of both societies," Ge said in a message read in New York by Hu Wei, president and CEO of Bank of China USA and chairman of the China General Chamber of Commerce-USA. The Vision China morning session featured presentations from six Americans. They came to tell stories of friendship with China in a time wrought with uncertainty. They are people who have remained steadfast in their support of China. In 1979, teenagers Kelly Van Dries and her elder sister Kitty were working at a rodeo in Simonton, Texas, which was owned by their parents. Kelly had the honor of presenting a cowboy hat to Chinese leader Deng Xiaoping. Sarah Lande of Iowa recalled the friendly exchanges of the city of Muscatine with Hebei province. Their presentations were followed by a Gen Z debate and exchange in the afternoon, at which US and Chinese youths debated "The impact of artificial intelligence on human life" and discussed how to avoid the Thucydides Trap, while overcoming "information bubbles" for better China-US communication. (Disclaimer: The above press release comes to you under an arrangement with PRNewswire and PTI takes no editorial responsibility for the same.). PTI PWR PWR New Delhi, Sep 14 (PTI) Prime Minister Narendra Modi on Saturday lauded recent changes in agricultural export policies, stating that easing export norms on basmati rice and onions, and increasing import duties on some edible oils will boost farmers' incomes and create rural jobs. On Friday, the government scrapped a USD 950 per tonne minimum export price (MEP) for basmati rice and removed a USD 550 per tonne MEP on onions, according to government statements. The government on Saturday raised import duty on crude palm oil to 20 per cent and on refined sunflower oil to 32.5 per cent, aiming to support domestic oilseed farmers and processors. In a post on X, Modi said the government is leaving no stone unturned to support farmers who work tirelessly for the country's food security. "Whether it is reducing the export duty on onions or increasing the import duty on edible oils, many such decisions are going to greatly benefit our food producers. While these will increase their income, employment opportunities will also increase in rural areas," the prime minister said. Modi's comments came in response to a post by Agriculture Minister Shivraj Singh Chouhan, who thanked the prime minister for taking important decisions regarding onion, basmati rice and edible oils in the interest of farmers. Stating that the Modi government is "sensitive" to farmers' welfare and committed to their "development" and "progress", Chouhan said the reduction of export duty on onions from 40 to 20 per cent would help onion farmers get better prices and boost exports. The removal of basmati rice export duties aims to ensure fair prices for growers and increase demand for the premium rice variety, Chouhan added. Regarding refined oils, the minister said the increase in basic duty on refined oil to 32.5 per cent "will increase the demand for mustard, sunflower and groundnut crops for refinery oil". Chouhan expressed optimism that farmers will get better prices for these crops and the increase in refineries in small and rural areas will create more employment opportunities. BEIJING, Sept. 14 (Xinhua) -- China on Saturday started an anti-dumping investigation into halogenated butyl rubber imported from Canada, Japan and India. The Ministry of Commerce said in a statement that it decided in accordance with the country's anti-dumping regulation after reviewing materials provided by Zhejiang Cenway New Materials Co., Ltd. that applied for the probe on behalf of the domestic industry in July. The anti-dumping probe will examine halogenated butyl rubber imported from these countries from Jan. 1, 2023 to Dec. 31, 2023. It will also investigate any damage done to the Chinese halogenated butyl rubber industry from Jan. 1, 2021 to Dec. 31, 2023. The ministry has urged interested parties to register with its Trade Remedy and Investigation Bureau within 20 days from Saturday, Sept. 14 to participate in the probe. The investigation is expected to conclude before Sept. 14, 2025, but may be extended for six months under special circumstances. Halogenated butyl rubber is mainly used in products including airtight layers of tubeless tires, heat-resistant inner tubes, as well as medicinal bottle stoppers, shockproof pads, adhesives and sealing materials. Colombo, Sep 14 (PTI) Sri Lanka has announced to allow import of vehicles in a phased manner starting from October 1, a decision that came after four years and as part of the island nation's efforts to keep up with the IMF conditions to restore its economy. The ban would be lifted in three phases for public transport vehicles, commercial vehicles and private motor cars respectively as part of a broader economic recovery strategy tied to the Extended Fund Facility (EFF) programme of the International Monetary Fund (IMF), an official statement from the Presidents Media Division (PMD) said on Friday. The Cabinet approval granted to allow motor vehicle imports comes after four years of stringent import restrictions imposed to preserve the island nation's foreign exchange reserves during the acute economic crisis, the PMD statement said. With the outbreak of COVID19 in 2020, Sri Lanka imposed import restrictions to preserve forex reserves as the need then was to use the depleting resource for essential imports such as medicine, fuel and food. Depleted foreign reserves in April 2022 led to an unprecedented economic crisis in Sri Lanka, forcing the island nation to declare sovereign default, its first ever since gaining independence from Britain in 1948. Sri Lanka is currently in negotiations with the IMF, which has made external debt restructuring conditional to the USD 2.9 billion bailout package being released in tranches. With the significant improvement in forex reserves and the strength of the rupee, the Cabinet of Ministers has decided to lift all vehicle import ban/restrictions by February 2025. This decision is part of our ongoing efforts to restore normalcy in the economy and meet the needs of our people, Foreign Minister Ali Sabry said in a post on X. Under the first phase, public transport vehicles would be permitted to be imported from October 1; the second phase will allow import of commercial vehicles from December 1, and under the third phase from February 1, 2025, motor cars for private use can be imported, the PMD statement said. The import of new vehicles is expected to stimulate economic activity by increasing government revenue, particularly from vehicle imports, which have historically been a significant revenue stream for the country, it said. As the reintroduction of imports will put pressure on foreign exchange reserves, extra customs duties will be imposed to minimise its impact, it added. Male, Sep 14 (PTI) Maldives has signed a Memorandum of Understanding with Peoples Bank of China to establish a framework for current account transactions and direct investments in their respective currencies and announced that it may soon have a branch of Chinas biggest bank, ICBC. China, which is Maldives' one of the largest trade partners with bilateral trade exceeding USD 700 million, and the top source of tourists to the Maldives, stands to benefit significantly from this agreement, state-run Public Service Media (PSMNews) said here on Friday. The MoU between the Ministry of Economic Development and Trade of the Maldives and the People's Bank of China (PBOC) aims to facilitate smoother and more efficient trade and investment relations between the two countries by promoting the settlement of transactions in local currencies, PSMNews said. Work is underway to open a branch of Chinas biggest bank, the Industrial and Commercial Bank of China (ICBC), in the Maldives, news portal Sun.mv quoted Maldivian Economic Minister Mohamed Saeed as saying. Negotiations remain in progress, Saeed added. The MoU follows the state visit of President Mohamed Muizzu to China in January 2024, where he met with President Xi Jinping to discuss strengthening the comprehensive strategic partnership between their countries and highlighted a mutual commitment to deepening economic cooperation, PSMNews said. The signing of this MoU represents a major milestone in bolstering economic ties and fostering increased trade and investment flows between the Maldives and China, it added. Maldives is also working out a Free Trade Agreement with China, formalities for which were complete, and would be activated at a time of mutual agreement, Saeed added. The development comes ahead of President Mohammad Muizzus scheduled visit to India. Maldives is working out a similar Rupee-Rufiyaa arrangement with India. Maldives and India witnessed a downturn in bilateral ties since pro-China president Muizzu assumed office last November. On his insistence, India withdrew its military personnel manning three aviation platforms used for medical evacuation by May 10. However, after External Affairs Minister S Jaishankar's visit here in August this year, the Maldivian foreign ministry had termed it as a "significant milestone" in bilateral ties and underscored that the two countries have expressed their desire to elevate their friendship and strong alliance to new heights. Jaishankar's official visit to the Maldives was the first high-level trip from New Delhi after President Mohamed Muizzu, seen as a pro-China leader, assumed office in November last year. Jaipur, Sep 14 (PTI) Investors from across the country and abroad have expressed confidence in the "double engine" government of Rajasthan and the state's economy will "double" in next five years, Chief Minister Bhajanlal Sharma said on Saturday. Sharma, who went to South Korea and Japan to invite investors at the 'Rising Rajasthan' Global Investment Summit-2024, returned to Jaipur along with Deputy Chief Minister Premchand Bairwa. He was welcomed at the airport and later at BJP's state office by several state ministers, MLAs and senior party leaders. "There are immense possibilities of investment in Rajasthan. Investors from the country and abroad have expressed confidence in Rajasthan's 'double engine' government with immense possibilities in all sectors. Work is being done towards doubling Rajasthan's economy in the next five years," he said. Investors from South Korea and Japan have responded positively towards investing in Rajasthan, the chief minister said, adding that foreign investments will lead to an increase in job opportunities. Sharma further said that his government will set new dimensions towards the development of the state. Sharma also targeted the opposition Congress, saying that the efforts needed for investment were not made after the country's independence, but now due to trust in Prime Minister Narendra Modi, enthusiasm has been seen among foreign investors. BJP state president Madan Rathore said a double-engine government is working in Rajasthan. Deputy Chief Minister Diya Kumari, ministers Jogaram Patel, Babulal Kharari, Suresh Rawat, Avinash Gehlot, Hiralal Nagar, Jhabar Singh Kharra and Jawahar Singh Bedham were also present on the occasion. Jaipur, Sep 14 (PTI) Zinc producer Hindustan Zinc Limited has said its all-women mine rescue team will represent India at an international competition. The country's first all-women mine rescue team will be among 26 teams at the XIII International Mine Rescue Competition to be organized by the National Mining Agency, Colombia, under the guidance of the International Mines Rescue Body (IMRB). "Hindustan Zinc's team marks a historic breakthrough as the first-ever all-women team from India to compete on this prestigious global stage, demonstrating their exceptional rescue skills and expertise," said Arun Misra, CEO Hindustan Zinc Limited. He said that the participation highlights our ongoing commitment to gender diversity and parity, ensuring equal representation and opportunities across all levels. The seven-member team will compete across six categories, including mine rescue drills in both breathing and non-breathing atmospheres, first aid, mining rescue skills, equipment technician tests, and theoretical knowledge evaluations. The competition, held annually in different countries, involves various rescue drills and tests that simulate critical underground mine rescue scenarios. Mumbai, Sep 14 (PTI) South star Samantha Ruth Prabhu will be honoured with the Woman Of The Year award at the upcoming edition of the International Indian Film Academy (IIFA) Utsavam awards, the organizers have announced. The IIFA Utsavam Awards, to be held on September 27 at Yas Island, Abu Dhabi, will kick off the celebration of South Indian cinema by introducing the prestigious Woman of the Year in Indian Cinema award, honouring Ruth Prabhu for her achievements. A powerhouse in the Tamil and Telugu industries, the actor is known for her work in films like Ye Maaya Chesave, Eega, Neethaane En Ponvasantham, Mahanati, and Super Deluxe. IIFA Utsavam has always been special and Im so glad to join its global tour that spotlights the rich tapestry of creativity and storytelling from South Indian Cinema to a worldwide audience. "Its also a gentle reminder for me as an artist and a woman to continue pushing my boundaries and embrace the endless possibilities that this incredible journey offers, Ruth Prabhu said in a statement. Andre Timmins, Founder and Director, IIFA Utsavam, they are proud to celebrate Ruth Prabhus contribution to Indian cinema. As one of the most sought-after actresses of the generation, her remarkable journey in Indian cinema has been an extraordinary testament to her versatility, dedication, and indelible ability to deeply connect with audiences through captivating performances and daring, unconventional roles, Timmins. Lahore, Sep 14 (PTI) Law enforcement agencies have arrested nine suspected terrorists of ISIS and anti-Shia organisations in the Punjab province of Pakistan and foiled a major terror attack targeting important installations and religious places, police said on Saturday. The Counter Terrorism Department (CTD) of Punjab police claimed that it conducted 71 intelligence-based operations in different districts of the province during which the nine terrorists were arrested with weapons, explosives, and other prohibited materials. A spokesman for the CTD said the arrest of the nine terrorists belonging to ISIS (Daesh) and anti-Shia organisations -- Sipah Sahaba Pakistan (SSP) and Lashkar-e-Jhangvi (LeJ) from different areas of the province during this week were carried out during the intelligence-based operations in Rahim Yar Khan, Okara, Bahawalpur, Lahore, Rawalpindi, Faisalabad, and Mianwali. The terrorists had planned to sabotage across the province and wanted to target important installations and other religious places. A total of 4.8 kg explosives, two hand grenades, two IED bombs, 26 detonators, four pistols, bullets, and banned literature were recovered from their possession, the spokesperson said. The police have registered nine cases against the arrested terrorists and shifted them to an unknown location for further investigation. London, Sep 14 (PTI) Tarlochan Singh, former chairman of Indias National Commission of Minorities, on Saturday urged the top Sikh body and Sikh MPs from Canada to take up the issue of the ban on turbans for public servants in positions of authority in Quebec province of that country. Singh, a former member of the parliament of India, urged Jathedar Akal Takhat, president of the Shiromani Gurdwara Parbandhak Committee (SGPC), and Sikh MPs in Canada to follow the example of their counterparts in UK who got a similar law amended to protect the Sikh symbols. Adopted in June 2019, the controversial law known as Bill 21 -- prevents judges, police officers, teachers, and public servants in position of authority from wearing symbols such as the kippah, turban, or hijab while at work in Quebec province. In February 2024, the Quebec Court of Appeal upheld the province's controversial secularism law in a ruling on challenges to the law's constitutionality. In a statement, Singh, who is on a brief visit here, said, This is more serious than the law in France where the wearing of turban is banned for the Sikh students in government schools. Observing that he failed to understand why the Sikh members of parliament in Canada have not yet taken this up as a major discrimination against the community, Singh said, We are the only religious community in the world, where everyone is allowed to keep long hairs duly covered as per religious compulsion. I appeal to all of you to request the Chief Minister of Quebec province to reopen this case and amend the law. The Catholic hierarchy may be approached for help. In the UK, the Sikhs with their consistent efforts got similar laws amended to protect Sikh symbols, he added. Islamabad, Sep 14 (PTI) The speaker of Pakistan's national assembly has declared the Parliament Lodges here as sub-jail for jailed former prime minister Imran Khan's party's lawmakers who were arrested under the Anti-Terrorism Act and newly imposed Peaceful Assembly and Public Order Act 2024. On the other hand, Dawn News reported that the Islamabad High Court set aside the physical remand of the 10 arrested PTI lawmakers and sent them to jail on judicial remand. I am directed to refer to the production order issued on September 11 by the national assembly speaker with respect to the 10 PTI lawmakers arrested recently to enable them to attend the sitting of 9th Session of National Assembly... The speaker has been pleased to declare the Parliament Lodges in Islamabad as sub-jail for their custody after each assembly sitting, a National Assembly Secretariat official said in a letter to the secretary of the Interior Ministry on Friday. The Pakistan Tehreek-e-Insaf (PTI) lawmakers who were arrested on different charges following the party's public gathering at Sangjani were Sher Afzal Khan, Malik Mohammad Aamir Dogar, Mohammad Ahmed Chattha, Makhdoon Zain Hussain Qureshi, Waqas Akram, Zubair Khan Wazir, Awais Haider Jakhar, Syed Shah Ahad Ali Shah, Nasim Ali Shah, and Yousaf Khan. Police officials said the MNAs were detained at the suites allocated to them at the Parliament Lodges. These MNAs were arrested in connection with cases registered at Sangjani and Noon police stations under several charges, the officials said. There are no special arrangements and deployment in and outside the sub-jails as police were already present there, the officials said, adding that services of the available police officers were being utilised. They said that under the written directives of the speaker issued to the interior secretary, the suites were declared sub-jail, and so far any necessary notification in this regard was yet to be issued from the capital administration or police. Geneva, Sep 14 (PTI) External Affairs Minister S Jaishankar held wide-ranging discussions with his Swiss counterpart Ignazio Daniele Giovanni Cassis on bilateral ties, with a focus on leveraging the trade agreement between India and four-nation European bloc EFTA, which includes Switzerland, the MEA said on Saturday. Jaishankar was here on a two-day visit which concluded on Friday. In a special gesture, Cassis hosted Jaishankar in Geneva, the Ministry of External Affairs (MEA) said in a statement. "The two leaders held wide-ranging discussions on bilateral ties, with a special focus on leveraging the Free Trade Agreement between India and EFTA States (Switzerland, Norway, Iceland, and Principality of Liechtenstein) to boost trade and investments," the statement said. India in March signed a Trade and Economic Partnership Agreement (TEPA) with the European Free Trade Association (EFTA) under which New Delhi received a USD 100 billion investment commitment from these four European countries. During the meeting, Jaishankar and Cassis also discussed global and regional issues of mutual interest. During his visit, Jaishankar interacted with the leadership of international organisations in Geneva - UN High Commissioner for Human Rights Volker Turk and the Director General of the World Health Organisation, Dr Tedros Adhanom Ghebreyesus. He shared Indias approach to multilateralism, our perspectives on the evolution of human rights, the current global human rights situation, and ways to address various challenges to the human rights ecosystem. He also discussed ways to deepen cooperation in public health and promote traditional medicine systems globally. He also dedicated the newly built state-of-the-art Permanent Mission of India in Geneva, which houses India's missions dealing with the UN and other International Organisations, the Conference on Disarmament, the World Trade Organisation, and the Consulate General of India, the statement said. He also dedicated a statue of Dr Bhimrao Ambekar, the key architect of Indias Constitution, at the Permanent Mission here. He honoured Indian reformer and educator Hansa Mehta by naming a hall in her memory at the mission. Mehta served as the Indian delegate to the UN Commission on Human Rights from 1947 to 1948 and is known for ensuring a more gender-sensitive language in the landmark Universal Declaration of Human Rights. He also planted a tree under the Ek Ped Maa Ke Naam initiative. He interacted with a large gathering of the Indian community and Friends of India at the Permanent Mission. He highlighted rapid strides made by India and Indias vision of engaging with the world. He also delivered a talk on "Global Tectonics: The Indian View of a World in Churn at the Geneva Centre for Security Policy (GCSP), which was attended by a cross-section of people in Geneva, including from the diplomatic community, academia, think tanks, student community and the Indian diaspora, the statement said. Deir Al-Balah (Gaza Strip), Sep 14 (AP) Israeli airstrikes hit central and southern Gaza overnight into Saturday, killing at least 14 people as the friends and family members of a Turkish-American activist killed by an Israeli solider prepared to honour her in a funeral. The airstrikes in Gaza City hit one home housing 11 people, including three women and four children, and another strike hit a tent in Khan Younis housing Palestinians displaced by the Israel-Hamas war, Gaza's Civil Defence said on Saturday. They followed airstrikes earlier this week that hit a tent camp on Tuesday and a United Nations school housing displaced people on Wednesday. They hit as a campaign to inoculate children in Gaza against polio drew down and the World Health Organisation said about 560,000 under 10 have recovered from their first dose, seven out of every eight children the campaign aimed to vaccinate. The second doses are expected to begin later this month as part of an effort for which the WHO said parties had already agreed to. WHO chief Tedros Adhanom Ghebreyesus described the campaign as a massive success amidst a tragic daily reality of life in a post on X on Friday. Meanwhile, the body of Aysenur Ezgi Eygi, the Turkish-American activist killed September 6 by an Israeli soldier, was returned to her hometown late Friday accompanied by a police honour guard, the official Turkish news agency reported. Draped in a Turkish flag, the coffin carrying her remains was carried from a hearse to a hospital in Didim by six officers in ceremonial uniform. Her funeral is due to be held in the coastal town in western Turkiye later Saturday. The 26-year-old activist from Seattle, who held United States and Turkish citizenship, was killed after a demonstration against Israeli settlements in the occupied West Bank, according to an Israeli protester who witnessed the shooting. The Israeli military said on Tuesday that Eygi was likely shot indirectly and unintentionally by Israeli forces. Turkiye announced it will conduct its own investigation into her death. Anadolu Agency reported her body arrived in Didim after an autopsy at the Izmir Forensic Medicine Institute. As Eygi's family watched the coffin being unloaded, her mother had to be helped by medics, the agency said. Her death earned condemnation from US Secretary of State Antony Blinken as the United States, Egypt and Qatar push for a cease-fire and the release of the hostages. Talks have repeatedly bogged down as Israel and Hamas accuse each other of making new and unacceptable demands. The war began when Hamas-led fighters killed some 1,200 people, mostly civilians, in an October 7 attack on southern Israel. They abducted another 250 people and are still holding around 100 hostages after releasing most of the rest in exchange for Palestinians imprisoned by Israel during a weeklong cease-fire in November. Around a third of the remaining hostages are believed to be dead. It has caused vast destruction and displaced around 90 per cent of Gaza's population of 2.3 million, often multiple times, and plunged the territory into a severe humanitarian crisis. Gaza's Health Ministry says over 41,000 Palestinians have been killed since the war began. The ministry does not distinguish between civilians and militants in its count, but says women and children make up just over half of the dead. Israel says it has killed more than 17,000 militants in the war. (AP) PY PY Li Xi, a member of the Standing Committee of the Political Bureau of the Communist Party of China (CPC) Central Committee and secretary of the CPC Central Commission for Discipline Inspection, presides over a seminar in northeast China's Heilongjiang Province, Sept. 12, 2024. Li made an inspection tour in Heilongjiang Province from Tuesday to Friday. (Xinhua/Zhang Ling) HARBIN, Sept. 14 (Xinhua) -- China's anti-graft chief Li Xi urged renewed efforts in advancing full and rigorous Party governance during an inspection tour to northeast China's Heilongjiang Province. Li, a member of the Standing Committee of the Political Bureau of the Communist Party of China (CPC) Central Committee and secretary of the CPC Central Commission for Discipline Inspection, went on the tour from Tuesday to Friday. During the inspection, Li visited the Central Grain Reserve Harbin Direct Warehouse Co., Ltd., which is affiliated to the China Grain Reserves Group (Sinograin). He also paid visits to Harbin Institute of Technology, a local rice-growing agricultural cooperative, and a village dwelled by people of Hezhe ethnicity. He urged greater efforts in addressing corruption that occurs at the people's doorsteps and ensuring that the people can benefit more thoroughly and fairly from the fruits of the reform. In order to comprehensively deepen reform, it is a must to give full play to the role of full and rigorous Party self-governance in providing political guidance and guarantees, said Li at a seminar held during the inspection tour. He reiterated the necessity to continuously improve conduct, enforce discipline, and combat corruption. Li Xi, a member of the Standing Committee of the Political Bureau of the Communist Party of China (CPC) Central Committee and secretary of the CPC Central Commission for Discipline Inspection, visits a local rice-growing agricultural cooperative in Fuyuan City, northeast China's Heilongjiang Province, Sept. 13, 2024. Li made an inspection tour in Heilongjiang Province from Tuesday to Friday. (Xinhua/Zhang Ling) Dubai, Sep 14 (AP) A new attempt has begun to try to salvage an oil tanker burning in the Red Sea after attacks by Yemen's Houthi rebels, a European Union naval mission said Saturday. The EU's Operation Aspides published images dated Saturday of its vessels escorting ships heading to the Greek-flagged oil tanker Sounion. The mission has been actively involved in this complex endeavour, by creating a secure environment, which is necessary for the tugboats to conduct the towing operation, the EU said. A phone number for the mission rang unanswered Saturday. The Sounion came under attack from the Houthis beginning August 21. The vessel had been staffed by a crew of 25 Filipinos and Russians, as well as four private security personnel, who were taken by a French destroyer to nearby Djibouti. The Houthis later planted explosives aboard the ship and detonated them. That's led to fears the ship's 1 million barrels of crude oil could spill into the Red Sea. The Houthis have targeted more than 80 vessels with missiles and drones since the war in Gaza started in October. They seized one vessel and sank two in the campaign that also killed four sailors. One of the sunken vessels, the Tutor, went down after the Houthis planted explosives aboard it and after its crew abandoned it due to an earlier attack, the rebel group later acknowledged. Other missiles and drones have either been intercepted by a US-led coalition in the Red Sea or failed to reach their targets. The rebels maintain that they target ships linked to Israel, the US or the UK to force an end to Israel's campaign against Hamas in Gaza. However, many of the ships attacked have little or no connection to the conflict, including some bound for Iran. (AP) GRS GRS Islamabad, Sep 14 (PTI) The residential quarters of Pakistans national assembly members have been declared as a sub-jail for 10 lawmakers belonging to jailed former prime minister Imran Khan's party to enable them to attend Parliament sittings. The Pakistan Tehreek-e-Insaf (PTI) lawmakers were arrested on different charges, including the Anti-Terrorism Act and the newly imposed Peaceful Assembly and Public Order Act 2024, after the partys public gathering at Sangjani on Islamabad outskirts on Sunday. National Assembly Speaker Sardar Ayaz Sadiq on Friday approved the designation of Parliament Lodges as a sub-jail for the lawmakers currently detained at the suites allocated to them, The Express Tribune reported. I am directed to refer to the production order issued on September 11 by the national assembly speaker with respect to the PTI lawmakers arrested recently to enable them to attend the sitting of the 9th Session of National Assembly," a National Assembly Secretariat official said in a letter to the secretary of the Interior Ministry. The new arrangement at Parliament Lodges is expected to address both security and procedural needs, ensuring that the detained members can fulfill their legislative duties while managing their current legal circumstances, the newspaper said. The decision follows a request from party chairman Barrister Gohar Khan and other members due to heightened security concerns. Police have registered cases against the 10 lawmakers at Sangjani, Sumbal, and Noon police stations, all near the capital area, officials said adding, the Islamabad High Court has granted them judicial remand. There are no special arrangements and deployment in and outside the sub-jails as police were already present there, the officials said, adding that services of the available police officers were being utilised. Several PTI leaders, including high-ranking figures, were charged with terrorism-related offenses over accusations of violence against police officers during the rally on Sunday. Kyiv, Sep 14 (AP) Ukraine made a new call Saturday on the West to allow it to strike deeper into Russia after a meeting between US and British leaders a day earlier produced no visible shift in their policy on the use of long-range weapons. Russian terror begins at weapons depots, airfields, and military bases inside the Russian Federation, Ukrainian presidential adviser Andriy Yermak said Saturday. Permission to strike deep into Russia will speed up the solution. The renewed appeal came as Kyiv said Russia launched more drone and artillery attacks into Ukraine overnight. Ukrainian officials have repeatedly called on allies to greenlight the use of Western-provided long-range weapons to strike targets deep inside Russian territory. So far, the US has allowed Kyiv to use American-provided weapons only in a limited area inside Russia's border with Ukraine. Discussions on allowing long-range strikes were believed to be on the table when US President Joe Biden and British Prime Minister Keir Starmer met in Washington DC Friday but, no decision was announced immediately after the meeting. Ukrainian President Volodymyr Zelenskyy has been pressing the US and other allies to allow his forces to use Western weapons to target air bases and launch sites further afield as Russia has stepped up assaults on Ukraine's electricity grid and utilities before winter. He did not directly comment on the meeting Saturday morning, but said that more than 70 Russian drones had been launched into Ukraine overnight. The Ukrainian airforce later said that 76 Russian drones had been sighted, of which 72 were shot down. We need to boost our air defence and long-range capabilities to protect our people, Zelenskyy wrote on social media. We are working on this with all of Ukraine's partners. Other overnight attacks saw one person killed by Russian artillery fire as energy infrastructure was targeted in Ukraine's Sumy region. A 54-year-old driver was killed and seven more people were hospitalised, Ukraine's Ministry of Energy said. A KAB aerial bomb also fell on a garage complex in the eastern city of Kharkiv, said regional Gov. Ihor Terekhov. No injuries were reported. Meanwhile, officials in Moscow have continued to make public statements warning that long-range strikes would provoke further escalation between Russia and the West. The remarks are in line with the narrative the Kremlin has promoted since early in the war, accusing NATO countries of de-facto participation in the conflict and threatening a response. Russian Deputy Foreign Minister Sergei Ryabkov told state news agency TASS Saturday that the US and British governments were pushing the conflict, which began when Russia invaded Ukraine in February 2022, toward poorly controlled escalation. Similar comments of Russian President Vladimir Putin on Thursday, where he said that allowing long-range strikes would mean that NATO countries, the United States and European countries, are at war with Russia. were brushed off by Biden Friday. Asked what he thought about Putin's threat, Biden answered, I don't think much about Vladimir Putin. Elsewhere, Russia's Defence Ministry said that 19 Ukrainian drones had been shot down over the country's Kursk and Belgorod regions. No casualties were reported. (AP) GRS GRS Colombo, Sep 14 (PTI) Sri Lankan President Ranil Wickremesinghe on Saturday assured that his government would set up a commission to address the issue of disappeared persons within five years if he is re-elected in the upcoming presidential poll. According to an estimate, around 20,000 people are believed to be missing after the end of the nearly three-decade war with the Liberation Tigers of Tamil Eeelam (LTTE), which killed over 100,000 people. Addressing a public rally in Jaffna ahead of the September 21 presidential election, Wickremesinghe said if voted back to power, his government will establish the Truth and Reconciliation Commission (TRC), which will resolve the issue of missing persons within the next five years, news portal dailymirror.lk reported. He further said that his government will also set up the National Land Commission to address the ongoing land disputes in the North. The Sri Lankan government is yet to fully restore civilian ownership of land and property nearly a decade since the end of the civil war in 2009. The president said the issues in the North cannot be limited to just political problems but also require development. If development does not take place, the North will fall behind while other provinces move forward. He emphasised that his leadership will address both the political and developmental challenges in the North. Referring to a statement issued by the International Monetary Fund (IMF) on Friday, Wickremesinghe warned that if the government does not continue the programme it started with the global lender, the economy could collapse again, the Daily News website reported. He said if his government's plan continues for another three years, no one will be able to destroy the countrys economy. Wickremesinghe affirmed his commitment to developing the North and pointed out that non of his political rivals -- Samagi Jana Balawegaya's (SJB) Sajith Premadasa or Janatha Vimukthi Peramuna's (JVP) Anura Kumara -- has any solution for the regions needs. Addressing the gathering, he said, I have always come to Jaffna whenever given the opportunity, including during elections. Now, other candidates are also visiting Jaffna, but they only come during election time. However, I have always made a point to visit regularly, which is why I am in a position to come here and ask for your vote." He said Kumara and Premadasa, who are campaigning against him, propose to overhaul the current system and implement their own. They promise to ease living costs and eliminate taxes. However, such measures would jeopardise the IMF assistance, leading to a potential collapse of the economy. "We have worked with the IMF to strengthen the rupee and have seen a gradual decrease in commodity prices. Our current program is already providing increased relief to the people. We are now in a position to reduce taxes," the president said. He asserted that the economy is strengthening, and with the continuation of this programme for another three years, it will become even more resilient. "While Sajith (Premadasa) and Anura (Kumara) advocate for tax cuts, I also support this idea, but not at the cost of progress. Reducing taxes prematurely could undermine our economic recovery and risk a return to the dire situation of June 2022. I am committed to being transparent with the people about these challenges," he said. Paris, Sep 14 (AP) Gisele Pelicot, the woman who was allegedly drugged by her now ex-husband over the course of a decade so that she could be raped by dozens of men while unconscious, is becoming a symbol of France's fight against sexual violence. Some 700 people gathered at Place de la Republique in Paris in support for the 71-year-old and all rape victims in France. Some carried banners that hailed Pelicot for speaking out about her horrific ordeal and assured other victims of sexual violence that they are not alone. Victims, we believe you. Rapists, we see you, one banner said. Since the beginning of the extraordinary trial on September 2, during which Pelicot will face 51 of her alleged rapists, she has been praised for her courage and composure. First, there was her decision to keep the trial public after the court had initially suggested that it be held behind closed doors. She allowed journalists to publish her full name, and the court to exhibit explicit videos recorded by her husband showing men engaging in sexual intercourses with her naked, inert body. She has said her decisions were in solidarity with other women who go unrecognized as victims of sexual crimes. It's very important to be here because we need to talk about the rape culture, said Anna Toumazoff, an activist and one of the organizers of the Paris protest. After seven years of MeToo, we know that there is not a special type of victim. We are also collectively realizing that there is no special type of a rapist. Despite several waves of the #Metoo reckoning in France, which featured several high profile women speaking publicly about the trauma they've endured after years of sexual abuse, protesters said that much of the violence remains unreported and often goes unpunished. On September 5, Pelicot spoke about her ordeal in public for the first time since police officers, four years ago, called her in to tell her the unthinkable. With a calm and clear voice, she detailed the horror of discovering that her former spouse had been sedating her and invited at least 72 strangers into their house in Provence to have sex with her. For me, everything collapses, she testified. These are scenes of barbarity, of rape. National broadcaster TF1 that day described a dignified, strong," woman, who was "holding her head high. In an open letter translated into English and published in French newspaper Le Monde, journalist and author Helene Devynck thanked Pelicot for her bravery, echoing the feelings of many other French people. You entered our lives like you entered the Avignon court, through the front door, wrote Devynck, a figure of the French #MeToo movement. It's not just you, Gisele, that they've treated like a thing. They were telling us, all of us women, how insignificant we are. Your strength restores ours. Thank you for this immense gift." Next week, Gisele Pelicot will have to brave another mountain: facing the man whom she shared her life for over 50 years, and father of their three children. Her lawyer has said she is planning on attending his testimony. Her ex-husband, Dominique Pelicot, has previously confessed to the crimes to investigators. But his court hearing will be crucial for the panel of judges to decide on the fate of the 50 other men accused of rape. A majority of the defendants are contesting the accusation of rape. Some have claimed they believed a husband's consent for sexual intercourse was sufficient, others said they were tricked by Dominique Pelicot to believe his wife was consenting. One lawyer representing six defendants has shocked the nation arguing that there is rape and rape, and without intention, there is no rape. Magali Lafourcade, a magistrate and secretary general of the National Consultative Commission on Human Rights, also applauded Gisele Pelicot. She stressed that in France, studies suggest that nine out of 10 women who are victims of rape do not press charges. And when they do, about 80 per cent of the cases are dropped. For health reasons, Dominique Pelicot has been exempted from attending the trial since Wednesday. He is expected to testify on Monday or Tuesday, his lawyer, Beatrice Zavarro, has said. Asked whether her client was trying to get out of testifying, Zavarro said Friday that he had been waiting for his trial, he wanted to talk and be confronted to his wife and children. Dominique Pelicot, now 71, and the 50 other defendants face up to 20 years in prison if convicted. (AP) NPK NPK Islamabad, Sep 14 (PTI) The Supreme Court of Pakistan on Saturday rebuked the top election body and ordered it to implement its verdict on reserved seats, a decision that is likely to benefit the party led by jailed former prime minister Imran Khan. The apex court order is a major setback to Prime Minister Shehbaz Sharifs ruling coalition as it will potentially make Khans Pakistan Tehreek-e-Insaf (PTI) party as the single largest in both houses of Parliament after the February 8 general elections. The order coincides with the reported planning of the government to introduce a constitutional amendment for which a two-third majority is required. If the Supreme Court order is implemented in letter and spirit, the PTI may emerge as the largest party in the National Assembly with its seats soaring with the addition of reserved seats. The ruling Pakistan Muslim League-Nawaz (PML-N) party-led government on July 15 had filed a review petition in the Supreme Court against its decision to allocate reserved seats to PTI. Earlier, a 13-member full bench of the apex court in a key 8-5 judgment on July 12 ruled that the PTI was eligible for the seats reserved for women and minorities in the National Assembly and provincial assemblies. The court had also declared PTI as a parliamentary party. Khan, 71, who faces over 200 cases and has been convicted in a few of them, is currently lodged at the Adiala Jail in Rawalpindi. He had already claimed the February 8 general elections to have witnessed the Mother of All Rigging and called his rivals the PML-N and the Pakistan Peoples Party (PPP) as mandate thieves. In the election, both PML-N and PPP individually won fewer seats than 92 won by independent candidates backed by Khans PTI. The two parties entered into a post-poll alliance under which the PML-N got the prime ministers post and the chief ministership of Punjab province while the PPP got the presidential post and the chief ministership in Sindh province. If the reserved seats are now allotted to the PTI, it will upset the PML-N-PPP apple cart. Earlier, the July 12 majority judgement explained that 39 out of the 80 members of the national assembly, shown by the Election Commission of Pakistan (ECP) as PTI candidates, belonged to the party, while the 41 independents would have to file duly signed statements before the commission within 15 days as it explained that they contested the February 8 elections as a candidate of a particular political party. The ECP had subsequently sought clarification on some issues from the court which in its order stated that the clarification sought by the ECP was nothing more than a contrived device and the adoption of dilatory tactics, adopted to delay, defeat and obstruct implementation of the decision of the court. This cannot be countenanced. Even on the application of elementary principles of law, the application filed by the Election Commission is misconceived, the top court said. The court also warned that failure of the ECP to implement the original verdict would have consequences. The attempt by the Election Commission to confuse and cloud what is otherwise absolutely clear as a matter of the Constitution and the law must therefore be strongly deprecated, the apex court said. The list required to be issued by the Commission is nothing more than a ministerial act, for the information and convenience of all concerned, and has no substantive effect. Nonetheless, the continued failure of, and refusal by, the Commission to perform this legally binding obligation may, as noted, have consequences, the order said, adding, This obligation must be discharged forthwith. The issues of reserved seats propped up soon after the February 8 elections when the PTI-supported independent candidates joined the Sunni Ittehad Council (SIC) but the ECP refused to allot it the reserved seats. The Peshawar High Court (PHC) had on March 14 rejected the appeal against the ECP ruling. In April, the SIC filed a petition before the Supreme Court against the PHC judgment, which on May 6 suspended the PHC judgment as well as the March 1 ECP decision to deprive the SIC of seats reserved for women and minorities. Finally, the apex court on July 12 adjudicated in the favour of PTI and declared it as a party eligible for reserved seats, but the ECP has so far not fully implemented the verdict. Islamabad, Sep 14 (PTI) Accusing the US of adopting "double standards" and "discriminatory" practices, Pakistan on Saturday rejected unilateral American sanctions on technology companies allegedly linked to the country's ballistic missile programme and said such actions "endanger international peace". The US on Thursday said it has taken action against four Chinese entities, one Pakistani company, and one Chinese individual involved in the expansion of ballistic missiles and controlled missile equipment and technology to Pakistan. Reacting to the US action, the Ministry of Foreign Affairs (Mofa) in a statement said, "Pakistan considers this action as biased and politically-motivated. Similar listings of commercial entities in the past were based on mere suspicion; involved items not listed under any export control regime and yet were considered sensitive under broad, catch-all provisions." Terming the US sanctions as "double standards and discriminatory", Mofa Spokesperson Mumtaz Zahra Baloch said such "practices undermine the credibility of global nonproliferation regimes, increase military asymmetries, and endanger international peace and security", the Geo News reported. "It is widely known that some countries while claiming strict adherence to nonproliferation norms, have conveniently waived licensing requirements for advanced military technologies to their favoured states," she said, without naming any country. The US State Department Spokesperson, Matthew Miller, in a statement on Thursday said America imposed sanctions on the Beijing Research Institute of Automation for Machine Building Industry (RIAMB), which has worked with Pakistans National Development Complex (NDC), under the missile sanctions laws. The statement said RIAMB had worked with NDC in the development and production of Pakistans long-range ballistic missiles to procure equipment for testing of large-diameter rocket motors, including the Shaheen-3 and Ababeel. "The United States is [also] imposing sanctions on three PRC-based entities, one PRC individual, and a Pakistani entity for ballistic missile proliferation activities: PRC-based firms Hubei Huachangda Intelligent Equipment Company, Universal Enterprise Limited, and Xian Longde Technology Development Company Limited (aka Lontek); and PRC individual Luo Dongmei (aka Steed Luo) for knowingly transferring equipment and technology controlled under the Missile Technology Control Regime (MTCR) Annex, in support of MTCR Category I missile programmes, to a non-MTCR country," it said. The department said it also imposed sanctions on a Pakistan-based entity Innovative Equipment under the missile sanctions laws, adding that it will "continue to act against proliferation and associated procurement activities of concern, wherever they occur". Meanwhile, Liu Pengyu, spokesperson for Chinas embassy in Washington, said: China firmly opposes unilateral sanctions and long-arm jurisdiction that have no basis in international law or authorisation of the UN Security Council. China will firmly protect Chinese companies and individuals rights and interests, Liu said. Islamabad, Sep 14 (PTI) Pakistans former prime minister Imran Khan was grilled on Saturday by a probe team over his controversial social media post allegedly targeting the heads of key state institutions. The Federal Investigation Agency (FIA) registered a case against Khan on Friday for allegedly inciting rebellion against the government and state institutions through posts made on social media platform X. The FIAs Cybercrime Wing launched an inquiry and its probe tem led by Deputy Director Ayaz Khan questioned the Pakistan Tehreek-e-Insaf (PTI) founder for about 45 minutes at Adiala Jail. The team included investigators and technical experts who asked Khan about the nature of the post and who was handling his social media account. The FIA team is expected to visit again to continue the probe and further determine who is responsible for managing Khans social media accounts and issuing directives for such posts. Khan, 71, had previously insisted that he would only cooperate with the investigation in the presence of his legal team and had refused to meet the FIA probe team. Information Minister Atta Tarar had said over the new case against Khan that the FIA is investigating the misuse of social media by the PTI founder to "create anarchy and undermine national security". During the session of the National Assembly earlier in the day, Pakistan Peoples Party (PPP) Chairman Bilawal Bhutto Zardari said Khan insulted the institutions by accusing the Chief Justice in his X post and also accusing the Army chief of being involved in politics. Bhutto said if this statement was not issued by Khan himself, then it should be revealed who used his X account. Dhaka, Sep 14 (PTI) A key US State Department diplomat arrived in Bangladesh on Saturday to hold discussions with the interim government led by Chief Adviser Muhammad Yunus, primarily focussing on financial and trade matters. Donald Lu, the assistant secretary of state for South and Central Asian Affairs, is leading a delegation to Dhaka that also has Brandon Lynch, the assistant US trade representative for South and Central Asia, the bdnews24.com news portal reported, citing a press release issued by the foreign ministry. Apart from meeting Yunus to discuss bilateral issues, the delegation will also meet Finance and Commerce Advisor Salehuddin Ahmed, the release said. Foreign Advisor Touhid Hossain said the discussions will primarily focus on financial and trade matters. Earlier, Foreign Secretary Md Jashim Uddin told reporters on Thursday, I can only say that the arrival of the US delegation after the formation of the interim government reflects the importance the United States places on its relationship with Bangladesh. Dubai, Sep 14 (AP) On the streets of Iranian cities, it's becoming more common to see a woman passing by without a mandatory headscarf, or hijab, as the second anniversary of the death of Mahsa Amini and the mass protests it sparked approaches. There's no government official or study acknowledging the phenomenon, which began as Iran entered its hot summer months and power cuts in its overburdened electrical system became common. But across social media, videos of people filming neighbourhood streets or just talking about a normal day in their life, women and girls can be seen walking past with their long hair out over their shoulders, particularly after sunset. This defiance comes despite what United Nations investigators describe as expanded repressive measures and policies by Iran's theocracy to punish them though there's been no recent catalysing event like Amini's death to galvanize demonstrators. The country's new reformist President Masoud Pezeshkian campaigned on a promise to halt the harassment of women by morality police. But the country's ultimate authority remains the 85-year-old Supreme Leader Ayatollah Ali Khamenei, who in the past said unveiling is both religiously forbidden and politically forbidden. For some observant Muslim women, the head covering is a sign of piety before God and modesty in front of men outside their families. In Iran, the hijab and the all-encompassing black chador worn by some has long been a political symbol as well. Meaningful institutional changes and accountability for gross human rights violations and crimes under international law, and crimes against humanity, remains elusive for victims and survivors, especially for women and children, warned a U.N. fact-finding mission on Iran on Friday. Amini, 22, died on Sept 16, 2022, in a hospital after her arrest by the country's morality police over allegedly not wearing her hijab to the liking of the authorities. The protests that followed Amini's death started first with the chant Women, Life, Freedom. However, the protesters' cries soon grew into open calls of revolt against Khamenei. A monthslong security crackdown that followed killed more than 500 people and saw over 22,000 detained. Today, passersby on the streets of Tehran, whether its tony northern suburbs for the wealthy or the working-class neighborhoods of the capital's southern reaches, now routinely see women without the hijab. It particularly starts at dusk, though even during the daylight on weekends women can be seen with their hair uncovered at major parks. Online videos specifically a sub-genre showing walking tours of city streets for those in rural areas or abroad who want to see life in the bustling neighborhoods of Tehran include women without the hijab. Something that would have stopped a person in their tracks in the decades follwing the 1979 Islamic Revolution now goes unacknowledged. My quasi-courage for not wearing scarves is a legacy of Mahsa Amini and we have to protect this as an achievement," said a 25-year-old student at Tehran Sharif University, who gave only her first name Azadeh out of fear of reprisal. "She could be at my current age if she did not pass away. The disobedience still comes with risk. Months after the protests halted, Iranian morality police returned to the streets. There have been scattered videos of women and young girls being roughed up by officers in the time since. In 2023, a teenage Iranian girl was injured in a mysterious incident on Tehran's Metro while not wearing a headscarf and later died in hospital. In July, activists say police opened fire on a woman fleeing a checkpoint in an attempt to avoid her car being impounded for her not wearing the hijab. Meanwhile, the government has targeted private businesses where women are seen without their headscarves. Surveillance cameras search for women uncovered in vehicles to fine and impound their cars. The government has gone as far as use aerial drones to monitor the 2024 Tehran International Book Fair and Kish Island for uncovered women, the U.N. said. Yet some feel the election of Pezeshkian in July, after a helicopter crash killed Iranian hard-line President Ebrahim Raisi in May, is helping ease tensions over the hijab. I think the current peaceful environment is part of the status after Pezeshkian took office, said Hamid Zarrinjouei, a 38-year-old bookseller. In some way, Pezeshkian could convince powerful people that more restrictions do not necessarily make women more faithful to the hijab. (AP) NSA NSA NSA BEIJING, Sept. 13 (Xinhua) -- China's lawmakers on Friday adopted a decision on amending the Statistics Law in a bid to prevent data fraud more effectively. The decision was adopted as the Standing Committee of the 14th National People's Congress (NPC) concluded its 11th session in Beijing. The amendments focus on enhancing responsibility for the prevention and punishment of statistics fraud, strengthening statistical supervision, and improving the scientific nature of statistics. Shi Hong, an official of the Legislative Affairs Commission of the NPC Standing Committee, said that these amendments to the Statistics Law adhere to a problem-oriented approach and strengthen the legal responsibility for illegal activities such as statistics fraud. The amendments call for the construction of a complete, coordinated, efficient, authoritative and reliable national statistics supervision system, according to Mao Shengyong, deputy director of the National Bureau of Statistics. Islamabad, Sep 14 (PTI) The Pakistan government is preparing to roll out a comprehensive judicial reforms package in Parliament amid speculation about legislation to extend the retirement age of superior court judges, according to a media report on Saturday. With at least 22 amendments on the docket, these changes are set to overhaul the justice system and revamp the process of appointing the chief justice of the Supreme Court, The Express Tribune newspaper reported, quoting sources. The Pakistan Muslim League-Nawaz (PML-N)-led government has plans to introduce these reforms as early as Sunday, according to the report. The proposal's centrepiece is introducing a new appointment process for the chief justice. Under the proposed changes, the parliamentary committee and judicial commission could be merged. Instead of automatically appointing the senior-most judge, a panel of five senior judges would be sent to the prime minister, who would have the final say. The government believes the current practice of appointing the senior-most judge encourages lobbying within the judiciary, allowing the Chief Justice to manipulate the seniority list to favour his preferred successors. By giving this decision-making power to the prime minister, the government hopes to curb internal politicking within the judiciary. The reform package also includes a proposal to allow transfers of judges from one high court to another, a move that would increase flexibility within the judicial system. However, one key issue raising the retirement age of judges remains a bone of contention, with no agreement reached as of yet. Despite some disagreements, all coalition parties are reportedly in agreement on the core judicial reforms. In a recent statement, right-wing Islamic leader Maulana Fazlur Rehman of the Jamiat Ulema-e-Islam-Fazl (JUI-F) indicated that if a reform package on judicial matters is presented, it will be carefully considered. Sources indicate that the government is keeping its cards close to its chest. Prime Minister Shehbaz Sharif has discussed the package with a tight circle, including senior Pakistan Peoples Party leadership, but the rest of the coalition has yet to receive a full briefing. It appears that the government is preparing to throw this package into the National Assembly with little prior information, ensuring the element of surprise. If passed, the reforms could mark a seismic shift in the country's judiciary, shaking up long-established procedures and leaving a lasting impact on how the judicial and executive branches interact. The government took an unusual step on Friday as it summoned sessions of both the National Assembly and Senate on a holiday Saturday. The hurriedly-called session has given further rise to speculations that the ruling alliance might introduce judicial reforms or constitutional amendments. While Defence Minister Khawaja Asif reportedly asserted that the government is all set to table a 'constitutional amendment' in the assembly on Saturday as it has achieved the 'magic number', Minister for Law and Justice Azam Nazir Tarar reportedly denied that the government is likely to go for any constitutional changes. Despite the contradictory statements, the notion is that the government is likely to make some constitutional changes, such as increasing the retirement age of judges. Such a move can lead to an extension in the tenure of incumbent Chief Justice Qazi Faez Isa, who is set to retire on October 25, upon reaching the age of superannuation 65 years. Though Chief Justice Isa has expressed that he was not interested in a person-specific extension, experts closely monitoring political and judicial developments say that this varied statement has kept the door open for an extension. Currently, the retirement age of Supreme Court judges is 65, while the same for high court judges is 62. Reports and statements by different lawmakers in Parliament suggest that the government intends to increase the retirement age of Supreme Court judges to 68 and high court judges to 65. The debate about constitutional amendment was triggered soon after the Supreme Court's landmark decision on July 12 in favour of jailed former prime minister Imran Khan's Pakistan Tehreek-e-Insaf (PTI) about the allocation of reserved seats in national and other assemblies. The decision deprived the ruling alliance of a two-thirds majority. The ruling coalition would need to meet the required number of 224 out of 336 members of the National Assembly and 64 out of 96 in the Senate to get the two-thirds majority to pass the constitutional amendment. Currently, the ruling party lacks a two-thirds majority in both houses, which is required for passing a constitutional amendment. Baghdad, Sep 13 (AP) Iraqi forces and American troops have killed a senior commander with the Islamic State group who was wanted by the United States, as well as several other prominent militants, Iraq's military said on Friday. The operation in Iraq's western Anbar province began in late August, the Iraqi military said, and involved also members of the Iraqi National Intelligence Service and Iraq's air force. Among the dead was an IS commander from Tunisia, known as Abu Ali Al-Tunisi, for whom the US Treasury Department had offered $5 million for information. Also killed was Ahmad Hamed Zwein, the IS deputy commander in Iraq. Despite their defeat, attacks by IS sleeper cells in Iraq and Syria have been on the rise over the past years, with scores of people killed or wounded. Friday's announcement was not the first news of the operation. Two weeks ago, official has said that the United States military and Iraq launched a joint raid targeting suspected IS militants in the country's western desert that killed at least 15 people and left seven American troops hurt. Five of the American troops were wounded in the raid itself, while two others suffered injuries from falls during the operation. One who suffered a fall was transported out of the region, while one of the wounded was evacuated for further treatment, a US defence official said at the time, speaking on condition of anonymity to discuss details of the operation that had not yet been made public. In Friday's announcement, the Iraqi military said the operation also confiscated weapons and computers, smart phones and 10 explosive belts. It added that 14 IS commanders were identified after DNA tests were conducted. It made no mention of the 15th person killed and whether that person had also been identified. The Islamic State group seized territory at the height of its power and declared a caliphate in large parts of Iraq and Syria in 2014 but was defeated in Iraq in 2017. In March 2019, the extremists lost the last sliver of land they once controlled in eastern Syria. At its peak, the group ruled an area half the size of the United Kingdom where it enforced its extreme interpretation of Islam, which included attacks on religious minority groups and harsh punishment of Muslims deemed to be apostates. Despite their defeat, attacks by IS sleeper cells in Iraq and Syria have been on the rise over the past years, killing and wounding scores of people. The US military has not commented on the August raid. Earlier Friday, the US Central Command said its forces killed an IS attack cell member in a strike in eastern Syria. It added that the individual was planting an improvised explosive device for a planned attack against anti-IS coalition forces and their partners, an apparent reference to Syria's Kurdish-led Syrian Democratic Forces. In August last year, the US had agreed to enter into talks to transition US and anti-IS coalition forces from their long-standing role in assisting Iraq in combating IS. There are approximately 2,500 US troops in the country, and their departure will take into account the security situation on the ground, and the capabilities of the Iraqi armed forces. (AP) SCY SCY Sukma, Sep 14 (PTI) A 44-year-old personnel of the Central Reserve Police Force (CRPF) allegedly committed suicide by shooting himself with his service weapon in Chhattisgarh's Sukma district on Saturday, police said. The incident occurred at a camp of the CRPF's 226th battalion in Gadiras in the morning, an official said. Head constable Vipul Bhuyan shot himself with an AK-47 rifle inside the bathroom. His colleagues rushed to the spot and found him lying in a pool of blood, he said. Bhuyan, a native of Assam, had resumed duty after a leave two days ago, he said. No suicide note has been found, and a probe is underway to ascertain the reason for the extreme step, the official said. This is the fifth incident of suicide by paramilitary personnel in the last three months in Chhattisgarh, where they are deployed for anti-Naxal operations. A constable of the Sashastra Seema Bal (SSB) allegedly committed suicide in Kanker on September 3, while another SSB jawan killed himself in Durg district on August 27. On August 26, a head constable of the CRPF allegedly shot himself dead with his service weapon in Dantewada, and a jawan of the Border Security Force (BSF) died similarly in Kanker on June 20. Jabalpur, Sep 14 (PTI) Police in Jabalpur in Madhya Pradesh on Saturday formed a special investigation team to catch a conman who is extorting money from women collegians after threatening them with AI-generated objectionable clips, officials said. The SIT was formed by Jabalpur Superintendent of Police Aditya Pratap Singh to speed up progress in the case, which came to light in the first week of September, and has seen teams visit several states, they added. The SIT will be headed by City Superintendent of Police (Kotwali) RK Shiv and will have personnel from the crime branch, cyber cell and women police station, Madan Mahal police station in charge inspector Praveen Dhurve told PTI. The accused calls up victims and identifies himself as "police officer Vikram Goswami", threatens them with action for sharing obscene videos on social media and then demands money, said police. As per the police's probe so far, the accused is deploying Artificial Intelligence to create such obscene videos. An official said two students have transferred Rs 2000-3000 to him, adding it was being investigated how he was managing to get numbers of the collegians he was targeting. "He sends a link, which contain obscene clips, to the mobile numbers of students and then threatens them with police cases. He also says a police team will visit their parents to apprise them of the developments," the official said. The case came to light after the management of Government Mankunwar Bai Women College alerted police, SP Singh said. An FIR was then registered under Bharatiya Nyaya Sanhita (BNS) section 318 (cheating) and Information Technology Act sections 66 (sending offensive messages through communication service, etc.) and 67 (publishing or transmitting obscene material in electronic form). Close to 50 women may have been targeted by the accused though only three have come forward, as per police. The issue has seen protests from both the ruling BJP and the opposition Congress in Jabalpur. Ahmedabad, Sep 14 (PTI) Prime Minister Narendra Modi on Saturday announced an ex-gratia of Rs 2 lakh each to the kin of eight persons who drowned in the Meshwo river in Gujarat's Gandhinagar district. Eight persons from Vasna Sogthi village drowned while bathing in the Meshwo river during the immersion of Ganesha idols on Friday evening. Condoling the incident, the prime minister, in a post on X, said, "Deeply saddened by the news of the loss of lives in the drowning incident in Dehgam taluk of Gujarat. My condolences to all the families who have lost loved ones in this tragedy. May God grant peace to the departed souls." PM Modi announced an ex-gratia of Rs 2 lakh each from the Prime Minister's National Relief Fund to the next of kin of the deceased persons and a relief of Rs 50,000 to the injured, the PMO posted on X. A funeral procession was taken out for the deceased in Vasna Sogthi village on Saturday. Dehgam MLA Balrajsinh Chauhan, MP Hasmukh Patel, political leaders and government officials were also present for the mass funeral. Guwahati, Sep 14 (PTI) A Congress delegation was on Saturday allegedly prevented by local people from visiting the eviction site at Sonapur, on the outskirts of Guwahati where two people were killed in police firing two days ago. Several MLAs and leaders of the opposition party had gone to Kochutoli village to meet the locals, but a group of people stopped their vehicles before reaching the site. They disembarked from the cars and tried to walk to the site, but a section of local people did not allow that too, a Congress leader said. Congress state working president and MLA Jakir Hussain Sikdar tried to reason with the locals and said the delegation came to listen to all sides. As peoples representatives, we will raise all genuine concerns of the people. Congress will participate in movements for genuine demands. But at the same time, police shooting people dead and evicting people without notice cannot be tolerated. Those who attacked the police must also face action, he said, speaking to reporters at the site. We want a peaceful Assam. There is no law and order in the state anymore, Hussain asserted. A drive in Kochutoli village to evict Bengali-speaking Muslim villagers had turned violent on Thursday when a section of encroachers allegedly attacked the officials carrying out the exercise with sharp weapons and stones. Police opened fire to disperse the mob, resulting in the death of two protestors. In the clash, 33 people, including 22 police personnel were injured, Director General of Police GP Singh had said later. There were about 300 families of illegal settlers and since the drive began 151 families were evicted and 248 bighas of land have been cleared, he added. Ranchi, Sep 14 (PTI) Independent MLA Amit Kumar Yadav and former legislator Jai Prakash Verma on Saturday rejoined the BJP ahead of the Jharkhand assembly polls. Yadav, the Barkatha legislator, and Verma were given membership of the party by Jharkhand BJP president Babulal Marandi at a programme in its headquarters here. BJP's Jharkhand in-charge Laxmikant Bajpai was present at the programme. Yadav, the 42-year-old politician, won the Barkatha assembly seat in the 2019 assembly polls by defeating BJPs Janki Prasad Yadav by a margin of 24,812 votes. He represented the Barkatha constituency from 2009 to 2014 on a BJP ticket but he lost the seat in the 2014 assembly polls. When I was denied a ticket from the party in 2019, I decided to contest the polls as an Independent candidate. I got offers from various parties but I did not join any party. I continued to work for the BJP despite being an Independent MLA. Today, I formally rejoined the party, Yadav said. Verma was in the BJP and had won the Gandey assembly seat in 2014 on a party ticket. But, he lost the seat in 2019 polls to JMMs Sarfraz Ahmad. He later switched to Jharkhand Mukti Morcha (JMM). The INDIA bloc allegedly denied him a ticket for the 2024 Lok Sabha polls from the Koderma seat. He, then, contested the Koderma seat as an Independent candidate, which led to his expulsion from the JMM. I returned to my original party after 18 months. The past 18 months were a black chapter of my life. I will work to strengthen the party in Jharkhand, he said. Marandi said that their return to the BJP would strengthen the organisation. People are joining the party as they found that no work has been done in the state in the past five years under the JMM-led alliance government. The corruption reached its peak, the law and order deteriorated and the current government failed to fulfil its promises. The people of the state have decided to uproot the government in the upcoming elections, he added. Guwahati, Sep 14 (PTI) The Congress on Saturday alleged that a party delegation was prevented by BJP members, posing as members of certain organizations, from visiting the eviction site at Sonapur, on the outskirts of Guwahati where two persons were killed in police firing two days ago. Opposition parties also decried the police firing incident, alleging failure of law and order situation in the state, while Chief Minister Himanta Biswa Sarma asserted that the government will take strong action to protect the interests of the tribal population. A drive in Kochutoli village to evict Bengali-speaking Muslim villagers had turned violent on Thursday when a section of encroachers allegedly attacked the officials carrying out the exercise with sharp weapons and stones. Police opened fire to disperse the mob, resulting in the death of two protestors. In the clash, 33 people, including 22 police personnel, were injured, Director General of Police (DGP) GP Singh had said later. There were about 300 families of illegal settlers and since the drive began 151 families were evicted and 248 bighas of land have been cleared, he added. Several Congress MLAs and leaders had gone to the village under Sonapur revenue circle of Kamrup Metropolitan district to meet the locals on Saturday, but a group of people stopped their vehicles before reaching the site. They disembarked from the cars and tried to walk to the site, but a section of local people did not allow that too, a Congress leader said. Congress' state working president and MLA Jakir Hussain Sikdar tried to reason with the locals and said the delegation came to listen to all sides. Talking to reporters near the site, he said, As people's representatives, we will raise all genuine concerns of the people. But at the same time, police shooting people dead and evicting people without notice cannot be tolerated. Those who attacked the police must also face action. We want a peaceful Assam. There is no law and order in the state anymore, Hussain asserted. The delegation returned from the area and visited the injured admitted at the Gauhati Medical College and Hospital to enquire about their condition. Addressing a press conference here later, Hussain affirmed that the Congress does not support encroachment and that illegal settlers should be evicted, but it should be carried out in accordance with the guidelines laid down by courts with provision for rehabilitation. But the government is not doing that. People were killed, police personnel injured. How did such a situation emerge? We are going to analyse that so that we can submit a report to the party and government for reinstating peace in the area. But a section of BJP members, posing as members of some organizations, prevented us. They verbally abused us, even tried to physically intimidate us, Hussain claimed, alleging that no security arrangement was made for the delegation. The MLA added that the Congress is likely to pursue the matter with the governor. Leader of opposition Debabrata Saikia has also written to Assembly Speaker Biswajit Daimary to constitute an all-party delegation, led by the Speaker, to visit Kochutoli and take stock of the situation. An AIUDF delegation called on the DGP and raised concerns about the safety and welfare of the persons evicted from the area. We have information that there are injured people in the village. The evicted people are living under the open sky by the riverside. We are concerned about their condition and wanted to check it. But our delegation was prevented from visiting the area on Friday and we have raised our concerns before the DGP, legislator Aminul Islam said. Assam Jatiya Parishad (AJP) president Lurinjyoti Gogoi alleged that the Kochutoli eviction was being carried out to divert peoples attention from other burning issues of the state. He also claimed that members of only a particular community are being evicted from the area. The BJP has been in power here for the last eight years. Why the eviction drive is being done only now? It is to try and create communal tension to hide their governments failures. Citizens forum, Assam Civil Society, has also expressed shock at the killing of two persons in the eviction drive. Stating that while eviction drives can be carried out, president and Rajya Sabha MP Ajit Kumar Bhuyan and general secretary Paresh Malakar said it must be within legal framework. It is a serious failure on the part of the police not to try out various other means like using batons, tear gas or rubber bullets to bring the situation under control instead of resorting to firing directly, they maintained. Meanwhile, Chief Minister Sarma asserted that the government was committed to protect the interests of the tribal communities. Presence of illegal immigrants in Sonapur tribal belt and block pose a great threat to tribal identity, culture, landscape, he told reporters on the sidelines of a programme here. We are taking strong action to protect the land and culture of the tribal communities, he added. Eviction operation in the area has been put on hold following Thursdays violence, an official source said. Jamshedpur, Sep 14 (PTI) AICC Executive Committee member Dr Ajoy Kumar on Saturday described the flagging-off of a Vande Bharat train from Tatanagar station here on Sunday by Prime Minister Narendra Modi as a "political event", since 80 per cent of the population here is unable to afford to travel on that train. Modi will flag off the Tatanagar-Patna Vande Bharat train at Tatanagar Junction Railway Station around 10 am. "Inauguration of the Vande Bharat train here is fine but the Modi government has failed to check rail accidents," said Kumar, the AICC In-Charge of Odisha, Tamil Nadu and Puducherry, while addressing media persons here. Kumar asked how the inauguration of trains such as Vande Bharat would matter for the 80 per cent of the populace here, whose monthly income is between Rs 18,000 and Rs 25,000. An average of 35 crore people in the country travel in ordinary category trains but the union government had already lessened the number of general and sleeper class compartments in these trains and banned passengers from travelling with waiting list tickets. This has caused immense difficulty to the common man, he said. The government is not paying importance on improving the amenities in ordinary trains, Kumar said, accusing the Modi government of working for only 2 to 3 per cent of the people. Congress leaders suggested that the Modi government should make an effort to maintain the punctuality of train services, check rail mishaps, and enhance the income of people to make them capable of travelling on Vande Bharat. Referring to the rail mishaps, the former JPCC president said the list is long and the Modi government has miserably failed to put a rein on it. Hitting out at BJP, Kumar said the party is pretending to be the sympathiser of Adivasis but the truth is that they always betrayed them even after ruling the state for a longer period in the state. Jharkhand Chief Minister Hemant Soren's government had forwarded a bill related to the "Sarna religion", a symbol of religious and cultural independence of tribal community after it was passed in the state cabinet two years ago but the union government is yet to approve it, Kumar alleged.Such an approach of the government indicates that the BJP is anti-adivasi, he alleged. Speaking about the BJP's claim of Bangladeshi infiltrators, the Congress leader rejected Assam Chief Minister Himanta Biswa Sarma's propaganda of grabbing Adivasi land by infiltrators. In an affidavit filed by the Union Home Ministry in Jharkhand High Court over the issue on September 12, it has admitted that they did not have any data regarding land grabbing after marrying tribal girls by infiltrators, Kumar said, adding that it indicated that Union Home Ministry did not have any record in this regard. Demanding the resignation of Himanta Biswa Sarma, the Congress leader asked on what basis the BJP was making such accusations. New Delhi, Sep 14 (PTI) Rich tributes were on Saturday paid to veteran Marxist leader and CPI (M) general secretary Sitaram Yechury at the party headquarters AKG Bhavan here, where his mortal remains were brought amidst chants of 'Lal Salam' from his residence this morning. Wrapped in the red flag of the CPI (M), Yechury's mortal remains were kept at the party office, where party leaders, including polit bureau members Prakash Karat, Brinda Karat, Pinarayi Vijayan and M A Baby, and others paid their tributes to the leader. Congress Parliamentary Party Chairperson Sonia Gandhi also reached the AKG Bhavan along with the other party leaders to pay her last respects to Yechury. He was the first non-Congress leader Gandhi called after she met then president Dr APJ Abdul Kalam in 2004 when she turned down the prime minister's post and rallied for Dr Manmohan Singh, an equation that survived the shock withdrawal of support by the Left to the UPA in 2008 over the Indo-US nuclear deal. Aam Aadmi Party (AAP) leaders Manish Sisodia and Sanjay Singh also paid their last respects to the departed soul at the CPI (M) headquarters. NCP (SP) president Sharad Pawar and RJD MP Manoj Jha as well paid homage to Yechury. The CPI(M) general secretary died on Thursday at a hospital here after battling a lung infection. Yechury, 72, was in a critical condition for the last few days and on respiratory support while undergoing treatment for an acute respiratory tract infection at the ICU in the All India Institute of Medical Sciences (AIIMS). He was admitted to the hospital on August 19. On Friday, Yechury's body was taken to the Jawaharlal Nehru University from the AIIMS where hundreds of students and faculty members paid their last respects to him. As a student at the JNU, Yechury was a part of the Students Federation of India (SFI), which he joined in 1974 and was arrested a few months later during the Emergency. He served as the president of the Jawaharlal Nehru University Students Union (JNUSU) thrice during 1977-78. Later, the body was taken to his residence, where senior CPI(M) leaders paid tributes to their comrade. Bharatiya Janata Party (BJP) president JP Nadda had also paid his last respects to the leader at his residence on Friday evening. He also placed a wreath on behalf of Prime Minister Narendra Modi paying homage to Yechury. Later today, his body will be taken to the AIIMS and donated for research. Yechury is survived by his wife Seema Chishti and his two children Akhila and Danish. His elder son, Ashish Yechury, passed away due to Covid in 2021. Yechury was earlier married to Indrani Mazumdar. This photo taken on Jan. 31, 2024 shows the headquarters of the Africa Centers for Disease Control and Prevention (Africa CDC) in Addis Ababa, Ethiopia. (Photo by Michael Tewelde/Xinhua) Africa CDC has called for further strengthening mpox detection and surveillance measures as the total number of cases in Africa climbed to 26,543, including 5,732 confirmed cases and 724 deaths, since the start of 2024. ADDIS ABABA, Sept. 14 (Xinhua) -- The Africa Centers for Disease Control and Prevention (Africa CDC) has called for further strengthening mpox detection and surveillance measures as the total number of cases in Africa climbed to 26,543, including 5,732 confirmed cases and 724 deaths, since the start of 2024. Africa CDC Director-General Jean Kaseya, while addressing a special online media briefing on the multi-country mpox outbreak in Africa Thursday evening, said the African continent reported 3,160 new cases during the past week alone, including 434 confirmed cases and 107 deaths, eventually bringing the total number of cases reported this year to 26,543. A child caught mpox gets treatment at a hospital in the Nyiragongo territory near Goma, North Kivu province, eastern Democratic Republic of the Congo (DRC), on Aug. 15, 2024. (Photo by Zanem Nety Zaidi/Xinhua) Noting that there has been an upward trend in the number of mpox cases since May, Kaseya said the recent upsurge in cases is mainly attributed to the increasing mpox detection capacity of African Union (AU) members. "With improved surveillance, community awareness, and disease management, we are beginning to detect more cases, which has resulted in a declining trend in the fatality rate," Kaseya told reporters. Data from the AU's specialized healthcare agency show that the cases were reported from 15 African countries across all five regions of the continent, with a case fatality rate of 2.73 percent. It said children under 15 years of age accounted for 41 percent of all confirmed mpox cases reported on the African continent so far, and males account for 63 percent of all confirmed cases. A child caught mpox gets treatment at a hospital in the Nyiragongo territory near Goma, North Kivu province, eastern Democratic Republic of the Congo (DRC), on Aug. 15, 2024. (Photo by Zanem Nety Zaidi/Xinhua) Noting that Eastern and Northern Africa regions are yet to report mpox-related deaths so far, the Africa CDC, in its latest mpox outbreak report issued Friday, said Morocco is the first country in the northern Africa region to report a confirmed case. Central Africa is the most affected region with 23,761 cases, including 5,588 confirmed cases and 720 deaths, while Eastern African countries have reported 1,644 cases, of which 19 were confirmed. Noting the rapid spread of the virus since May, mainly among countries neighboring the Democratic Republic of the Congo, a country that has emerged as the epicenter of the outbreak, Kaseya underscored the need to further strengthen cross-border surveillance measures across the affected countries. In mid-August, the Africa CDC declared the ongoing mpox outbreak in Africa as a Public Health Emergency of Continental Security (PHECS). Soon after the Africa CDC declared PHECS, the World Health Organization also declared mpox a public health emergency of international concern, activating its highest level of global alert for mpox for the second time in two years. On Friday, the Africa CDC disclosed the launch of a joint continental response plan with the WHO. The six-month plan, running from September 2024 to February 2025, has an estimated budget of nearly 600 million U.S. dollars. Of this, 55 percent is allocated to mpox response efforts in affected countries, while the remaining 45 percent is directed toward operational and technical support through partner organizations. New Delhi, Sep 14 (PTI) Delhi Education Minister Atishi on Saturday interacted with student entrepreneurs and praised their creativity and confidence. Speaking during the official launch of Business Blasters at Shyama Prasad Mukherjee Sarvodaya Vidyalaya, the minister said the programme aimed to foster entrepreneurship skills among students. This year's batch has developed remarkable ideas, including AI-based apps, ecofriendly cutlery, grass-made paper, smart plant watering systems, and organic scented candles, she said. "Children studying in schools are very creative and this programme has allowed them to recognise their creativity," the statement quoted Atishi as saying. "They will become an example of the fact that they are not dependent on anyone but can create their own future and can give opportunities to millions of other people to move forward," she added. The student entrepreneurs also shared their experiences on the programme. They said the Business Blasters programme gave them confidence and taught them skills such as time and finance management, sales, marketing, leadership, and teamwork. New Delhi, Sep 14 (PTI) A court here has refused to grant monthly interim maintenance to a woman under the Protection of Women from Domestic Violence Act, saying she is "well-capable of maintaining herself". Judicial Magistrate Geeta was hearing the woman's plea seeking interim maintenance. In a recent order, the court said, "It cannot be denied that in a matrimonial dispute, the parties have a tendency to exaggerate the shortcomings of the opposite party in order to settle scores. It is the moral duty of the husband to maintain his wife and children, and he cannot wriggle out." But according to the facts of the case, the petitioner is working in a Union ministry's office and earning more than Rs 43,000 per month, the court noted. It also noted that the woman had lived with her estranged husband for only a few months. "In the considered opinion of the court, the petitioner is not entitled to the grant of interim maintenance as she is well-capable of maintaining herself. Accordingly, the relief qua interim maintenance is declined," the court said. Bareilly (UP), Sep 14 (PTI) A court here convicted a man accused of killing a youth for opposing celebratory firing and sentenced him to life imprisonment, a lawyer said. The court of Additional District Judge Afsha convicted Rehan, 22, and imposed a fine of Rs 11,000 in connection with the five-year-old case. In November 2019, Rehan killed Salim (18) in Parshurampur village for refusing to do celebratory firing, Additional District Government Advocate (Criminal) Hemendra Gangwar said. According to police, Salim asked Rehan to stop firing in front of everyone, which led to an argument. Angered by this Rehan shot Salim in the head, the lawyer said. Police lodged an FIR on the basis of a complaint by a villager. After completing the investigation, police filed a chargesheet in the court, he said. After hearing the arguments and cross-examination of the prosecution and defence lawyers and hearing the evidence, the court convicted Rehan, sentenced him to life imprisonment and imposed a fine of Rs 11,000, the lawyer said. Lucknow, Sep 13 (PTI) The Allahabad High Court sought a detailed reply from the Uttar Pradesh government on Friday on the arrangements at the cow shelters in the state. The Lucknow bench of the court asked the director of the animal husbandry department to be present in the court during the next hearing through video-conferencing. The court also asked what is fed to the cattle in the cow shelters for Rs 30 per day. The next hearing of the case will be on September 25. The order was passed by a division bench of Justices Rajan Roy and Om Prakash Shukla on a public interest litigation (PIL) plea moved by Archana Singh Tomar. The court asked whether any survey has been conducted recently, so that it can be known how many pastures are left in the villages, whether those are still in their old form or some areas have reduced or disappeared completely. On the question of cowsheds, the state government's lawyer told the court that at present, 605 cowsheds are functional in Uttar Pradesh, in which an amount of Rs 30 per day is given for the fodder of each cattle. On this, the court asked what is fed for Rs 30. The court said it wants to know what is the mechanism for running these cowsheds and how many people have been appointed in these facilities. The court has also asked the Lucknow Municipal Corporation about the mechanism for running Kanji House and Kanha Upvan (a Lucknow-based cow shelter). New Delhi, Sep 14 (PTI) Former JNU student and activist Umar Khalid has completed four years in jail after being arrested in connection with the 2020 northeast Delhi communal riots. Communal clashes had broken out in northeast Delhi on February 24, 2020, after violence between supporters of the Citizenship (Amendment) Act and those against the legislation spiralled out of control, leaving at least 53 people dead and around 200 injured. Khalid was in December 2022 acquitted in a case related to alleged rioting, vandalism and arson at a parking lot in February 2020 but remains incarcerated in the second case under the anti-terror law Unlawful Activities (Prevention) Act (UAPA) and provisions of the Indian Penal Code for allegedly being a mastermind of the riots. The 36-year-old researcher and scholar was arrested on September 13, 2020. While charge-sheets were filed in 2020 and 2022, the framing of charges has been delayed in this case and his attempts to seek bail have been turned down by courts. He has also filed a separate plea in the Supreme Court challenging the constitutional validity of various provisions of the Unlawful Activities (Prevention) Act and it is also pending. The Act was amended in 2019 to give powers to the central government to designate an individual as a 'terrorist'. The FIR in the second case against Khaled was registered on March 6, 2020, and the UAPA provision was invoked on April 19, 2020. The main charge sheet was filed on September 16 of the same year, following which the first supplementary chargesheet was filed the next month on October 22. The second supplementary chargesheet was filed on February 23, 2022, while the third and fourth supplementary charge sheets were filed on March 2 and June 7, 2022. On September 4, a special court, while disposing of the applications of some accused seeking directions to Delhi Police for disclosing whether the investigation in the case was complete, allowed the prosecution to commence its arguments on framing of charges against the accused. The Delhi High Court, however, on September 12 asked the trial court not to pass a final order on framing of charges till September 23. The high courts order came while hearing a plea by riots accused Devangana Kalita seeking direction to the police to provide her with certain videos and WhatsApp chats in two cases, including one under the anti-terror law UAPA. Earlier on December 5, 2022, a court had discharged Khalid and United Against Hate founder Khalid Saifi in the first case related to riots, saying the allegations against them pertained to an umbrella conspiracy or the larger conspiracy of riots, instead of the conspiracy in the present case. Explaining the concept of umbrella conspiracy, the court said it was the larger conspiracy subsuming several small conspiracies hatched under it. The FIR in the case was registered on the basis of the statement of Constable Sangram Singh who said a riotous mob had pelted stones on Main Karawal Nagar Road, besides setting ablaze several vehicles in a nearby parking lot on February 24, 2020. Meanwhile, a special court dismissed Khaled's first bail on March 24, 2022, and the Delhi High Court rejected the appeal against it on October 18 of the same year, saying he was in constant touch with other co-accused and the allegations against him were prima facie true. The high court had also said the actions of the accused prima facie qualified as a "terrorist act" under the UAPA. It said that admittedly, the anti-CAA protests "metamorphosed into violent riots", which "prima facie seemed to be orchestrated at the conspiratorial meetings" and the statements of the witnesses indicated Khalid's "active involvement" in the protests. Khalid then appealed in the Supreme Court against the Delhi High Court's order, which had rejected his bail application. Khaild's bail application had been pending in the top court since April 6, 2023, and the proceedings were adjourned 13 times because of a variety of reasons. On February 14, this year, the top court was told by senior advocate Kapil Sibal, appearing for Khalid, that he wished to withdraw the application due to a "change in circumstances". Sibal said, "I wish to argue the legal question (challenging UAPA provisions) but want to withdraw the bail plea due to a change in circumstances. We will try our luck in the trial court." On May 28, however, the special court dismissed Khalid's second regular bail plea, saying its previous order of March 2022 rejecting his first bail plea had attained finality. It rejected the argument of Khalid's counsel that the Supreme Court's view about "prima facie evidence" against an accused had changed because of the grant of bail to activist Vernon Gonsalves in July 2023 and academic-activist Shoma Kanti Sen on April 5 this year in the Elgar Parishad-Maoist links case. "As according to Vernon's case as relied upon by counsel for the applicant, while considering bail, no deep analysis of the facts of a case can be done and only surface analysis of the probative value of evidence has to be done... "As such the high court has in fact did complete surface analysis of probative value of the evidence while considering the prayer of the applicant for grant of bail and after doing so it was concluded that prima-facie case is made out against the applicant," the court said. On July 22 this year, a Delhi High Court judge recused himself from hearing Khaled's bail plea, without assigning any reason and it is pending. The charge sheet against Khalid cites the testimonies of several protected witnesses. According to protected witness Bond, the chakkajam began in the national capital after a meeting between Umar Khalid, Sharjeel Imam, Asif Iqbal Tanha and others on December 13, 2019, at Jamia Campus. The said chakka jam was then to spread to the other parts of Delhi. "Umar told Sharjeel to start chakka jam at Shaheen Bagh and Asif and Saiful Islam to start chakka jam at Gate no. 7 of Jamia University. "Umar Khalid said that at the right time, they will also start chakka jam in other Muslim areas of Delhi. Umar further said that the government is a Hindu government and against Muslims and they have to overthrow the government and will do so at the right time," the protected witness said. According to the protected witness Saturn, Khalid and others met former AAP councillor Tahir Hussain at the PFI Office in the Shaheen Bagh area. "On February 10, 2020, Umar Khalid met with Jahangir Puri folk at a protest called by the Welfare Party of India. "Umar Khalid asked that since Bangladeshi live there, they must be made aware of the CAA and asked to fight against the said law," said the statements of witnesses Helium and Crypton. According to the chargesheet, on February 17, 2020, Khalid delivered a speech at Amravati, Maharashtra referring to the visit of Donald Trump, the then President of the United States of America. "Protected witness BEETA had given a statement on this count. He also stated that riots during Trump's visit to Delhi was done by the Jamia Coordination Committee with Pinjra Tod, AISA, Umar Khalid, United Against Hate (UAH), PFI and others. They used to give provocative speeches," it said. Khalid along with other students of Jawaharlal Nehru University (JNU) was also arrested by the Delhi Police in February 2016 over the alleged raising of anti-national slogans at an event on the campus against the hanging of Parliament attack mastermind Afzal Guru. They were later granted bail. New Delhi, Sep 14 (PTI) A court here has sentenced a man to five years of rigorous imprisonment for committing aggravated sexual assault on a six-year-old boy in 2017. Additional Sessions Judge Rajesh Kumar was hearing the arguments on the quantum of sentence against the 43-year-old man, who was earlier convicted under section 10 (aggravated sexual assault) of the Protection of Children from Sexual Offences (POCSO) Act. Special Public Prosecutor Nimmi Sisodia sought maximum punishment, saying the convict had committed aggravated sexual assault on the victim by kissing him and touching his private parts. In its verdict dated September 7, the court said, "Sentencing is one of the important aspects of a criminal justice system and the criminal courts are often confronted as to what appropriate punishment is required to be awarded to the convict. "The sentencing takes into account the nature of the offence committed on the victim, its impact on the society, the circumstances peculiar to the victim and the circumstances associated with the accused and (that) the sentence should not be inadequate or excessive." Taking note of the evidence before it, including the victim's deposition, the court said the convict appeared to have committed the offence after being "overpowered" by lust or passion. "Poverty and lack of criminal antecedents are not major mitigating factors. Hence, in view of the facts and circumstances of the present case, submissions (of the defence counsel and the public prosecutor), considering the age and condition of the family of the convict and the manner in which the offence was committed, the convict is sentenced to rigorous imprisonment of five years with a fine of Rs 2,000 under section 10 of the POCSO Act," the court said. It also awarded a compensation of Rs 7.5 lakh to the victim. Chennai, Sep 14 (PTI) Tamil Nadu Chief Minister M K Stalin arrived at the airport here on Saturday to a rousing reception following his fortnight-long official trip to the US to attract investments to the state. The visit was successful and met his aspirations to ensure investment to Tamil Nadu to facilitate jobs for the people and skill training for the youth, he said briefing reporters. State Ministers, officials and DMK members received him at the airport upon his arrival. It was successful in terms of attracting investments from reputed global companies including those among the Fortune 500. The 14-day overseas trip was beneficial to the state as we signed 19 Memorandum of Understanding for a commitment of Rs 7,618 crore, the Chief Minister said. Eight companies from San Francisco and 11 from Chicago inked the pact and they would ensure employment opportunities to 11,516 people through their ventures in Madurai, Tiruchirappalli, Coimbatore, Krishnagiri, Chennai, Chengalpattu and Kancheepuram, he said. Asked about AIADMK general secretary Edappadi K Palaniswami 's demand for a white paper on the investments that materialised for Tamil Nadu under his rule, Stalin replied that he has explained everything in detail on the investments that he had brought to the state in the last three years. He (Palaniswami) should read (newspapers). Not even 10 percent of the investment that Palaniswami had obtained during his tenure as Chief Minister from abroad translated into projects. I have proof. It will be shameful to him if I reveal, Stalin said. On the controversial video of the Sri Annapoorna hotel chain owner Srinivasan apologising to Union Finance Minister Nirmala Sitharaman in Coimbatore for raising crucial issues on Goods and Services Tax (GST), the Chief Minister said Srinivasan had raised a just issue on the disparity in GST. The way in which the Finance Minister handled it is shameful. People are watching. Responding to a question, Stalin said he would soon meet Prime Minister Narendra Modi and take up the issue of New Education Policy and allocation for Chennai Metro Rail Phase II project. Viewed of Thank you for reading! On your next view you will be asked to log in to your subscriber account or create an account and subscribepurchase a subscription to continue reading. VIENTIANE, Sept. 14 (Xinhua) -- The China Cultural Center in Laos and the Faculty of Letters of the National University of Laos (NUOL) have hosted a poetry event to promote the Chinese language and celebrate the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. The event was held in the Lao capital Vientiane on Thursday, attended by over 300 representatives from the Chinese embassy in Laos, the China Cultural Center in Laos, and the NUOL. During the event, Lao students and representatives from the China Cultural Center in Laos recited poems and performed dances and songs. A student from the Faculty of Letters of the NUOL said, "I like Chinese poetry very much. Chinese poetry is rich in connotation and can resonate with readers. Today's poetry recitation also evoked my longing for my hometown." Speaking at the event, Vice President of the NUOL Thongsouk Keomany said this event has built a platform for Lao teachers and students to appreciate the friendly cooperation between Laos and China, further enhancing the traditional friendship and mutual understanding between the two countries. Li Yiping, director of the China Cultural Center in Laos, said this was the first time that the China Cultural Center in Laos and the NUOL jointly organized the poetry event "Viewing the Same Moon Together -- Reading Poems for the Chinese Mid-Autumn Festival," which promotes the traditional Chinese culture and Chinese poetry into campuses and among Lao youth. Li emphasized that it is important to promote cultural exchanges between China and Laos. The Mid-Autumn Festival, taking place annually on the 15th day of the eighth month in the Chinese lunar calendar, will be observed on Sept. 17 this year. Hewlett Packard Enterprises's boss has hit back after his company was accused of 'a complete lack of humility' for suing the family of Mike Lynch following his death. Antonio Neri, chief executive of the US software group, said it was a 'difficult' decision to continue the 3billion fraud lawsuit against the British tycoon's estate. But he insisted the move was 'in the best interest of shareholders'. Lynch, 59, and his 18-year-old daughter Hannah were among seven people who died when superyacht Bayesian sank off Sicily last month. The family was celebrating Lynch's acquittal on US fraud charges relating to Hewlett Packard's 8billion purchase of his technology company Autonomy in 2011. Lawsuit: UK software tycoon Mike Lynch and his 18-year-old daughter Hannah (pictured) were among seven people who died when his superyacht Bayesian sank off Sicily last month The luxury vessel capsized and sunk off the coast of Sicily, Italy, in bad weather on August 19 whilst moored around half a mile off the coast of Porticello Antonio Neri, chief executive of the US software group Hewlett Packard, has defended their pursuit of a lawsuit against the family of Mike Lynch The decision by Hewlett Packard Enterprises (HPE) to continue with separate legal action against Lynch's estate following his death has sparked outrage. Patrick Jacob, a close friend of the entrepreneur, last week accused HPE of first 'pursuing a man through a relentless battle in the press' and now going after his widow, Angela Bacares, 57, who survived. 'Before the bodies are even laid to rest, they've already begun circling like vultures, demonstrating a complete lack of humanity,' Jacob said last week. 'This is nothing short of heartless and distasteful.' But speaking to the Financial Times yesterday, Neri, 57, said Lynch's acquittal and then death did not change HPE's plan to pursue a separate civil claim over the acquisition. He said: 'Obviously, my job as a representative of shareholders is to make the difficult decisions. 'But in the end, we are making decisions in the best interest of shareholders. 'What we saw is a sad story. But the reality of what happened does not change what happened in the past decade or so, where we believe wrongdoing was done, and therefore, we have to see through the process.' Mike Lynch, known as 'Britain's Bill Gates ', died when his 30million superyacht sank during a freak storm off the coast of Sicily The Bayesian (pictured in an undated handout photo) overturned during a severe thunderstorm in the early hours of August 19 Although Lynch was cleared of US criminal charges in June over the sale of Autonomy, HPE won a civil lawsuit in the High Court in 2022. A judge is expected to award damages by the end of the year. Friends of the late businessman Mr Lynch including Conservative MP Sir David Davis have called for HPE to drop the claim. Sir David said last month: 'I would think the wise thing for Hewlett-Packard to do in their own interest is to drop the case because they are not going to make a great deal of money out of it but they are going to make themselves very unpopular with the ordinary members of the public, picking on a bereaved family.' HPE, a corporate hardware and services business is separate from computer and printer maker HP Inc after a split in 2015. This week it was revealed an elite Italian navy unit was sending divers and robots down to the wreck of Mr Lynch's yacht the Bayesian, which is currently lying more than 160ft below the waters surrounding Porticello, Sicily, after sinking on August 19. Questions over how the 30 million vessel, which measured more than 180ft in length, sank so quickly that the seven of the 22 people onboard at the time died, have been raised by investigating authorities. In an attempt to answer these questions, the Italian navy is sending down six divers from its elite Comsubin unit, the equivalent of the British Special Boat Service, a source close to the investigation told the Times. British tech tycoon Mike Lynch with his wife Angela Bacares, who survived the Bayesian disaster but could now be targeted by HPE pursuing their 3billion lawsuit Tech entrepreneur Mike Lynch, who died in August, pictured at his Suffolk farm Hannah Lynch, the 18-year-old daughter of tech entrepreneur Mike Lynch The elite divers will be searching for electronic equipment, including CCTV and data storage, and looking to see if doors were left open at the time of the sinking. The trip which ended in tragedy had been part of Mr Lynch's celebrations with family and friends after he was acquitted in a San Francisco court earlier this year of fraud and conspiracy charges. Mr Lynch had potentially faced up to 20 years in a US prison if found guilty of 16 counts of conspiracy, and securities and wire fraud, which he denied. The charges related to a business deal that was hailed at the time as his crowning glory the 8.6billion sale of his software and data company Autonomy to US computer giant Hewlett-Packard in 2011. Mr Lynch personally made more than 500million from the deal, only for HP to later wrote down three-quarters of the value of Autonomy only a year after buying it. The US company fired Mr Lynch while accusing accusing him and other executives of having grossly inflated its size and profits during the sale. He had previously lost a 2019 civil fraud case based on similar allegations that HP now Hewlett Packard Enterprises (HPE) brought in the UK, with London's High Court ruling in 2020 that HPE had 'substantially won' its case. His separate three-year battle to avoid being extradited to face criminal charges culminated in Lynch going to the High Court to argue that American prosecutors were guilty of legal overreach which threatened UK sovereignty and its citizens. Mike Lynch when he was managing director of the Autonomy corporation which developed software for the web Mr Lynch at Autonomy's headquarters at Cambridge Business Park in 2000 His plea was rejected and in May last year he was flown to California, accompanied by the U.S. Marshals Service, still protesting his innocence. And on June 6 this year he was acquitted of fraud by a jury in San Francisco, while former Autonomy finance executive Stephen Chamberlain, who faced the same charges, was also acquitted on all counts. In his first interview after the charges were rejected, Mr Lynch told how he had feared he would die in prison - saying that medical issues meant he felt it would have been 'difficult to survive' time behind bars. Mr Lynch and his wife are thought to have lived for the last 15 years at their Georgian manor house in Suffolk, with a farm set in 69 acres of grounds and woodland alongside 2,500 acres of mainly arable farmland. Shein may be hit by a crackdown in the US over a trade loophole. President Joe Biden and Vice President Kamala Harris have proposed to change rules that mean the online fashion giant can dodge tariffs on parcels worth $800 or less. Chinese retailers including Shein and Temu have exploded in popularity over the last few years due to their ultra-cheap products. Tariff loophole: Chinese retailers including Shein and Temu have exploded in popularity in the US and Europe over the last few years due to their ultra-cheap product Part of their success comes down to how they can ship products directly to shoppers. But retail rivals and policymakers, including in Europe and the UK, have criticised related tax loopholes. Navtej Dhillon, the deputy director of Bidens National Economic Council, said there has been a drastic increase in exempt shipments. The number of these imports has increased from around 140m to more than a billion in the past decade, the White House said. They have made it increasingly difficult to target and block illegal or unsafe shipments coming into the US, Dhillon added. In Europe, the European Commission is reportedly getting ready to whack custom duties on parcels shipping from outside the EU. Currently, goods under 150 can be imported into the European Union from outside it without any duties. Pubs and restaurants have urged the Chancellor to stop a billion-pound tax bombshell that could quadruple their bills. UK Hospitality said firms face a devastating cliff edge in April when business rates relief is set to end. The group, which represents more than 100,000 venues, is calling for permanent reform to replace the Covid-era aid. With the relief, all hospitality and leisure businesses have 75 per cent shaved off their business rates bill, with the discount capped at 110,000 per firm. Tax threat: Business rates relief, which gives hospitality and leisure businesses up to 75% off their rates bills, is due to come to end on March 31 Business rates are a local levy based on the value of a commercial property meaning shops pay a premium compared to online giants such as Amazon. During the pandemic, there was 100 per cent relief between 2020 and 2022, then 50 per cent the following year. It was then extended to 2025. But the relief is set to end on March 31, whacking hospitality firms with an extra 928million bill. Labour have pledged reforms and said the system disincentivises investment, creates uncertainty and places an undue burden on our high streets. In its manifesto, the party promised to replace the business rates system, so we can raise the same revenue but in a fairer way. But businesses are worried reforms will take too long and waves of venues could close before then. High Street firms have called for Rachel Reeves to take urgent action at her first Budget on October 30. They want to see a permanent, lower rate used to calculate how much businesses should pay, meaning lower bills. Concerns have been exacerbated by Reeves warnings that there are difficult decisions to come in the Budget. Business taxes are among those she has not ruled out hiking as she claims she has to plug a 22billion black hole in the countrys finances. There are also worries over a potential increase to capital gains tax and a raid on pensions. Business rates are another headache for bosses as they await more details over Housing Secretary Angela Rayners plan for workers rights. Some have cautioned that the package of reforms, such as day one basic rights like sick pay, could hit jobs and economic growth. A lower, permanent and universal rate, or multiplier, for hospitality would be a critical first step to deliver that change. Kate Nicholls, chief executive of UK Hospitality, said: Hospitality businesses are facing a devastating cliff edge next April, when many will see their bills quadruple. The scale of this almost billion-pound tax bombshell is just not viable. Many will face risk of closure, be forced to let people go to stay afloat, or shelve their investment plans. A typical pub in a market town could see an increase of just over 11,000 to their bill, while standard seaside hotels could face an increase of 40,000, according to UK Hospitality. A Treasury spokesman said: We are committed to a fairer business rates system. Elsewhere on the High Street, retailers including Currys and Marks & Spencer have called for the rates system to be reformed. Strike: Boeing staff walked out after rejecting a 25% pay rise. The move halted production of the 737 Max jet at its sites in Seattle Boeing is facing further turbulence after workers downed tools in the first strike for 16 years. Staff at the troubled planemaker have walked out after rejecting a 25 per cent pay rise. The move halted production of the 737 Max jet at its sites in Seattle in the US. It was the first strike since 2008 and came weeks after Kelly Ortberg was appointed chief executive to restore faith in the company after a door panel blew off a new 737 Max jet in mid-air in January. Some 30,000 members of the International Association of Machinists and Aerospace Workers, who produce Boeings 737 Max and other jets, took part in the vote with 94.6 per cent rejecting the new contract and 96 per cent backing strike action. A long strike could badly hit Boeings finances, which are already groaning under a 45billion debt pile. Analysts at investment bank TD Cowen think a 50-day strike could cost it up to 2.7billion of cash flow. TEHRAN, Sept. 13 (Xinhua) -- Iranian President Masoud Pezeshkian said on Friday his three-day trip to Iraq was aimed at promoting unity and solidarity between the two countries. He made the remarks in an address to reporters upon his arrival in the Iranian capital Tehran from Iraq, while elaborating on the outcomes of the visit, according to a statement released by the website of his office. He noted that his discussions with Iraqi President Abdul Latif Rashid, Prime Minister Mohammed Shia' al-Sudani, and other senior officials covered political, economic, cultural, and security ties. Pezeshkian added that, in addition to signing 14 memoranda of understanding, it was agreed during the trip that teams from both countries would develop long-term strategic plans to be signed in the future. Heading a high-ranking delegation, Pezeshkian arrived in the Iraqi capital Baghdad on Wednesday for his first foreign visit since assuming office as Iran's ninth president in late July. BEIJING, Sept. 14 (Xinhua) -- China's consumer market has maintained stable recovery this year with expanding retail sales, official data showed Saturday. Retail sales of consumer goods went up 2.1 percent year on year in August, the National Bureau of Statistics (NBS) said in a statement. Rural consumption gained 3.9 percent last month from a year ago and the catering sector saw its revenue rise by 3.3 percent -- well above the average level, according to the data. In terms of products, sales of communications equipment climbed 14.8 percent, while sales of cereals, oil and food went up by 10.1 percent. Medicine sales increased by 4.3 percent, and that of home appliances and audio and video products rose by 3.4 percent. In the first eight months of 2024 combined, retail sales of consumer goods increased 3.4 percent compared to a year earlier. Online sales remained a bright spot, rising by 8.9 percent year on year in the January-August period. In particular, sales of physical goods increased by 8.1 percent and accounted for a quarter of total retail sales. Commenting on the data, NBS spokesperson Liu Aihua highlighted the recovery trend of the consumer market, especially in services, new-type consumption and online sales. Recent vibrant summer travel and strong cultural and tourism demand underlined the great potential of service consumption, while digital and green consumption also emerged as a new fashion and favorites of consumers, Liu said. China has rolled out an array of measures to boost consumption this year, including a program promoting large-scale equipment upgrades and consumer goods trade-ins. Recently, the government further stepped up efforts to encourage the purchase of automobiles and home appliances, among others. While recognizing policy impacts, Liu also said that consumption recovery still faces restraints, prompting authorities to channel even more energy into strengthening consumer sentiment and stimulating domestic demand. The presence of improved government policies and better products and services on the market, means the potential of consumption will be unleashed at a faster pace and thus the consumer market will have a more solid foundation for recovery, Liu added. ADEN, Yemen, Sept. 14 (Xinhua) -- A European Union (EU) naval mission said on Saturday that new attempts are underway to salvage an oil tanker ablaze in the Red Sea after attacks by Yemen's Houthi forces. The EU naval mission, Operation Aspides, shared images on social media platform X showing its vessels escorting rescuing ships to the Greek-flagged oil tanker Sounion. The photos were dated Saturday. "The salvage operation of the MV SOUNION is essential in order to avert a potential environmental disaster in the region," the mission said in a statement. "To achieve this, several public and private actors are working together." The mission added that its assets were "actively involved in this complex endeavor, by creating a secure environment, which is necessary for the tugboats to conduct the towing operation." The Sounion vessel, carrying about 1 million barrels of crude oil, was attacked by Houthi forces on Aug. 21 with small arms, projectiles, and a drone boat. The 29-member crew, including Filipinos, Russians, and private security personnel, were evacuated by a French destroyer to Djibouti. Houthi forces later released footage on Aug. 29 showing them boarding and placing explosives on the oil tanker, detonating blasts that threatened a major oil spill in the Red Sea. The Houthi group, which controls large swathes of northern Yemen, has been attacking international shipping near the country's coastline since November 2023, allegedly in support of Palestinians in the Israel-Hamas conflict. The U.S.-led naval coalition in the region has intensified strikes against Houthi military sites in response, further escalating the conflict. GUANGZHOU, Sept. 14 (Xinhua) -- Li Yanhua vividly recalls a heated debate between farmers and village officials over whether members of the rural community living away should be entitled to collective economic dividends. The discussion was intense because the outcome would be forwarded to the Legislative Affairs Commission of the Standing Committee of the National People's Congress (NPC), China's top legislature. "Through the local legislative outreach office mechanism, grassroots voices can be conveyed directly to the top legislative authority," said Li, who works at a legislative outreach office in the city of Jiangmen, Guangdong Province, south China. NETWORK The establishment of local legislative outreach offices across the country was first proposed at the Fourth Plenary Session of the 18th Central Committee of the Communist Party of China in 2014. A decade later, the NPC Standing Committee has set up 45 local legislative outreach offices, in addition to a network of over 7,300 such offices nationwide for the provincial and city-level legislatures. The mechanism has ensured the direct representation of public opinions in lawmaking, a highlight of China's whole-process people's democracy. Sun Zhenping, deputy director of the Legislative Affairs Commission of the NPC Standing Committee, noted that this expansion has "facilitated people's orderly participation in the national legislative process and enriched the practice of whole-process people's democracy." The Hongqiao sub-district office in Shanghai was one of the first four local legislative outreach offices set up in 2015. Its first task was to gather public feedback on the draft Anti-Domestic Violence Law. Wu Xinhui, director of Shanghai KM Law Firm, participated in the process. At the time, she was handling a case involving a paralyzed elderly man abused by his daughter. Wu suggested that the Anti-Domestic Violence Law should include provisions to protect the elderly. "Seeing my recommendation incorporated into the final law was an exciting moment," Wu said. From 2015 to July 2024, more than 30,500 suggestions on 185 draft laws, legislative work plans and review work were submitted through local legislative outreach offices, of which over 3,300 had been taken. PEOPLE'S VOICES "Legislation has always seemed like something grand and out of reach, something we weren't qualified to participate in, let alone see our suggestions be included in legal texts," said Hu Feiyang, a management official with an industrial park in Heping County, Guangdong Province. As a veteran of the industrial park, Hu made suggestions for a regulation aimed at promoting the high-quality development of Guangdong's manufacturing sector. When the regulation came into effect in March this year, Hu found that his suggestion for differentiated evaluation of industrial parks based on actual development situations had been incorporated into the regulation. "I felt a strong sense of pride seeing that the whole-process people's democracy in action," Hu said. Liang Yingyan, an official with the Hongqiao sub-district office in Shanghai, said it was important to hear the voices of ordinary people as the top legislature already has lots of expert resources. Tan Huosheng, a professor at Tsinghua University, noted that any comprehensive democratic process requires the participation of people from diverse backgrounds. This inclusiveness extends to foreigners living in China. Simon Lichtenberg, the Danish CEO of Trayton Group, once attended a meeting held by the Hongqiao legislative outreach office soliciting suggestions for the revised Company Law. "I found it fascinating that a foreigner could make suggestions on a Chinese law," said Lichtenberg, adding that he later learned that his suggestion on employee participation in board meetings had been adopted. "Of course, this may not be the view of just one person, but the key point is that this wasn't just for show. Genuine feedback was sought," said Lichtenberg, who has lived in Shanghai for over 30 years. Lichtenberg was impressed by the process, during which staff from the Legislative Affairs Commission of the NPC Standing Committee found people relevant to different laws in communities across the country and gathered their opinions through the efficient and effective system. Before adoption, each law requires several rounds of deliberation and public feedback from ordinary citizens as well as legal experts, Lichtenberg said. "This is exactly democracy." A farmer who is accused of assaulting Minister of State Anne Rabbitte when throwing a bag of cow dung at her at a public meeting told gardai he went to the meeting with no intention to harm anyone. In a prepared statement to gardai regarding the events at a public meeting at OSullivans hotel in Gort, County Galway on January 4, 2023 concerning a planned biogas plant for Gort, Joseph Baldwin recalled saying before throwing the first bag of cow dung in the direction of Ciaran Cannon TD if they are going to bring shit into the town here is some for ye. Mr Baldwin said that the first bag fell two to three feet from Deputy Cannon and he that threw the second bag in the direction of Ms Rabbitte and it brushed off someone else and fell on the floor near her. Mr Baldwin said that earlier that day I went down to the farmyard and put dry cow dung into ziplock bags. There was no smell or odour off the bags and I made sure that they were secure." He added: They were very light - like a featherweight. I thought all the TDs and councillors would be at the top table where I could leave the bags in front of them. On why he decided to bring the bags, he said: On the evening in question I said to myself, I have had enough, something had to be done for them to listen and show them that it was unacceptable for the community to be treated like this. He said: I went to that meeting with no intention to harm anyone. In my own mind, I thought that it might be a way of getting through to two Government TDs that they were clearly not helping or listening to the people of our own community. In his statement, he added: I am not apologising because I believe that all I was doing was making the views of the community known and I didnt hurt anybody in the process. I am just a normal, decent person thinking about my community and the people who live in it. However, earlier in evidence during Friday's contested hearing, Ms Rabbitte - a Galway East TD and Minister of State at the Deptartment of Health and at the Department of Children, Equality, Disability, Integration and Youth - wept tears in the witness box as she recounted the incident at the packed public meeting. She told the court that she was picked out by Joseph Baldwin. There was no safety. I didnt feel safe in a public space with the people that elected me," she said adding: I felt I was the most vulnerable person in the room. Ms Rabbitte told the court that she "didnt feel protected or feel safe there" and adding: "I felt I was being attacked. My space was invaded. We all have buffer zones but my buffer zone was totally removed. I defended myself as best I could. I felt that I was being struck. Asked why she later went to the gardai that night after the public meeting, Ms Rabbitte said: There is a line and I felt it was crossed that night and no one spoke up for me and I had to speak up for myself that night. In the case, Mr Baldwin, aged 39, of Ballyaneen, Gort, County Galway denies assaulting Anne Rabbitte on January 4, 2023 at the public meeting, contrary to Section 2 of the Non-Fatal Offences Against the Person Act. Recounting the incident, the Galway East TD said that she saw a man had flung a bag of contents towards her constituency colleague, Ciaran Cannon. CCTV footage played to the court showed Mr Baldwin saying here is a bag of cow shite for you before throwing the bag in the direction of Deputy Cannon. The bag fell at the feet of Deputy Cannon. After witnessing Mr Baldwin throwing the bag towards Deputy Cannon, Ms Rabbitte told the court that she thought Jesus. God help us. What is after happening to Ciaran? How will he recover from that one? The CCTV footage showed Mr Baldwin turn and then throw a bag in the direction of the Minister of State who said that the man was incredibly angry, his face was red. She added: The white of his eyes I wont forget. Ms Rabbitte said that the man said to her "'I am not forgetting about you, there is one for you Rabbitte' and then he flung a bag as well. After the bag fell on the ground beside her, Ms Rabbitte said: I didnt know if the two legs were going to go from under me, adding: Someone picked it up and said that it was a bag of shit. In her evidence, she added: I wanted to scream my head off because no one said that what was happening was wrong. I grabbed myself - no one said to me that it was wrong, no one said what happened was wrong. No one said what happened to Ciaran Cannon or myself was wrong. Ms Rabbitte said that she distracted herself at the meeting by taking out her phone and sending out a tweet I cant believe a bag of sh1t has been thrown at me. On going to the local garda station to have what occurred noted, Ms Rabbitte said: It is tough we do what we do but I love it and it is a privilege and I apologise judge to be bawlin my way through it, but someone had to speak up. Solicitor for Mr Baldwin, Daragh Hassett said that eight eye witnesses who were present on the night gave statements to the gardai said that the bag thrown by Mr Baldwin did not hit Ms Rabbitte. Mr Hassett said that Ms Rabbitte had recoiled from her statement to gardai where she said that the bag hit her on the torso and now says she felt it hit her. "It is quite clear from the footage that no bag struck you at all," he put it to her. I still feel it. You cant deny me my feelings," she replied. Mr Hassett told Ms Rabbitte, after hearing her evidence, that she is "a very unreliable historian and he described her direct evidence as "quite emotive". During cross examination, Mr Hassett put it to the witness: "This isn't the Dail now, you can't be making speeches." HE said that Ms Rabbitte told gardai that the bag had struck Deputy Cannon and he pointed out Deputy Cannon had told gardai that the bag did not hit him but instead landed at his feet. Mr Hassett said that her statement to gardai that she was struck by the bag was inaccurate and misleading when seen alongside the CCTV evidence which shows that the bag did not hit her. Mr Hassett said: It is not assault. At the end of the States case against Mr Baldwin, Mr Hassett made an application that the assault case against Mr Baldwin be dismissed. Counsel for the State, Geri Silke BL conceded that Ms Rabbitte was incorrect to say to gardai that the bag struck her but argued that the assault charge stood on the apprehension ground. Ms Silke said: She is obviously mistaken about the bag hitting her. She felt that it hit her and she believed it hit her." Mr Hassett submitted that Ms Rabbittes credibility is shot. He said: Her version of events has turned on its head in 20 months. She told the gardai with absolute certainty that she was struck by a bag on her right upper body. He said: The CCTV footage clears my client as no bag hit Ms Rabbitte." He further added: Her demeanour in the witness box was emotional from the get go with Ms Silke. As soon as the white flag dropped she was in tears and under cross examination there wasnt a tear to be shed and she was able to robustly interact with me in terms of her answers because I put it to her repeatedly that what she said did not stack up. Mr Hassett said that Ms Rabbitte has changed her story to suit the narrative because she knows that camera proves that nothing struck her and nothing struck Ciaran Cannon. The solicitor said that CCTV evidence which shows that the bags did not hit Deputy Cannon or Deputy Rabbitte only became available in January of this year - months after the DPP directed to prosecute Mr Baldwin for assault. Mr Hassett argued that if the DPP had that footage and Ms Rabbittes version of events today, his client would not have been prosecuted for assault. He said: Nothing struck her. She was not assaulted. He noted that Ms Rabbitte told the gardai that the bag hit her on the shoulder and the camera footage has proven Ms Rabbitte did not tell the gardai the truth. Mr Hassett said: I am not condoning Mr Baldwins gesture. It might have been stupid, or silly but he didnt break the law. Judge Alec Gabbett adjourned the case to Ennis District Court on October 2 where, he said, he will deliver his ruling on the defence application to dismiss the case. This weekend the Kansas City Star platforms a sketchy premise with a tacit threat of a legal battle if local activists don't get their way. Here's more promotion by way of dead-tree media . . . "The planned facility would not go in anyones backyard. Instead, reStart would convert and renovate a run-down hotel, the La Quinta Inn off Interstate 35 and 95th Street. The area, already zoned for a shelter, borders an industrial park, big-box retailers, restaurants and other businesses. An abandoned restaurant building on the lot would provide storage and future office space for the shelter. "Lenexa should celebrate. Yet city planners want to fight the project instead. They recommended denial of a special use permit at a public hearing in August. The matter now goes to City Council on Sept. 17." Read more via www.TonysKansasCity.com link . . . For late night and early morning weekend denizens of the discourse we share more than a few relevant items that are much more important than all of the pointless foodie & party news that typically dominates this cowtown amid Autumn. And so, because we can't all be hotties constantly gulping down PSL in a big-dumb-hat with Spock eyebrows . . . Our blog community humbly serves as a refuge for a more nuanced glimpse of the local discourse. Check TKC news gathering . . . Local Shelter From Storm Kansas City shelter rescues animals following Hurricane Francine Kansas City's Wayside Waifs said they anticipate the animals will begin arriving at the animal shelter on Monday and Tuesday. JoCo Tragedy Aftermath Man dies from electrocution in Overland Park tree-trimming incident The electrocution was reported just before 2:15 p.m. in the 12900 block of Connell. Junking Nicer Neighborhoods Northland neighbors question why Kansas City crews are leaving trash bags on streets People in the Northland want answers about why city workers are throwing garbage bags collected from new rolling trash carts directly onto the street. Tragedy Tribute Cont'd Fiesta Hispana honors Lisa Lopez-Galvan Fiesta Hispana kicked off Friday night in the West Bottoms and continues through Sunday, but there is one vital piece missing from the event: Lisa Lopez-Galvan. Baller Season At Rick Source: Chiefs' Brown needs surgery, to go on IR Chiefs wide receiver Marquise Brown will undergo surgery for his sternoclavicular injury and be placed on injured reserve, a source confirmed to ESPN. Diva Knows What Sells Tate McRae goes NAKED for latest video 'it's ok I'm ok' Tate McRae is pushing the boundaries of taste in her new music video which appears to show her going completely naked, triggering a strong reaction from fans. White House Pushes Back Against Internets Propaganda Biden administration expected to unveil new evidence of RT's key role in Russian intelligence operations globally | CNN Politics The Biden administration is expected on Friday to announce a major effort to blunt the global influence of RT and expose what it says is the Russian state media network's key role in the Kremlin's global intelligence and influence operations, according to a senior administration official and three other sources familiar with the matter. MAGA Embraces Rising Star Far-right activist Laura Loomer pushes back amid criticism of her proximity to Trump Laura Loomer, the social media figure and far-right activist, has accompanied former President Donald Trump to several events this week. Veep Won't Discuss Socialism Harris dodges question on lowering prices by describing 'middle-class' roots: Neighbors 'proud of their lawn' Vice President Kamala Harris went viral for an exchange she had with a Philadelphia anchor, who asked her how she would specifically lower prices for Americans. MAGA Offers Leeway Trump says he doesn't control far-right agitator Laura Loomer, calls her a 'free spirit' | CNN Politics Former President Donald Trump said he doesn't control far-right agitator Laura Loomer, whom he described as a "free spirit" and "supporter," in response to CNN's question about his allies expressing concern about their close relationship in recent days. Swifties Rock Vote?!? Taylor Swift Drove More Than 400,000 People to Vote.Gov After Endorsing Kamala Harris Taylor Swift prompted over 400,000 people to visit the voter registration site, Vote.gov, following her endorsement of Kamala Harris. El Papa Debunks Partisan Myths Pope Francis says Kamala Harris and Donald Trump "both against life" for stances on abortion, immigration Asked to advise Catholic U.S. voters on the choice between Kamala Harris and Donald Trump, Pope Francis had harsh words, but no firm guidance. All Bets Off On Campaign 2024 After just a few hours, U.S. election bets put on hold by appeals court ruling Just hours after it began, legal betting on the outcome of U.S. Congressional elections has been put on hold by a federal appeals court. Pet-Eating Memes Spark Chaos Bomb threats force second consecutive day of school closures in Springfield, Ohio SPRINGFIELD, Ohio - Bomb threats on Friday forced the evacuation and closure of public schools and municipal buildings for a second consecutive day, as the city continues to deal with sudden national attention that has included false claims against its Haitian population. Don't Test Vlad?!? Putin's options for Ukraine missiles response includes nuclear test, experts say Vladimir Putin's options to retaliate if the West lets Ukraine use its long-range missiles to strike Russia could include striking British military assets near Russia or, in extremis, conducting a nuclear test to show intent, three analysts said. Holy Land Stateside Fights Spike One shot and another arrested after clash at Massachusetts pro-Israel rally Man reportedly attacked pro-Israel demonstrator, who then allegedly pulled out gun and shot assailant Conviction Postscript 3 Americans among dozens sentenced to death in Congo coup attempt A military court in Congo has convicted 37 people, including three Americans, on charges of taking part in a coup attempt and sentenced them to death. Former Boy Band Bargaining Watch live: Justin Timberlake to make statement as part of plea deal after DWI arrest on Long Island Justin Timberlake has agreed to a plea deal, and will make a public safety announcement following his DWI arrest over the summer in Sag Harbor, Long Island. Spicy Tech Talk Exposed The best sexting apps for steamy chats and discreet digital encounters in 2024 Check out the top sexting apps. From longtime favorites like Snapchat to newcomers like SextPanther, these apps keep your private convos, well, private. Home Team Looks Impressive Royals put up runs early, Marsh whiffs Pirates in 8-3 victory Alec Marsh tied a career-high with 11 strikeouts. Tigers Debate Luncheon Mizzou faculty council supports complaint against Mun Choi for 'Welcome Black BBQ' controversy University of Missouri's Black Studies Department demanded that MU Chancellor and UM System President Mun Choi apologize for suggesting the use of "Black" in an event name implies exclusion. The department also noted declining Black student enrollment. Kansas Saves Planet?!? Kansas State University researchers say carbon sequestration on farms can combat climate change * Missouri Independent Farmers can help combat climate change and improve the health of their soil by switching to natural fertilizers and minimizing tilling. Local Slice Of Life Tribute Waldo Pizza shares a slice of its profit with Shaun Brady's restaurant staff at Brady & Fox Waldo Pizza is sharing a piece of its profit with Brady's KC weeks after its owner Shaun Brady was fatally shot. Forecast For This Weekend Kansas City weather: Humidity increases this weekend Kansas City can expect a mix of sun and clouds this weekend with rising humidity and a slight chance of evening sprinkles. And this is the OPEN THREAD for right now. KINSHASA, Sept. 14 (Xinhua) -- The Democratic Republic of the Congo (DRC), the "epicenter" of the ongoing mpox outbreak in Africa, will launch its first phase of the vaccination campaign on Oct. 2, the government announced late Friday. The vaccination effort, which runs until Oct. 11, follows the receipt of over 265,000 doses from international partners, according to the minutes of the weekly council of ministers meeting. "The process of procuring 3,000 doses of vaccines for children is sufficiently advancing," the minutes said. A report released Friday by the Congolese Ministry of Health revealed that the DRC, considered the "epicenter" of the current mpox outbreak, has reported 21,813 suspected cases, including 716 deaths since the start of 2024, accounting for about 90 percent of cases in Africa. The World Health Organization (WHO) announced the approval of the MVA-BN vaccine, developed by Bavarian Nordic A/S, as the first mpox vaccine added to its prequalification list. The ongoing mpox outbreak in Africa was declared a public health emergency of international concern by the WHO in mid-August, with the emergence of the poorly understood but more dangerous clade 1b variant, first detected in the DRC in September 2023. This strain has since been reported in countries including Sweden and Thailand. The top writer on faith & morals in Kansas City seems to tacitly acknowledge that there is little enthusiasm for slavery payback as we endure another election season. Nevertheless, local discussions persist and so former Kansas City Star newsman Bill Tammeus hopes to inspire the "people of the book" to take part . . . Here's the word . . . "In many places where communities are considering options for reparations, the work is being led not so much by people of faith who are acting as representatives of their religions but by politically appointed folks who see their work as a secular civic duty. In some cases, including in Kansas City, they are doing good work toward finding some kind of solution that can garner enough political support to be implemented. And I wish them success. You can follow the work of the Kansas City Reparations Coalition here and the Mayor's Commission on Reparations here. But in much of this work, the voices representing institutional religion seem either mostly silent or unable to get much of a public hearing and response for their ideas. Read more via www.TonysKansasCity.com link . . . Faith Matters Blog: Work on 'reparations' needs more voices of faith Sorry, but anybody who cares about journalism should agree with the obvious . . . THE ABC "DEBATE" WAS NOTHING MORE THAN AN AMBUSH AGAINST FORMER PREZ TRUMP!!! From the vantage of TKC, the totally lopsided "fact check" count is the most credible evidence of more than just bias but outright collaboration with the Veep and Democratic Party. Longtime readers know that we've clowned the former Prez on many occasions and mostly giggle at the politics of a former game show host. However . . . What was more disturbing was watching "journalists" participate in politically motivated trickery that didn't just target MAGA but also undermined the discourse for American voters. Sadly, there are consequences for all of us after this kind of display . . . And so we ask our TKC blog community wherein the most vocal element of the readership leans conservative: SHOULD SENATOR HAWLEY DEBATE AFTER THE ABC AMBUSH OF MAGA?!? We didn't talk about this facet of the debate much so we'd like to read what readers think. In the meantime . . . Here's a preview as of this writing . . . The Missouri Press Association is hosting the two political forums during its annual conference on Friday, Sept. 20 at The Historic Fox Theatre at 157 Park Central Square. The forums are not open to the public but will be livestreamed. Who is participating in the debates? According to MPA Executive Director Mark Maassen, Republican Mike Kehoe, Democratic candidate Crystal Quade, Libertarian Bill Slantz and Green Party candidate Paul Lehmann have committed to the gubernatorial forum. Democratic candidate Lucas Kunce, Better Party candidate Jared Young and Green Party candidate Nathan Kline have committed to the U.S. Senate forum. Republican Josh Hawley had not reported a commitment by mid-week. Read more via www.TonysKansasCity.com link . . . Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, delivers an important speech at a meeting to celebrate the 70th founding anniversary of the National People's Congress (NPC) at the Great Hall of the People in Beijing, capital of China, Sept. 14, 2024. (Xinhua/Xie Huanchi) BEIJING, Sept. 14 (Xinhua) -- President Xi Jinping emphasized continuous efforts to uphold, improve and run the system of people's congresses, China's fundamental political system, to good effect at a meeting held here on Saturday to celebrate the 70th founding anniversary of the National People's Congress (NPC). Xi, also general secretary of the Communist Party of China (CPC) Central Committee and chairman of the Central Military Commission, stressed the need to further firm up confidence in the path, theory, system and culture of socialism with Chinese characteristics in developing whole-process people's democracy. Addressing the meeting, attended by about 3,000 people, Xi said that the system of people's congresses is the outcome of the Chinese people's arduous exploration and long-term struggle under the leadership of the CPC. He called the system "an entirely new political system that has grown from Chinese soil," hailing it as a great invention in the evolution of political institutions in human history. The NPC is China's highest organ of state power. The first session of the first NPC was convened In September 1954. The establishment of this system marked a profound transformation in China's political landscape, signifying a shift from a system where the power was concentrated in the hands of a few while the majority faced oppression and exploitation, to one that empowers the people to govern the country as its masters under the Party's leadership, Xi said. Over the past 70 years, the system of people's congresses has demonstrated its clear political strength, providing an important institutional guarantee for creating miracles of rapid economic development and long-term social stability, Xi noted. It is a sound system that embodies the country's socialist nature, he said. Noting that the system of people's congresses is an important institutional vehicle for realizing whole-process people's democracy in China, Xi said it is imperative to uphold the CPC's leadership and adhere to using institutions to ensure that the country is run by the people. He stressed the need to give full play to the role of people's congresses in ensuring full and effective implementation of the Constitution and laws. Efforts must be made to ensure people's congresses play a leading role in legislation, Xi said, calling for more legislation in key, emerging and foreign-related fields. He emphasized the key role of people's congresses in the oversight of both the Party and the country to ensure that all state organs exercise their powers in accordance with the law and that the legitimate rights and interests of the people are safeguarded and realized. People's congresses should play an exemplary role in maintaining close ties with the people and serve as the bridge that links the Party and the state with the people, he said. Li Qiang, Wang Huning, Cai Qi, Ding Xuexiang and Li Xi, who are members of the Standing Committee of the Political Bureau of the CPC Central Committee, and Vice President Han Zheng, attended the meeting. The meeting was presided over by Zhao Leji, also a member of the Standing Committee of the Political Bureau of the CPC Central Committee and chairman of the NPC Standing Committee. Xi Jinping, Li Qiang, Zhao Leji, Wang Huning, Cai Qi, Ding Xuexiang, Li Xi and Han Zheng attend a meeting to celebrate the 70th founding anniversary of the National People's Congress (NPC) at the Great Hall of the People in Beijing, capital of China, Sept. 14, 2024. (Xinhua/Ju Peng) Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, delivers an important speech at a meeting to celebrate the 70th founding anniversary of the National People's Congress (NPC) at the Great Hall of the People in Beijing, capital of China, Sept. 14, 2024. (Xinhua/Zhai Jianlan) Zhao Leji, a member of the Standing Committee of the Political Bureau of the Communist Party of China Central Committee and chairman of the National People's Congress (NPC) Standing Committee, presides over a meeting to celebrate the 70th founding anniversary of the National People's Congress at the Great Hall of the People in Beijing, capital of China, Sept. 14, 2024. (Xinhua/Yan Yan) A meeting is held to celebrate the 70th founding anniversary of the National People's Congress (NPC) at the Great Hall of the People in Beijing, capital of China, Sept. 14, 2024. (Xinhua/Zhai Jianlan) NATO Secretary General Jens Stoltenberg has called Russia's full-scale invasion of Ukraine a "turning point" and believes the alliance could have done more to prevent the war. He stated this in an interview with the German news outlet Frankfurter Allgemeine Zeitung, Ukrinform reports. When asked what could or should have been done differently to prevent war, the politician replied: "It's a pity that the allies and NATO in particular did not do more to strengthen Ukraine earlier. If Ukraine were stronger militarily, the threshold for an attack by Russia would be higher. It is impossible to say whether it would have been high enough Our training and equipment were quite limited. We could have done much more." According to him, instead of strengthening Ukraine militarily, the allies were debating whether to supply sniper rifles. And the United States did not want to supply anti-tank missiles to Ukraine for a long time "so as not to provoke Russia." "Now we are arming Ukraine for war, back then we could equip Ukraine to prevent war," Stoltenberg said. Stoltenberg said he was not surprised when Russia attacked Ukraine on February 24, 2022, because the intelligence services had warned about it. "But seeing it actually happen still shocked me. I realized that this was a turning point in our history: there is a Europe before that day and a different Europe after that day," Stoltenberg said. He noted that after Russia's occupation of Crimea and part of Donbas in 2014, the situation remained static for a long time, despite almost daily fighting. When Russia deployed significant forces near the border with Ukraine in the spring of 2021, the allies "were not sure what that meant." But in the fall, as more detailed intelligence reports emerged about Russia's attack capabilities and intentions, NATO shared that information with the public -- partly to counter Russian propaganda and partly in the hope of diplomatically persuading Russia to abandon its plans. Despite the futility of these efforts, Stoltenberg still believes in the possibility of dialogue. "We must be strong to be able to conduct a meaningful dialogue... One thing is clear: in order to end this war, at a certain point there must be a dialogue with Russia again. But it should be based on Ukrainian strength," Stoltenberg said. Stoltenberg has served as NATO secretary general since 2014. He will leave the post on October 1 this year. Photo: Europa Press Representatives of the United Nations Development Program (UNDP) will continue to provide assistance to the Ukrainian energy sector to recover from Russian attacks. This was reported by the Ministry of Energy of Ukraine, Ukrinform reports. Representatives of the UNDP Office voiced this position at a meeting with Deputy Minister of Energy of Ukraine Roman Andarak. The meeting was attended by Shoko Noda, Assistant Secretary-General and Administrator of the organization, Director of the UNDP Crisis Management Bureau, and Jaco Cilliers, UNDP Resident Representative in Ukraine. The parties discussed the need for equipment for the development of distributed generation capacities, in particular gas turbines and gas piston units. The UNDP representatives assured that they are taking measures to speed up the delivery of a new batch of relevant equipment to Ukraine. We are grateful to UNDP for its continued support of our energy sector. The help of international partners is a light for Ukrainian homes. This is extremely important, especially on the eve of winter, Andarak emphasized. The parties also discussed measures to provide backup power to critical infrastructure and strengthen the protection of Ukraine's energy facilities. According to the report, special attention was paid to the development of renewable energy, in particular solar generation. The Deputy Minister briefed the UNDP representatives on the government's decisions to encourage consumers to install the relevant equipment and measures to simplify the relevant regulatory procedures. Read also: Russia attacks energy infrastructure in six Ukrainian regions over past day UNDP representatives emphasized that accelerating the green transition in the Ukrainian energy sector remains one of the key focuses of cooperation programs. As Ukrinform previously reported, international partners will help restore war-affected communities within the framework of the UNDP Ukraine and the UN Refugee Agency's project Mine Action and Return of IDPs in Southern Ukraine. Photo: Ministry of Energy HAIKOU, Sept. 14 (Xinhua) -- China and Caribbean countries expressed their hope to deepen cooperation in emerging sectors during the Ministerial Conference of the Fourth China-Caribbean Economic and Trade Cooperation Forum. The three-day meeting, which concluded on Saturday, took place in south China's island province of Hainan. It centered on emerging sectors and discussed the new opportunities and patterns that green, low-carbon development and digital technologies have brought to China-Caribbean cooperation. "Over the past 20 years, China-Caribbean trade has grown at an average annual rate of 13 percent, which is 3 percentage points higher than China's overall foreign trade growth during the same period," said Wang Shouwen, Vice Minister of Commerce. Wang expressed China's willingness to encourage and support its enterprises, which are equipped with world-class technologies in sectors such as 5G communications, artificial intelligence and pollution control, to explore new opportunities for cooperation with Caribbean countries. A joint statement was issued at the meeting, highlighting the shared objective of expanding China-Caribbean trade. It emphasized enhanced trade facilitation and liberalization, with a focus on strengthening cooperation in areas like animal and plant inspection and quarantine, health care and tourism, as well as mutual investment in sectors such as food production, green development, digital economy and blue economy. Established in 2004, the China-Caribbean Economic and Trade Cooperation Forum has served as the highest-level economic and trade dialogue mechanism between the governments of China and Caribbean countries. Over the past 20 years, the forum has facilitated fruitful cooperation in areas such as trade, investment, human resources and health care. People visit the exhibition "Reunion: Mei Lanfang and the United States" at the University of Southern California (USC) East Asian Library in Los Angeles, the United States, Sept. 13, 2024. An art exhibition showcasing world-renowned Chinese artist of Peking Opera Mei Lanfang's life achievements kicked off Friday at the USC in Los Angeles. On the occasion of the 130th anniversary of the birth of Mei and the 94th anniversary of his visit to the United States, the exhibition "Reunion: Mei Lanfang and the United States," hosted by USC and the Chinese National Academy of Arts, will last from Sept. 13 to Dec. 20 at the USC East Asian Library, which is well known for its unique collections documenting Chinese culture. (Photo by Zeng Hui/Xinhua) LOS ANGELES, Sept. 13 (Xinhua) -- An art exhibition showcasing world-renowned Chinese artist of Peking Opera Mei Lanfang's life achievements kicked off Friday at the University of Southern California (USC) in Los Angeles, the largest city in the Western United States. On the occasion of the 130th anniversary of the birth of Mei and the 94th anniversary of his visit to the United States, the exhibition "Reunion: Mei Lanfang and the United States," hosted by USC and the Chinese National Academy of Arts, will last from Sept. 13 to Dec. 20 at the USC East Asian Library, which is well known for its unique collections documenting Chinese culture. Mei Lanfang (1894-1961) was an acclaimed Chinese artist of Peking Opera who made prominent contributions to improving and popularizing the traditional Chinese art. In 1930, Mei embarked on a six-month theatrical tour to the United States. During his visit to Los Angeles, Mei received an honorary doctorate from USC. The exhibition will present to audiences the traditional oriental art aesthetics and spiritual core represented by the Chinese artist through various types of exhibits, including paintings, historical photos, and precious publications. USC's Dean of Libraries, Melissa Just, said in her speech that the remarkable collection of artifacts highlights Mei's extraordinary life and legacy. "Thanks to all of you and to the unique items featured in the exhibition, we are able to step back in time and explore Mei's remarkable life and embark together on a cross-cultural collaboration to better understand its meaning for us today," she noted. Li Shufeng, vice president of the Chinese National Academy of Arts, called Mei "an ambassador of art" who brought opera art and Chinese culture to the American people and made epoch-making contributions to cultural exchanges between China and the United States. The Chinese National Academy of Arts is the only national-level comprehensive academic institution in China that integrates art research, art education, art creation, and intangible cultural heritage protection. It's also a cultural and artistic think tank. People visit the exhibition "Reunion: Mei Lanfang and the United States" at the University of Southern California (USC) East Asian Library in Los Angeles, the United States, Sept. 13, 2024. An art exhibition showcasing world-renowned Chinese artist of Peking Opera Mei Lanfang's life achievements kicked off Friday at the USC in Los Angeles. On the occasion of the 130th anniversary of the birth of Mei and the 94th anniversary of his visit to the United States, the exhibition "Reunion: Mei Lanfang and the United States," hosted by USC and the Chinese National Academy of Arts, will last from Sept. 13 to Dec. 20 at the USC East Asian Library, which is well known for its unique collections documenting Chinese culture. (Photo by Zeng Hui/Xinhua) A visitor takes photos of exhibits during the exhibition "Reunion: Mei Lanfang and the United States" at the University of Southern California (USC) East Asian Library in Los Angeles, the United States, Sept. 13, 2024. An art exhibition showcasing world-renowned Chinese artist of Peking Opera Mei Lanfang's life achievements kicked off Friday at the USC in Los Angeles. On the occasion of the 130th anniversary of the birth of Mei and the 94th anniversary of his visit to the United States, the exhibition "Reunion: Mei Lanfang and the United States," hosted by USC and the Chinese National Academy of Arts, will last from Sept. 13 to Dec. 20 at the USC East Asian Library, which is well known for its unique collections documenting Chinese culture. (Photo by Zeng Hui/Xinhua) An actor performs during the exhibition "Reunion: Mei Lanfang and the United States" at the University of Southern California (USC) East Asian Library in Los Angeles, the United States, Sept. 13, 2024. An art exhibition showcasing world-renowned Chinese artist of Peking Opera Mei Lanfang's life achievements kicked off Friday at the USC in Los Angeles. On the occasion of the 130th anniversary of the birth of Mei and the 94th anniversary of his visit to the United States, the exhibition "Reunion: Mei Lanfang and the United States," hosted by USC and the Chinese National Academy of Arts, will last from Sept. 13 to Dec. 20 at the USC East Asian Library, which is well known for its unique collections documenting Chinese culture. (Photo by Zeng Hui/Xinhua) KHANEWAL, (UrduPoint / Pakistan Point News - 14th Sep, 2024) The district administration confiscated over 600-kilogramme plastic bags after a ban was imposed by the Punjab government on single-use plastic and shopping bags with a thickness of less than 75 microns. Deputy Commissioner Muhammad Ali Bukhari said that the teams were strictly monitoring across the district. He said that Rs 44,000 fine was also imposed on shopkeepers over violation. He urged the citizens and shopkeepers to avoid use of shopping bags. Meanwhile, Assistant Commissioner Sunbal Javed along with Deputy Director Environment Sarfraz Anjum conducted a raid at Al-Jannat Road. They inspected the polythene wholesale and dealers shops and confiscated 38 kg prohibited polythene bags from Haji and sons plastic store. DC directed the ACs to launch crackdown and tighten noose against shopkeepers involved in sale and purchase of plastic bags. LAHORE, (UrduPoint / Pakistan Point News - 14th Sep, 2024) Emergency Services Department Secretary Dr. Rizwan Naseer commemorated World First Aid Day with the Rescue Scouts and rescuers, reaffirming his commitment to making Pakistan a nation of lifesavers. He shared these thoughts while addressing a ceremony at the Command & Control Centre in Lahore on the occasion of World First Aid Day. He emphasised that Rescue Scouts can play a vital role in saving lives by providing first aid as first responders from the community before specialized emergency services arrive. On the direction of the Secretary ESD, World First Aid Day was commemorated in all districts of Punjab to raise awareness about the importance of first aid, particularly bleeding control and cardiopulmonary resuscitation (CPR). All District Emergency Officers were directed to observe World First Aid Day week from September 10th to 14th, with the goal of training as many Rescue Scouts as possible in CPR and bleeding control. A central ceremony was held at the Command & Control Centre, Lahore in which a large number of Rescue Scouts, social activists, NGOs, rescuers and the general public participated. Dr. Rizwan Naseer emphasised the importance of a lifesaver and first aider in every home. He said that emergency services like Rescue 1122 require at least 8 to 10 minutes to respond to any emergency while in the case of cardiac arrest, brain damage or brain trauma starts after 4 minutes which can only be prevented by performing quality CPR by a trained lifesaver. He explained that Rescue 1122 has provided timely emergency services to 15.6 million emergency victims since inception of service. Furthermore, the founder of Rescue 1122 Pakistan, Dr. Rizwan Naseer appealed the general public, especially the youth, to become part of Rescue 1122's life-saving mission by getting CPR training and certification by simply downloading Pak Life Saver app. He said that the practical exercises after initial on-line training can be done from any nearby rescue station. He appealed the students of colleges and universities to join hand with Rescue 1122 as lifesaver to save lives in emergencies and disaster. ISLAMABAD, (UrduPoint / Pakistan Point News - 14th Sep, 2024) Federal Minister for Petroleum, Dr. Musadik Malik, said on Saturday that the state encourages the youth of the country to start their own businesses. Responding to a calling attention notice regarding the proposed privatization of the Pakistan Minerals Development Corporation (PMDC), he explained that the government aimed to exit business ventures and focus on promoting Small and Medium Enterprises (SMEs) in the country. He emphasized that the government wants educated youth to start their own businesses rather than rely on government employment. Dr. Musadik Malik further said that Pakistan's state-owned companies have cost the country billions of Dollars. Regarding PMDC, the minister mentioned that around 70 people have lost their lives in various incidents, and currently, PMDC employs about 2,000 people, none of whom are engaged in mining activities. He added that the privatization of loss-making state enterprises is a positive step and should be continued in the best interest of the country. QUITO, Sept. 13 (Xinhua) -- The Foreign Ministry of Ecuador announced Friday that starting Saturday "consular services in Venezuela will continue to be served electronically and through the consulates in Bogota and Panama City," after the closure of the Ecuadorian consulate in Caracas. "The Ministry of Foreign Affairs and Human Mobility established an action plan after the closure of the Ecuadorian consulate in that territory to be implemented from Sept. 14," the ministry said in a statement, without explaining the reason for the closure of the consulate in Venezuela. It added that the action plan facilitates consular services for Ecuadorian citizens who are in Venezuela, "without the need for them to move to another country." The Ecuadorian consulates in Bogota and Panama will electronically handle emergency passport procedures and the legalization of documents issued by Ecuadorian authorities with electronic signatures, according to the ministry. Three Americans, two Spaniards and a Czech citizen were arrested Saturday after Venezuelan officials accused them of coming to the South American country to assassinate President Nicolas Maduro. The arrests were announced on state television by Diosdado Cabello, the nation's powerful interior minister. Cabello said the foreign citizens were part of a CIA-led plot to overthrow the Venezuelan government and kill several members of its leadership. In the television program, Cabello showed images of rifles that he said were confiscated from some of the plotters of the alleged plan. The arrest of the American citizens included a member of the Navy, according to Cabello. Spain's embassy in Venezuela did not reply to a request for comment. The U.S. State Department late Saturday confirmed the detention of a U.S. military member and said it was aware of "unconfirmed reports of two additional U.S. citizens detained in Venezuela." "Any claims of U.S. involvement in a plot to overthrow Maduro are categorically false. The United States continues to support a democratic solution to the political crisis in Venezuela," the statement said. The announcement of the arrests comes two days after the U.S. Treasury imposed sanctions on 16 allies of Maduro who were accused by the U.S. government of obstructing voting during the disputed July 28 Venezuelan presidential election and carrying out human rights abuses. Earlier this week, Spain's parliament recognized opposition candidate Edmundo Gonzalez as the winner of the election, angering Maduro allies who called on the Venezuelan government to suspend commercial and diplomatic relations with Spain. Tensions between Venezuela's government and the U.S. have increased as well following the election, whose result sparked protests within Venezuela in which hundreds of opposition activists were arrested. Venezuela's Electoral Council, which is closely aligned with the Maduro administration, said Maduro won the election with 52% of the vote, but did not provide any proof of those results. Opposition activists, however, surprised the government by collecting tally sheets from 80% of the nation's voting machines. The tally sheets collected by the opposition were published online, and they indicate that Gonzalez won the election with twice as many votes as Maduro. Despite international condemnation over the election's lack of transparency, Venezuela's supreme court, which has long backed Maduro, confirmed his victory in August. Venezuela's attorney general then filed conspiracy charges against Gonzalez, who fled to Spain last week after it became clear he would be arrested. Maduro has dismissed requests from several countries, including the leftist governments of Colombia and Brazil, to provide tally sheets that prove he won the election. Maduro, who has been in power since 2013, has long claimed the U.S. is trying to overthrow him through sanctions and covert operations. The Maduro administration has previously used Americans imprisoned in Venezuela to gain concessions from the U.S. government. In a deal conducted last year with the Biden administration, Maduro released 10 Americans and a fugitive wanted by the U.S. government to secure a presidential pardon for Alex Saab, a close Maduro ally who was held in Florida on money laundering charges. According to U.S. prosecutors, Saab had also helped Maduro to avoid U.S. Treasury sanctions through a complex network of shell companies. President Joe Biden and British Prime Minister Keir Starmer met at the White House Friday as Ukraine intensifies pressure to loosen restrictions of U.S. and U.K. provided weapons to strike Russia. With the U.S. presidential election less than two months away, the next president will have to contend with ongoing conflicts and other serious foreign policy issues. A look at the differences in potential approach of each candidate and whats at stake. And despite their historically low record of turnout, could young voters tip the scales in deciding the winner? An animal rights group trying to get real fur out of the bearskin caps worn by King's Guards at Buckingham Palace took aim Thursday at the cost of the ceremonial garb. The price of the caps soared 30% in a year to more than 2,000 pounds ($2,600) apiece for the hats made of black bear fur, the Ministry of Defense said in response to a freedom of information request by People for the Ethical Treatment of Animals. "Stop wasting taxpayer pounds on caps made from slaughtered wildlife and switch to faux fur today," the group said in a statement. A luxury fake fur maker has offered to supply the army with free faux bear fur for 10 years, PETA said. Military willing to consider alternatives The military said it was open to exploring alternatives if they pass muster in durability, water protection and appearance. But "no alternative has met all those criteria to date," a ministry spokesperson said in a statement. The distinctive tall black hats, worn by guards in bright scarlet tunics, are seen by millions who watch the regular changing of the guard ceremony at the palace. They also appear at other royal events including the annual Trooping the Color ceremony honoring the monarch's birthday in June. The cost of the caps rose from 1,560 pounds ($2,035) each in 2022 to 2,040 pounds ($2,660) in 2023, the ministry said. More than 1 million pounds ($1.3 million) was spent on them in the past decade. The price went up because of a contract change for fur that comes from bears killed in licensed hunts in Canada, the military said. PETA, which has been pushing for more than two decades to scrap the fur hats, said each cap requires one bear pelt. The group claimed that the defense department is propping up the "cruel" Canadian bear-hunting industry. The ministry denied that charge and said if it stopped buying the pelts, it would not reduce the numbers of bears being killed. Petition calls for fake fur Parliament debated the issue in July 2022 after an online petition with more than 100,000 signatures called for using fake fur in the caps. "This hunting involves the violent killing of bears, with many bears being shot several times," Martyn Day, then a Scottish National Party member of Parliament, said at the time. "It seems undeniable, therefore, that by continuing to purchase hats made from the fur of black bears the MOD is funding the suffering of bears in Canada by making the baiting and killing of those animals and the sale of their pelts a profitable pursuit for the hunters." Day said a poll at the time found 75% of the U.K. population found real bearskins were a bad use of taxpayer money and supported replacing the hats. He noted that the late Queen Elizabeth II had ceased buying fur for her wardrobe. Earlier this year, Queen Camilla, wife of King Charles III, pledged to buy no more fur products. Change has broken, remade and continues to reshape this remote town where tundra meets forest on the shore of Hudson Bay. The economic base collapsed when the military left town. Rail service and cargo ships the lifeblood of supplies for a town not connected to the rest of the world by roads blinked out. The weather is warming, signature animals are dwindling and even the ground is shifting. Through it all, Churchill has adapted. The town turned to tourism, luring people eager to see its plentiful polar bears. Leaders figured out ways to revitalize its port and railway. As climate change has edged into the picture, they've begun designing more flexible buildings and seeking to entice more varied visitors if, as scientists fear, shrinking sea ice crashes the bear population. Residents, government officials and experts say the town is a model for coping with dramatic shifts and attribute it to the rural mindset that focuses on fixing, not whining. Churchill sits about 1,700 kilometers north of Winnipeg. The town had thousands of people before the military base and a rocket research launch site shut down decades ago. Those sites fell into decay, and what had been a bustling port closed. Train service stopped for more than a year as weather shattered poorly maintained tracks. As the town dwindled, bears began coming to town more often, no longer frightened away by noise from the base and rocket launches and made desperate as climate change shrank the Hudson Bay ice they depend on as a base for hunting. A local mechanic built a fat-tired, souped-up recreational vehicle to see bears safely. Photos and documentaries attracted tourists, who spend $5,000 a visit on average and millions of dollars overall. Churchill now bills itself as the polar bear capital of the world, and though it has no stoplights, it features upscale restaurants and plenty of mom-and-pop hotels. If that comes to an end, Churchill hopes to be ready. The town is promoting tourism for beluga whales, although those too may be harmed as the entire Hudson Bay ecosystem, including the food the belugas eat, shifts to one usually seen further south. It's also highlighting visitors' prospects for seeing the northern lights, spotting birds they can't see at home, and even trying dogsledding. "In time you're going to lose bear season. And we know that. Anyway, it's just a matter of we're going to have to adapt to that change," said Mike Spence, mayor since 1995. "You can't stew over it. That's not going to get you any points." Spence grew up with the military installation "and all of a sudden it closes and then all of a sudden you get the tourists, the abundance of wildlife and the aurora. That's where you take advantage of it. You sort of tweak things and you improve life." The shuttered port and the damaged train tracks? The town took them over and got both running again. Ground sinking because the weather is getting rainier and permafrost is thawing? New buildings like the ones at Polar Bears International, a nonprofit conservation organization with headquarters in the city, have metal jacks that can be adjusted when a corner sinks nearly half a foot in five years. Lauren Sorkin, executive director of the Resilient Cities Network, said every city should have a plan to adapt to climate change's effect on economy and tourism. "Churchill is a standout example of a city that is planning ahead to protect communities and preserve our natural environment and its biodiversity," she said. Spence, who is Cree, grew up with no electricity or running water in "the flats" on the outskirts of town, which was run by a white minority. Churchill is about two-thirds Indigenous with Cree, Metis, Inuit and Dene. Spence recalls his father saying that if only he spoke better English he could tell officials how to fix the town. "I think I'm doing that for him," Spence said. "You don't just say 'I got a problem.' You go there with the fix." You can't drive to Churchill. Food, people, cargo, everything gets there by rail, boats or plane. Rail is the cheapest, and most residents travel by taking the overnight train to Thompson, then driving south from there. Until a few years ago the train tracks, which had been leased to a private company, were not being maintained properly and the wet, stormy spring of 2017 created 22 washouts of the line between Churchill and points south, Spence said. The company couldn't afford to fix them. Big storms in Churchill are as much as 30% rainier than 80 years ago because of human-caused climate change, said Cornell University climate scientist Angie Pendergrass. "Service stopped dead" for 18 months, Spence said. "It was just devastating." Meanwhile, there weren't enough goods coming into the aging port. Spence said that shipping hub and rail lines needed to operate as an integrated system, and not be run by an absentee U.S. owner, so the town negotiated with the federal and provincial governments for local control and federal financial help. In 2018, Arctic Gateway Group, a partnership of 41 First Nations and northern communities, took ownership of the port and rail line. Rail service returned on Halloween that year. Manitoba officials said that in the last two years 610 kilometers of track have been upgraded and 10 bridges repaired. Shipping in the port has more than tripled since 2021, including the return of its first cruise ship in decade, they said. Earlier this year, officials announced another $60 million in port and rail funding. Local ownership is key in Churchill, said former Chamber of Commerce president Dave Daley, who left town in the 1980s but returned after five years because he and his wife missed it. Big hotel chains poked around once and said they could fix up the town's infrastructure and build something big. "We all stood and said 'no'," Daley said. "We're a tight-knit group. We have our different opinions and everything else but we know how we want Churchill to be." As Churchill evolves, its forgotten past has surfaced at times as tourists ask about residents and their history, said longtime resident Georgina Berg, who like Spence lived on the flats as a child. That past includes "not-so-happy stories" about forced relocation, missing women, poverty, subsistence hunting, being ignored, deaths and abuse, said Berg, who is Cree. Daley, a dogsled racer and president of Indigenous Tourism Manitoba, tells of how the Metis people were especially ignored, abused and punished, yet he ends the history lesson with an abrupt shift. "We can't change five minutes ago, but we can change five minutes from now," Daley said. "So that's what I teach my kids. You know it's nice to know the history and all the atrocities and everything that happened, but if we're going to get better from that we have to look forward and look five minutes from now and what we can do to change that." Meanwhile, Daley and Spence notice the changes in the weather not only warmer, but they're getting thunder here, something once unimaginable. The Arctic is warming four times faster than the rest of the world. While Churchill isn't quite as bad off because it's south of the Arctic Circle, "it's something we take seriously," Spence said. "It's a matter of finding the right blend in how you adapt to climate change," Spence said. "And work with it." The URL has been copied to your clipboard The code has been copied to your clipboard. US President Joe Biden met with British Prime Minister Keir Starmer at the White House on Friday to discuss support for Ukraine amid a push by Kyiv for Western partners to lift restrictions on using their long-range missiles to attack targets deep inside Russian territory. White House Bureau Chief Patsy Widakuswara has this report. U.S. President Joe Biden will use the remaining four months of his term "to put Ukraine in the best possible position to prevail," a senior adviser said Saturday. Speaking remotely to a forum in Kyiv, Ukraine, Jake Sullivan, the U.S. national security adviser, also said Biden will meet Ukrainian President Volodymyr Zelenskyy in late September at the U.N. General Assembly in New York to discuss aid to Ukraine. "President Zelenskyy has said that ultimately this war has to end through negotiations, and we need them to be strong in those negotiations," Sullivan said, adding Ukraine would decide when to enter talks with Russia. Biden will be replaced next January either by Vice President Kamala Harris, who has indicated she will continue his policies of backing Ukraine, or by former President Donald Trump, who would not say at a debate earlier this week whether he wanted Kyiv to win the war. The announcement of the upcoming Biden-Zelenskyy meeting came after Moscow and Kyiv earlier Saturday swapped 103 prisoners of war each in a UAE-brokered deal, and as Russian forces continue to gain ground in their grinding offensive in east Ukraine. Sullivan, in his comments by video link to the forum in Kyiv, said "difficult and complicated" logistics rather than unwillingness was delaying aid to Ukraine. "It's not a matter of political will," Sullivan said. "But given what Ukraine is up against, we've got to do more, and we've got to do better." A bomb explosion in Pakistans violence-hit southwestern Baluchistan province ripped through a police vehicle Saturday, killing at least two officers and wounding as many others. Abdul Hameed, an area police officer, told VOA by phone that the attack occurred on a main highway passing through Kuchlak near the provincial capital of Quetta. He said that a homemade bomb targeted a police mobile unit and that an investigation into the incident was underway. There were no immediate claims of responsibility for the deadly bombing in the natural resources-rich Pakistani province, where separatist ethnic Baluch groups routinely target security forces. Pakistani Prime Minister Shehbaz Sharif condemned Saturdays attack on the police as a terrorist act, his office said in a statement in Islamabad. A daylong series of insurgent attacks rattled Baluchistan last month, killing more than 50 civilians and security personnel. The outlawed Baluch Liberation Army, the largest of all the separatist groups active in the province, claimed responsibility for orchestrating the violence, which targeted security installations and passenger vehicles. The BLA, designated as a global terrorist group by the United States, has lately intensified its attacks in Baluchistan. The province shares Pakistans border with Afghanistan and Iran and hosts major China-funded infrastructure projects. Brazil formally received on Thursday the return from Denmark of an Indigenous cloak made with 4,000 red feathers of the scarlet ibis bird, a sacred mantle that was taken by Europeans during the 17th century colonial era. President Luiz Inacio Lula da Silva attended the ceremony outside Brazil's National Museum in Rio de Janeiro, marking the importance that Brazil gives to the item's repatriation. The cloak, a feathered ceremonial cape used in religious rituals of the Tupinamba people of Bahia in northeastern Brazil, was removed during the Dutch occupation of the area. Its first mention comes in a Danish inventory in 1689, although it is thought to have been taken from Brazil some 50 years before. By the 21st century it was held in the ethnographic collection of Denmark's National Museum, the Nationalmuseet. In 2000, the museum lent the cloak out for an exhibition in Sao Paulo. A Tupinamba leader saw it there and demanded its return. Last year, after lengthy diplomatic negotiations, the Danish museum announced it would donate the cloak to Brazil's National Museum, and it was repatriated in July. Some 170 Tupinamba traveled from southern Bahia to Rio to celebrate its return. "It is crucial they return what isn't theirs and rightfully belongs to us. Our heritage strengthens our identity," said cacique, or chief, Jamopoty Tupinamba to Agencia Brasil on Wednesday. From the first Portuguese voyages to Brazil in the early 16th century, Indigenous cultural items were taken to Europe as evidence of the "discovery" of new territories and then entered museums or private collections. A fresco painted in 1674 on the ceiling of the Apollo Salon at the Palace of Versailles, the king's throne room, depicts newly found America as a woman wearing a Tupinamba cloak as if it were a headdress. According to cultural heritage activist Gliceria Tupinamba, there are another 10 such cloaks in Europe, held in museums and libraries in Italy, France, Belgium, Switzerland and Denmark, where the National Museum still has one large and three partial ones. "It took more than 20 years to get the cloak back. Its return is a symbol of the protection of our cultural and land rights that are under threat today in Brazil," she said. Serbian President Aleksandar Vucic addresses the official inauguration ceremony for serial production in China's first tire manufacturing facility in Europe, in Zrenjanin, Serbia, Sept. 13, 2024. China's tire factory begins mass production in Serbia. Mass production officially began at Linglong's new tire factory in Zrenjanin, Serbia on Friday, marking China's first tire manufacturing facility in Europe. Serbian President Aleksandar Vucic, who attended the launch alongside Chinese Ambassador to Serbia Li Ming and Linglong Tire Co., Ltd. Chairman Wang Feng, underlined the economic importance of the project. (Photo by Wang Wei/Xinhua) ZRENJANIN, Serbia, Sept. 13 (Xinhua) -- Mass production officially began at Linglong's new tire factory in Zrenjanin, Serbia on Friday, marking China's first tire manufacturing facility in Europe. The 990-million-U.S.-dollar investment represents a significant milestone for both Linglong Tire and Serbia, positioning the factory as one of the most advanced tire production sites globally, according to a statement from Linglong Tire. Serbian President Aleksandar Vucic, who attended the launch alongside Chinese Ambassador to Serbia Li Ming and Linglong Tire Co., Ltd. Chairman Wang Feng, underlined the economic importance of the project. "This is the largest greenfield investment in Serbia's history," Vucic said. "It not only provides jobs for over 1,200 people now, but we expect that number to grow to 1,835 in the second phase of development." Vucic highlighted the economic benefits the factory will bring to Zrenjanin and the surrounding region, with a projected boost to the city's budget, which will fund new infrastructure projects. Vucic also emphasized the importance of the Belt and Road Initiative, saying, "China has been a tremendous partner for Serbia, and this factory is a testament to that." According to Linglong, the state-of-the-art facility integrates advanced technologies, including artificial intelligence, mobile sensing, and industrial robots, with an annual production capacity of 13.62 million high-performance radial tires. Ambassador Li underscored the significance of the factory for both nations, and he also noted the growing number of Chinese companies investing in Serbia, attributing this to the favorable conditions that instil confidence in Chinese investors. The factory's Phase II expansion is already underway and is expected to further increase production capacity and revenue in the coming years. At the event, two memorandums of cooperation aimed at deepening Sino-Serbian cooperation across various sectors were signed, the first between Linglong and the City of Zrenjanin, and a second between universities in Novi Sad and Beijing. Serbian President Aleksandar Vucic (2nd R) visits the tire factory as he attends the inauguration ceremony for serial production in China's first tire manufacturing facility in Europe, in Zrenjanin, Serbia, Sept. 13, 2024. China's tire factory begins mass production in Serbia. Mass production officially began at Linglong's new tire factory in Zrenjanin, Serbia on Friday, marking China's first tire manufacturing facility in Europe. Serbian President Aleksandar Vucic, who attended the launch alongside Chinese Ambassador to Serbia Li Ming and Linglong Tire Co., Ltd. Chairman Wang Feng, underlined the economic importance of the project. (Photo by Wang Wei/Xinhua) Serbian President Aleksandar Vucic addresses the official inauguration ceremony for serial production in China's first tire manufacturing facility in Europe, in Zrenjanin, Serbia, Sept. 13, 2024. China's tire factory begins mass production in Serbia. Mass production officially began at Linglong's new tire factory in Zrenjanin, Serbia on Friday, marking China's first tire manufacturing facility in Europe. Serbian President Aleksandar Vucic, who attended the launch alongside Chinese Ambassador to Serbia Li Ming and Linglong Tire Co., Ltd. Chairman Wang Feng, underlined the economic importance of the project. (Photo by Wang Wei/Xinhua) In a visit to see the damage caused by drought and fire in the Amazon, President Luiz Inacio Lula da Silva pledged to pave a road that environmentalists and some in his own government say threatens to vastly increase destruction of the world's largest tropical forest and contribute to climate change. The BR-319 roadway is a mostly dirt road through the rainforest that connects the states of Amazonas and Roraima to the rest of the country. It ends in Manaus, the Amazon's largest city with over 2 million people, and runs parallel to the Madeira River, a major tributary of the Amazon River. The Madeira is at its lowest recorded level, disrupting cargo navigation, with most of its riverbed now endless sand dunes under a sky thick with smoke. "We are aware that, while the river was navigable and full, the highway didn't have the importance it has now, while the Madeira River was alive. We can't leave two capitals isolated. But we will do it with the utmost responsibility," Lula said Tuesday during a visit to an Indigenous community in Manaquiri, in Amazonas state. He didn't specify what steps the government would take to try to prevent deforestation from increasing after paving. Hours later, he oversaw the signing of a contract to pave 52 kilometers (32 miles) of the road, and promised to begin work before his term ends in 2026 on the most controversial section of the road a 400-kilometer (249-mile) stretch through old-growth forest. A permit for the longer stretch was issued under Lula's far-right predecessor, Jair Bolsonaro, who favored development in the Amazon and weakened environmental protections. In July, a federal court suspended the permit in a lawsuit brought by the Climate Observatory, a network of 119 environmental, civil society and academic groups. Lula's government had appealed the suspension, but it wasn't until his visit on Tuesday that Lula made clear his plan to move ahead with paving. The Climate Observatory lamented the move. "Without the forest, there is no water, it's interconnected," said Suely Araujo, a public policy coordinator with the group. "The paving of the middle section of BR-319, without ensuring environmental governance and the presence of the government in the region, will lead to historic deforestation, as pointed out by many specialists and by Brazil's federal environmental agency in the licensing process." Lula has sought to portray himself as an environmental protector, and deforestation has slowed significantly since he took over for Bolsonaro. But he has also struck out at times against pressure from richer nations on preserving the Amazon, an invaluable resource for the planet in storing the carbon driving atmospheric warming, and did so again on Tuesday. "The world that buys our food is demanding that we preserve the Amazon," he said. "And why? Because they want us to take care of the air they breathe. They didn't preserve their own lands in the last century during the Industrial Revolution." Brazil is enduring its worst drought ever recorded, with 59% of the country under stress an area about half the size of the U.S. In the Amazon, rivers' low levels have stranded hundreds of riverine communities, with shortage of potable water and food. Lula announced a wide distribution of water filters and other measures during his visit to the region. Meanwhile, most of Brazil has been under a thick layer of smoke from wildfires in the Amazon, affecting millions of people in faraway cities such as Sao Paulo, Brasilia and Curitiba and reaching as far south as Argentina and Paraguay. At Lula's event, Environment Minister Marina Silva blamed the extreme drought brought by climate change for the widespread fires in a rainforest usually resistant to fire, calling it "a phenomenon we don't even know how to handle." Silva has been more cautious than Lula about paving the roadway. At a congressional hearing earlier, she called the Bolsonaro era's permit a "sham" and praised the judicial ruling that suspended it. Brazil is the world's fifth-largest emitter of greenhouse gases, contributing nearly 3% of global emissions, according to Climate Watch, an online platform managed by the World Resources Institute. Almost half these emissions stem from destruction of trees in the Amazon rainforest. Five people in eastern Romania were found dead after torrential rainstorms dumped unprecedented rain, leaving hundreds stranded in flooded areas, emergency authorities said Saturday. Rescue services scrambled to save people in the hard-hit eastern counties of Galati and Vaslui. The bodies of three elderly women and two men were found in the localities of Pechea, Draguseni, Costache Negri and Corod, the Department for Emergency Situations said. Authorities later added that one of the victims had been dead for two days and did not die due to the effects of the weather but from other causes. Emergency authorities released video footage showing teams of rescuers evacuating people using small lifeboats through muddy waters and carrying some elderly people to safety. Some of the most significant flood damage was concentrated in Galati, where 5,000 households were affected. A Black Hawk helicopter was also deployed there to help with the search and rescue. The storms battered 19 localities in eight counties in Romania, with strong winds downing dozens of trees that damaged cars and blocked roads and traffic. Authorities sent text message alerts to residents to warn them of adverse weather as emergency services rushed to remove floodwaters from homes. By 1 p.m. Saturday, more than 250 people had been evacuated with the help of 700 Interior Ministry personnel deployed to affected communities, authorities said. Romanias environment minister, Mircea Fechet, told The Associated Press that in some of the badly flooded areas, more than 160 liters of rain fell per one square meter, which he said is a rare occurrence. What we are trying to do right now is save as many lives as possible, said the minister, who was on his way to Galati to assess the situation. Romanian President Klaus Iohannis offered his condolences to the victims' families, writing on Facebook: "We must continue to strengthen our capacity to anticipate extreme weather phenomena. Severe floods that have affected a large part of the country have led to loss of lives and significant damage, Iohannis said. We are again dealing with the effects of climate change, which are increasingly present throughout the European continent, with dramatic consequences on people. Central Europe braces for intense flooding The stormy weather comes as several central European nations anticipate severe flooding to hit the Czech Republic, Poland, Austria, Germany, Slovakia and Hungary over the weekend. In the Czech Republic, river waters reached dangerous levels in dozens of areas across the country Saturday morning, flooding houses and roads in several towns and villages. Heavy rain and high winds left more than 63,000 households without power, the Czech power company CEZ said. A hospital in the country's second-largest city of Brno was forced to evacuate as dozens of citizens moved to safer grounds. Fallen trees and floodwaters caused a dozen railways across the country to also shutter. In neighboring Austria, authorities declared 24 villages in the northeast Lower Austria province disaster zones Saturday afternoon and began evacuating residents from those areas. The coming hours will be the hours of truth for flood protection, for our emergency forces and numerous compatriots, State Governor Johanna Mikl-Leitner said, adding that in one area, we expect challenges of historical dimensions. The torrential downpours have also caused a sharp rise in water levels on the Danube River in Austria's capital, Vienna, where special flood relief channels were built in the 1970s and 80s and are likely to be tested over the weekend. The River Kamp, a tributary of the Danube, is also swelling due to the unprecedented weather. Heavy rain also hit Moldova on Saturday, where emergency workers pumped floodwater from dozens of peoples homes in several localities, authorities said. Meteorologists say a low-pressure system from northern Italy was predicted to dump much rain in most parts of the Czech Republic, including the capital and border regions with Austria and Germany in the south, and Poland in the north. We have to be ready for worst-case scenarios, Czech Prime Minister Petr Fiala said after the governments central crisis committee met. A tough weekend is ahead of us. In Poland, dozens of people were evacuated as a precautionary measure Saturday from two villages near the town of Nysa, in the Nysa River basin, after meteorologists warned of unprecedented rainfall, and water levels on some rivers in the area sharply rose, according to Interior Minister Tomasz Siemoniak. The worst is yet to come, he warned. Polish authorities appealed to residents Friday to stock up on food and to prepare for power outages by charging power banks. The weather change arrived following a hot start to September in the region. Scientists have documented Earths hottest summer, breaking a record set just one year ago. A hotter atmosphere, driven by human-caused climate change, can lead to more intense rainfall. Dozens of Hong Kong journalists and their families have been harassed and intimidated in the past three months, according to the chair of a local press club. The Hong Kong Journalists Association or HKJA said Friday it had tracked "systematic" and "organized" attacks on journalists from June to August this year. At least 15 journalists and their family members, employers and neighbors were harassed both online and offline, the press club said. Selina Cheng, the chair of the HKJA, said in a press conference Friday that it is the biggest case of intimidation that the association has ever seen. "I dont believe this is right, and thats why we are making a loud call today to say we do not accept such behavior," she said. "This type of intimidation and harassment, which includes sharing false and defamatory content and death threats, damages press freedom," she added. Journalists from multiple Hong Kong media outlets have been affected, with anonymous threats and harassment made via social media email or in the mail, the HKJA said in a statement. Some of those targeted received threats to their personal safety and were warned to give up their employment or position within associations, the HKJA added. "HKJA has gathered detailed information on a number of affected journalists and organisations," the statement read. Those affected include two journalism education institutions and 13 media outlets, including the executive committee of the HKJA, Hong Kong Free Press, InMediaHK, and HK Feature. Many of the letters and emails warned that association with the named organizations or people could be a violation of Hong Kongs national security laws. The emails and letters were sent anonymously, with emails sent from Microsoft Outlook accounts. On social media, posts showed photos of journalists and members of the HKJA executive committee pictured alongside images of knives, blood, shooting targets and "memorial" signs. The Hong Kong Free Press condemned the attacks. In a statement shared on social media, it said that the landlord of the news websites director, Tom Grundy, had received threatening letters, saying "unimaginable consequences" would occur unless Grundy was evicted from his property. Grundy reported the threats to police, the news website said. Media watchdog Reporters Without Borders or RSF called on the international community to take action. "We strongly condemn this harassment campaign led against the independent media outlets that managed to survive the previous waves of government repression," said Cedric Alviani, RSFs Asia-Pacific bureau director. "We urge the international community to intensify its pressure on the Chinese regime so press freedom is fully restored in the territory." VOA requested comment late Friday from the Hong Kong Police Force but did not immediately receive a response. Hong Kongs undersecretary for security, Michael Cheuk, told media "no one should be intimidated, insulted, or so-called harassed." Cheuk urged anyone who felt under pressure to report it to law agencies, Reuters reported. The HKJA said that it has contacted Meta, which owns Facebook, and Wikimedia Foundation, the two main platforms used in the harassment campaign. Cheng told VOA she believes a person or group of people are responsible. "Bots means they are machine-controlled. I dont think thats the case," she added. Details of the intimidation campaign come as critics warn that press freedom is being eroded in Hong Kong. Since Beijing imposed a national security law on Hong Kong in 2020 which carries life imprisonment for those found guilty of what are deemed as sedition, subversion, foreign interference or terrorism news outlets have shuttered and there is greater self-censorship on sensitive issues, say media experts. Activists, political figures, lawmakers and reporters are cautious about speaking on the record to the media, with most declining to be interviewed for fear of reprisal. Media unions like the Hong Kong Journalist Association have also come under pressure, after being criticized by authorities and Chinese state media for alleged links to activist organizations. The governments of Hong Kong and China have said that the security law has brought stability back to the former British colony. But since 2020, dozens of people have been arrested under the legislation. At least 28 of those arrested were journalists or press freedom defenders. In August, two journalists from the now-defunct Stand News website were found guilty of sedition in a landmark case. And the pro-democracy publisher Jimmy Lai is in prison and on trial under the national security law for charges he denies. Iraqi forces and American troops have killed a senior commander with the Islamic State group who was wanted by the United States, as well as several other prominent militants, Iraq's military said Friday. The operation in Iraq's western Anbar province began in late August, the Iraqi military said, and involved members of the Iraqi National Intelligence Service and Iraq's air force. Among the dead was an IS commander from Tunisia, known as Abu Ali Al-Tunisi; the U.S. Treasury Department had offered $5 million for information about him. Also killed was Ahmad Hamed Zwein, the IS deputy commander in Iraq. Despite their defeat, attacks by IS sleeper cells in Iraq and Syria have been on the rise over the past years, with scores of people killed or wounded. Friday's announcement was not the first news of the operation. Two weeks ago, officials said that the U.S. military and Iraq launched a joint raid targeting suspected IS militants in the country's western desert that killed at least 15 people and left seven American troops hurt. Five of the American troops were wounded in the raid itself, while two others suffered injuries from falls during the operation. One who suffered a fall was transported out of the region, while one of the wounded was evacuated for further treatment, a U.S. defense official said at the time, speaking on condition of anonymity. In Friday's announcement, the Iraqi military said the operation also confiscated weapons and computers, smartphones and 10 explosives belts. It added that 14 IS commanders were identified after DNA tests were conducted. It made no mention of the 15th person killed and whether that person had also been identified. The U.S. military has not commented on the August raid. The Islamic State group seized territory at the height of its power and declared a caliphate in large parts of Iraq and Syria in 2014 but was defeated in Iraq in 2017. In March 2019, the extremists lost the last sliver of land they once controlled in eastern Syria. At its peak, the group ruled an area half the size of the United Kingdom where it enforced its extreme interpretation of Islam, which included attacks on religious minority groups and harsh punishment of Muslims deemed to be apostates. Earlier Friday, the U.S. Central Command said its forces killed an IS attack cell member in a strike in eastern Syria. It added that the individual was planting an improvised explosive device for a planned attack against anti-IS coalition forces and their partners, an apparent reference to Syria's Kurdish-led Syrian Democratic Forces. In August last year, the U.S. had agreed to enter into talks to transition U.S. and anti-IS coalition forces from their long-standing role in assisting Iraq in combating IS. There are approximately 2,500 U.S. troops in the country, and their departure will take into account the security situation on the ground, and the capabilities of the Iraqi armed forces. Israeli airstrikes hit central and southern Gaza overnight into Saturday, killing at least 14 people as friends and family members of a Turkish-American activist killed by an Israeli soldier honored her in a funeral. The airstrikes in Gaza City hit one home housing 11 people, including three women and four children, and another strike hit a tent in Khan Younis with Palestinians displaced by the Israel-Hamas war, Gaza's Civil Defense said Saturday. They followed airstrikes earlier this week that hit a tent camp on Tuesday and a United Nations school sheltering displaced people on Wednesday. Polio vaccination campaign A campaign to inoculate children in Gaza against polio drew down, and the World Health Organization said about 559,000 people under the age of 10 seven out of every eight children the campaign aimed to vaccinate have recovered from their first dose. The second doses are expected to begin later this month as part of an effort in which the WHO said parties had already agreed to. "As we prepare for the next round in four weeks, were hopeful these pauses will hold, because this campaign has clearly shown the world whats possible when peace is given a chance, Richard Peeperkorn, WHOs representative in Gaza and the West Bank, said in a statement on Saturday. Turkish-American activist buried In Turkey, activist Aysenur Ezgi Eygi, the 26-year-old from Seattle who held U.S. and Turkish citizenships, was laid to rest in her hometown of Didim on the Aegean Sea. The Israeli military has said that Eygi was likely shot indirectly and unintentionally by Israeli forces in the occupied West Bank on September 6. Turkey announced it will conduct its own investigation into her death. An Israeli protester who witnessed the shooting said she was killed after a demonstration against Israeli settlements. We are not going to leave our daughters blood on the ground, and we demand responsibility and accountability for this murder, Numan Kurtulus, the speaker of Turkey's parliament, told mourners. Eygi's body had been earlier brought from a hospital to her family home and Didim's Central Mosque. Thousands of people bid her farewell in the town's streets, which were lined with Turkish flags. Her death was condemned by U.S. Secretary of State Antony Blinken as the United States, Egypt and Qatar push for a cease-fire and the release of the remaining hostages held by Hamas. Talks have repeatedly bogged down as Israel and Hamas accuse each other of making new and unacceptable demands. The war began when Hamas-led fighters killed some 1,200 people, mostly civilians, in an October 7 terror attack on southern Israel. They abducted 250 people and are still holding about 100 hostages after releasing most of the rest in exchange for Palestinians imprisoned by Israel during a weeklong cease-fire in November. About a third of the remaining hostages are believed to be dead. The United States, the U.K. and other Western countries designate Hamas as a terror group. The war has caused vast destruction and displaced roughly 90% of Gazas population of 2.3 million, often multiple times, and plunged the territory into a severe humanitarian crisis. Gazas Health Ministry says upwards of 41,000 Palestinians have been killed since the war began. The ministry does not distinguish between civilians and militants in its count, but says women and children make up just over half of the dead. Israel says it has killed more than 17,000 militants in the war. Japan and the United States should avoid confrontation about the steel industry and work together amid competition from China, the world's top steelmaker, leading prime ministerial candidate Shinjiro Koizumi said Saturday. Sources told Reuters Friday that a powerful U.S. national security panel reviewing Nippon Steel's $14.9 billion bid for U.S. Steel faces a September 23 deadline to recommend whether the White House should block the deal. Koizumi, Japan's former environment minister, said at a debate Saturday that Japan and the U.S. should not confront each other when it comes to the steel industry but to face together the shared challenge coming from China's steel industry. "If China, producing cheap steel without renewable or clean energy, floods the global market, it will most adversely affect us, the democratic countries playing by fair market rules," Koizumi said. Nippon Steel's key negotiator on the deal, Vice Chairman Takahiro Mori, said last month that his company and other Japanese steelmakers were urging Tokyo to consider curbing cheap steel imports coming from China to protect the local market. On Sunday, Nippon Steel and U.S. Steel sent a letter to U.S. President Joe Biden about their deal, as Biden, Democratic presidential nominee Kamala Harris and Republican presidential nominee Donald Trump have all opposed the merger. "We are also in the midst of elections, just like the U.S., and during elections, various ideas may arise. Overreacting to each of these would, in my view, call into question diplomatic judgment," Koizumi said when asked about the deal. Sanae Takaichi, Japan's minister in charge of economic security and another prime ministerial candidate, also defended the deal during the same debate attended by eight other Liberal Democratic Party's, or LDP, leadership contenders Saturday. "It appears they are using CFIUS to frame this as an economic security issue," she said, referring to the Committee on Foreign Investment in the United States. "However, Japan and the U.S. are allies, and the steel industry is about strengthening our combined resilience." The 43-year-old son of former Prime Minister Junichiro Koizumi, the junior Koizumi, is seen as a leading contender in the September 27 race to pick the LDP's new leader, who will become the next prime minister due to the party's control of parliament. Koizumi said Saturday that he would seek a dialog with the North Korean leadership to resolve the issue over the abduction of Japanese citizens kidnapped by North Korean agents in the 1970s and 1980s. The purported primary goal was to train North Korean agents to impersonate Japanese people. "We want to explore new opportunities for dialog between people of the same generation, without being bound by conventional approaches, and without preconditions," Koizumi said. After admitting in 2002 that it had abducted 13 Japanese, North Korea apologized and allowed five to return home. It said eight others had died and denied that an additional four entered its territory. It promised to reinvestigate but has never announced the results. Japan says North Korea has refused to send the others home because of concern that they might reveal inconvenient information about the country. The United States Navy's elite SEAL Team Six would likely have a limited role in defending Taiwan should China invade the self-governing and democratic island, say analysts responding to a Financial Times report that the unit has been training for it for more than one year. Lyle J. Morris, senior fellow for foreign policy and national security at the Asia Society Policy Institutes Center for China Analysis, tells VOA's Mandarin Service that if the unit is indeed preparing for an attack by Beijing, it may indicate that the U.S. is more deeply involved in defending Taiwan than previously thought. However, he stressed that the secret and precise combat characteristics of SEAL Team Six mean its role in resisting China's invasion of Taiwan would be very limited and the focus would be on carrying out special tasks. "As far as their sheer capability to repel an invasion. I think that's more limited," he says. "I think it's in a very discreet, narrow way of coming in for a specific task that Taiwan might need, whether it's helping to protect, let's say, an airfield in Taiwan or protect a communication asset in Taiwan, in Taipei, they could come in and out very discreetly and very lethally to protect that asset." SEAL Team Six specializes in performing sensitive, highly difficult missions. In 2009, the unit rescued Richard Phillips, captain of the MV Maersk Alabama, who was taken hostage by Somali pirates. The elite unit gained an international reputation after the successful raid on al-Qaida leader Osama bin Ladens compound in Pakistan in 2011. It is one of the most highly regarded U.S. military units, along with the United States Army's Delta Force, the 75th Ranger Regiment's Regimental Reconnaissance Company, the Intelligence Support Activity, and the Air Force's 24th Special Tactics Squadron, and part of the Joint Special Operations Command. "Navy SEALs usually deployed from submarines or, most likely, small ships and are useful for targeting vulnerabilities in enemies presentation," Richard D. Fisher Jr., senior fellow with the International Assessment and Strategy Center, tells VOA. Analysts say the U.S. military likely revealed the SEAL Team Six training program to the Financial Times to send a warning to Beijing amid Chinas increasingly assertive moves in the region. "This is one way the U.S. is bolstering deterrence towards China, towards preventing a Chinese invasion of Taiwan," says Morris. "So, I think this is just an added factor getting China to second guess or to reconsider what it will face if it were to invade Taiwan." Dennis Wilder, a senior fellow for the Initiative for U.S.-China Dialogue on Global Issues at Georgetown University and a former Central Intelligence Agency military analyst, posted on social media platform X, "It is sure to get under Beijing's skin." In a written response to VOA's Mandarin Service, Liu Pengyu, the spokesperson of the Chinese Embassy in Washington, said, "The Taiwan question is the very core of Chinas core interests and the first red line that must not be crossed in the China-U.S. relationship." He urged "the U.S. to earnestly abide by the one-China principle and the three China-U.S. joint communiques, stop enhancing military contact with the Taiwan region or arming it by any means or under whatever pretext, stop creating factors that could heighten tensions in the Taiwan Strait, and stop conniving at and supporting the separatists attempt to seek 'Taiwan independence by force." Taiwan split from China during the civil war that saw the Communist Party seize power in Beijing in 1949 and the Nationalist Party flee to the island, which developed into a flourishing democracy. But Chinas one-party, authoritarian state never gave up its claim to Taiwan and considers it a renegade province that must one day reunite with the mainland, by force if necessary. Admiral Philips Davidson, former commander of the U.S. Indo-Pacific Command, warned in 2021 that China could attack Taiwan within six years. President Joe Biden has repeatedly stressed that if China invades Taiwan, the U.S. will intervene militarily to defend it. The U.S. Department of Defense declined to confirm or deny the Financial Times report. Pentagon spokesperson John Supple said in an email to VOA, "The DoD and our service members prepare and train for a wide range of contingencies. We will not comment on specifics, but will restate that we are committed to our longstanding one China policy, guided by the Taiwan Relations Act, the Three Joint Communiques, and the Six Assurances. As we have said before, conflict is neither imminent nor inevitable." Americas one-China policy is a strategically ambiguous agreement it made in 1972 to establish relations with China that recognizes Beijing as the only government of China and acknowledges, but does not endorse, Beijings claim that Taiwan is part of China. Washington has unofficial relations with Taiwan defined through the Taiwan Relations Act, the Three Joint Communiques with Beijing, and the Six Assurances with Taipei, which underscore U.S. opposition to attempts to unilaterally alter the status quo and determination to help Taiwan defend itself through weapons sales. There has been previous U.S. military training for Taiwans defense, both on the island and in the United States. Reuters reported last year the U.S. was set to expand the number of troops helping train Taiwanese forces on the island, and Taiwanese officials confirmed more of their troops would be training in the U.S. Recent moves by Pyongyang have focused attention on what will be one of the first major foreign policy challenges facing the next U.S. president: how to deal with North Koreas rapidly developing nuclear threat. In a set of rapid-fire developments on Friday: North Korean leader Kim Jong Un called for an exponential increase in the size of his nations nuclear arsenal, according to the state-run news agency KCNA. He made the same call in speeches on Tuesday and on the last day of 2022. State media released photos for the first time of the Nuclear Weapons Institute where North Korea processes uranium for the manufacture of nuclear weapons. The photos, which showed a sophisticated array of centrifuges, were made public as Kim toured the facility. North Korea announced that it had tested a new type of 600 mm multiple rocket launcher the previous day. South Korea said on Thursday that North Korea test-fired several short-range ballistic missiles into the waters off the eastern coast. The developments came in the context of enhanced military cooperation between North Korea and Russia, which is believed to be helping Pyongyang to develop its weapons capabilities in exchange for munitions used in Moscows invasion of Ukraine. The threat from North Koreas nuclear and missile programs has been growing steadily and virtually unchecked over the course of several U.S. administrations, said Evans Revere, a former State Department official with extensive experience negotiating with North Korea. Whoever the next U.S. president is, she or he will face a more sophisticated and dangerous North Korean threat. Revere said in an interview that the winner of the U.S. election would have to find ways to weaken the link between Moscow and Pyongyang and demonstrate to Beijing that its partnership without limits with Russia is a dangerous and ill-advised path that will yield no benefits for China. Russian President Vladimir Putin and Chinese President Xi Jinping declared in May a new era in opposition to the U.S. and reaffirmed the no limits partnership that was first announced just days before Russia's invasion of Ukraine in February 2022. While China has held back on providing Russia with arms for its war effort, the United States has accused it of delivering electronic components and other dual-use items that are keeping Moscows arms industry afloat. Pyongyang, for its part, denies participating in any arms transfers to Russia, an act that would violate United Nations sanctions. But a report this week by Conflict Armament Research, a U.K.-based group that tracks weapons in armed conflicts, said parts from four North Korean missiles have been found in Ukraine. The missiles, examined by Kyiv, are either KN-23 or KN-24, known as Hwasong-11 short-range missile series, and thought to have been used in attacks in July and August, the report said. Pyongyang-Moscow military ties have also been expanded to include tourism, trade, and economic and technical cooperation. This makes the use of sanctions less effective as a policy tool to counter North Koreas nuclear buildup, according to Gary Samore, former White House coordinator for arms control and weapons of mass destruction during the Obama administration. Thats not as much leverage now as it was before because of the Russian-North Korean relationship, said Samore. The U.S. doesnt have very strong economic leverage that it can use with North Korea. With few obvious policy options available, the two presidential candidates former President Donald Trump and Vice President Kamala Harris have largely confined themselves to criticizing each others approach without laying out any specific plans to roll back the North Korean threat. At Tuesday nights televised debate, Harris criticized Trump for exchanging love letters with Kim Jong Un during his presidency while Trump disapproved of the current administrations handling of the issue, saying, Look at whats going on in North Korea. During his presidency, Trump held three summits with Kim but the diplomatic effort ultimately failed when Trump refused Kims demand for sanctions relief in exchange for a partial rollback of his nuclear program. There have been no formal talks between the two countries since, although the Biden administration insists it is open to negotiations without preconditions, a policy that Harris could be expected to continue if elected. The Biden administration also maintains that its goal remains the complete denuclearization of the Korean Peninsula, even as many experts suggest it is time to acknowledge that Pyongyang will not give up its weapons and say the international community should focus on containment. Samore predicted that a Harris administration would continue to say that as an ultimate objective ... the U.S. seeks denuclearization in the long term. A second Trump administration, he theorized, may say denuclearization is no longer possible and accept North Korea as a nuclear power. Robert Rapson, who served as charge daffaires and deputy chief of mission at the U.S. Embassy in Seoul from 2018 to 2021, said much would depend on how the winner of the election decides to work with regional allies South Korea and Japan. In the likely absence of any grand outreach towards Pyongyang, Harris will have to carefully manage the relationship with ally Seoul, with a focus for the foreseeable future on maintaining peace and stability on the peninsula, he said. He added that it was uncertain at this moment whether Trump would feel compelled to reach out to Kim and whether he would diminish the value of the alliances with South Korea and Japan. Eunjung Cho contributed to this report. The largest dam removal project in U.S. history was completed Wednesday, marking a major victory for tribes in the region who fought for decades to free hundreds of miles of the Klamath River near the California-Oregon border. Through protests, testimony and lawsuits, local tribes showcased the environmental devastation due to the four towering hydroelectric dams, especially to salmon, which are culturally and spiritually significant to tribes in the region. The dams cut salmon off from their historic habitat and caused them to die in alarming numbers because of bad water-quality conditions. Without the tribes' work "to point out the damage that these dams were doing, not only to the environment, but to the social and cultural fabric of these tribal nations, there would be no dam removal," said Mark Bransom, chief executive of the Klamath River Renewal Corporation, the nonprofit entity created to oversee the project. Power company PacifiCorp built the dams to generate electricity between 1918 and 1962. But the structures halted the natural flow of the waterway that was once known as the third-largest salmon-producing river on the West Coast. They disrupted the life cycle of the region's salmon, which spend most of their life in the Pacific Ocean but return to the chilly mountain streams to lay eggs. At the same time, the dams produced only a small fraction of PacifiCorp's energy at full capacity enough to power about 70,000 homes. They also didn't provide irrigation, drinking water or flood control, according to Klamath River Renewal Corporation. Since breaching the dams, salmon regained access to their habitat, water temperature decreased and its quality improved, said Michael Belchik, senior water policy analyst for the Yurok Tribe. But tribal advocates and activists see their work as far from finished, with some already refocusing their efforts on revegetation and other restoration work on the Klamath River and the surrounding land. Here's a look at just a few of the many tribal members at the center of this struggle for dam removal: 'I really felt an urgency' When Karuk tribal member Molli Myers took her first major step into the fight for Klamath dam removal, she was six months pregnant, had a toddler in tow and was in a foreign country for the first time. It was 2004 and she had organized a group of about 25 tribal members to fly to Scotland for the annual general stockholders meeting for Scottish Power, PacifiCorp's parent company at the time. For hours, they protested outside with signs, sang and played drums. They cooked fish on Calton Hill over a fire of scotch barrels and gave it out to locals as they explained why they were there. "I really felt an urgency because I was having babies," said Myers, who was born and raised in the middle Klamath in a traditional fishing family. "And so for me I was internalizing the responsibility to take care of their future." The initial trigger for her to act came two years before that when she saw some of the tens of thousands of salmon die in the river from a bacterial outbreak caused by low water and warm temperatures. "Looking back on it now I wonder where would we be if that hadn't happened," said Myers, 41. "Looking back on it now I can say, 'Was this our creator's call to action?' " She spent the next two decades protesting and flooding state and federal meetings with tribal testimony, including waiting with other tribal members at the doors of a Berkshire Hathaway shareholder meeting at 4 a.m. in 2007 to ask Warren Buffett what he was going to do about the dams. PacifiCorp was at that point part of Buffett's Berkshire Hathaway Inc. conglomerate. Today, those same children with her in Scotland are 21 and 19, and with the dams gone Myers said she sees the hope they and her other three children have about the future. "They can do whatever needs to get done because they saw it happen, they lived it, so now there's no impossible for them," she said. 'His vision became reality' For Yurok elder Jacqueline Winter, her feelings on the newly free-flowing river are more complicated. The 89-year-old's son, Troy Fletcher, was the tribe's point person for dam removal for two decades, testifying in front of the U.S. Congress and presenting to state and federal regulatory committees. But his true power came through his ability to bring people with radically conflicting viewpoints from farmers to commercial fishers to tribal members together. Winter said that came from his belief that everyone living along the river are relatives and deserve to be heard. "We're all family. None of us can be left hurting and all of us have to give a little," she said was his message. But at 53, the former executive director for the Yurok Tribe died unexpectedly from a heart attack, nearly a decade before that vision of a free-flowing river would finally be realized. Winter said when she saw the dams breached last month, it felt like his spirit was there through those he touched and she could finally let him go. "His vision became reality and I think he never doubted it," she said. "He never doubted it. And those who worked closely with him never doubted it." 'Protect those fish' Former Klamath Tribes Chairman Jeff Mitchell's work since the 1970s for dam removal came out of the belief that the salmon are their relatives. "They were gifted to us by our creator and given to us to preserve and to protect and also to help give us life," said Mitchell, chair of the tribe's Culture and Heritage Committee. "As such, the creator also instructed us to make sure that we do everything in our power to protect those fish." The Klamath River's headwaters lie on the tribe's homelands in Oregon, and members once depended on salmon for 25% of their food. But for more than a century their waters have not held any salmon, he said. Mitchell and other tribal members' fight to bring them back has cycled through several forms. There were the years of protesting, even gathering carcasses of fish after the 2002 fish kill and leaving them on the doorsteps of federal office buildings. There were his days of walking the halls of the state Legislature in Salem, Oregon, meeting with lawmakers about the millions in funding needed to make dam removal happen. Today, he said he feels like they achieved the impossible, but there's still more work to do. "I'm happy that the dams are gone and we have passage," he said. "But now I'm thinking about what are those fish coming home to. And that's really the focus now, is how do we get the parties to start taking restoration actions and making that the top priority in all of this?" Many cities have been reshaped by immigrants in the last few years without attracting much notice. Not Springfield, Ohio. Its story of economic renewal and related growing pains has been thrust into the national conversation in a presidential election year and maliciously distorted by false rumors that Haitian immigrants are eating their neighbors' pets. Donald Trump amplified those lies during Tuesday's nationally televised debate, exacerbating some residents' fears about growing divisiveness in the predominantly white, blue-collar city of about 60,000. At the city's Haitian Community Help and Support Center on Wednesday, Rose-Thamar Joseph said many of the roughly 15,000 immigrants who arrived in the past few years were drawn by good jobs and the city's relative affordability. But a rising sense of unease has crept in as longtime residents increasingly bristle at newcomers taking jobs at factories, driving up housing costs, worsening traffic and straining city services. "Some of them are talking about living in fear. Some of them are scared for their life," Joseph said. A "Welcome To Our City" sign hangs from a parking garage downtown, where a coffee shop, bakery and boutique line Springfield's main drag, North Fountain Street. A flag advertising "CultureFest," the city's annual celebration of unity through diversity, waves from a pole nearby. Melanie Flax Wilt, a Republican commissioner in the county where Springfield is located, said she has been pushing for community and political leaders to "stop feeding the fear." "After the election and everybody's done using Springfield, Ohio, as a talking point for immigration reform, we are going to be the ones here still living through the challenges and coming up with the solutions," she said. Ariel Dominique, executive director of the Haitian American Foundation for Democracy, said she laughed at first at the absurdity of the false claims. But seeing the comments repeated on national television by the former president was painful. "It is so unfair and unjust and completely contrary to what we have contributed to the world, what we have contributed to this nation for so long," Dominique said. The falsehoods about Springfield's Haitian immigrants were spread online by Trump's running mate, JD Vance, on the eve of Tuesday's debate between Trump and Vice President Kamala Harris. It's part of a timeworn American political tradition of casting immigrants as outsiders. "This is what's happening in our country. And it's a shame," Trump said at the debate after repeating the falsehoods. When challenged by ABC News moderator David Muir over the false claims, Trump held firm, saying "people on television" said their dogs were eaten, but he offered no evidence. Officials in Springfield have tried to tamp down the misinformation by saying there have been no credible or detailed reports of any pets being abducted or eaten. State leaders are trying to help address some of the real challenges facing the city. Ohio Gov. Mike DeWine, a Republican, said Tuesday he would add more law enforcement and health care resources to an aid package the state has already provided to Springfield. Many Haitians have come to the U.S. to flee poverty and violence. They have embraced President Joe Biden's new and expanded legal pathways to enter, and have shunned illegal crossings, accounting for only 92 border arrests out of more than 56,000 in July, the latest data available. The Biden administration recently announced an estimated 300,000 Haitians in the U.S. could remain in the country at least through February 2026, with eligibility for work authorization, under a law called Temporary Protected Status. The goal is to spare people from being deported to countries in turmoil. Springfield, about 72 kilometers from the state capital of Columbus, suffered a steep decline in its manufacturing sector toward the end of the last century, and its population shrank as a result. But its downtown has been revitalized in recent years as more Haitians arrived and helped meet the rising demand for labor as the economy emerged from the pandemic. Officials say Haitians now account for about 15% of the population. The city was shaken last year when a minivan slammed into a school bus, killing an 11-year-old boy. The driver was a Haitian man who recently settled in the area and was driving without a valid license. During a city commission meeting on Tuesday, the boy's parents condemned politicians' use of their son's death to stoke hatred. Last week, a post on the social media platform X shared what looked like a screengrab of a social media post apparently out of Springfield. The post claimed without evidence that the person's "neighbor's daughter's friend" saw a cat hanging from a tree to be butchered and eaten, outside a house where it claimed Haitians lived. It was accompanied by a photo of a Black man carrying what appeared to be a goose by its feet. On Monday, Vance posted on X: "Reports now show that people have had their pets abducted and eaten by people who shouldn't be in this country." The next day, he posted again, saying his office had received inquiries from Springfield residents who said "their neighbors' pets or local wildlife were abducted by Haitian migrants." Longtime Springfield resident Chris Hazel, who knows the park and neighborhood where the pet and goose abductions were purported to have happened, called the claims "preposterous." "It reminds me of when people used to accuse others and outsiders as cannibals. It's dehumanizing a community," he said of the accusations against the city's Haitian residents. Sophia Pierrilus, the daughter of a former Haitian diplomat who moved to the Ohio capital of Columbus 15 years ago and is now an immigrant advocate, agreed, calling it all political. "My view is that's their way to use Haitians as a scapegoat to bring some kind of chaos in America," she said. With its rising population of immigrants, Springfield is hardly an outlier. So far this decade, immigration has accounted for almost three-quarters of U.S. population growth, with 2.5 million immigrants arriving in the United States between 2020 and 2023, according to the U.S. Census Bureau. Population growth is an important driver of economic growth. "The Haitian immigrants who started moving to Springfield the last few years are the reason why the economy and the labor force has been revitalized there," said Guerline Jozef, executive director of the Haitian Bridge Alliance, which provides legal and social services to immigrants across the U.S. Now, she said, Haitians in Springfield have told her that, out of fear, they are considering leaving the city. JUBA, Sept. 14 (Xinhua) -- South Sudan's transitional government on Friday announced the postponement of long-delayed elections and extended the transition period by two years after failing to meet key provisions of a peace agreement. This is the second time the country, which gained independence in 2011, has postponed elections and extended a transition period that began in February 2020. The extension follows recommendations from both election-related institutions and the security sector, which stressed that the additional time would allow for the completion of essential tasks before the next elections, said Martin Elia Lomuro, minister for Cabinet Affairs in the transitional government. He noted that the government has reset the transition period, which will begin in February 2025 and last until 2026. The world's youngest nation was expected to elect leaders in the country's first-ever general elections on Dec. 22, 2026, at the end of the transitional period. The Revitalized Agreement on the Resolution of the Conflict in South Sudan was signed in 2018 to end the bloody civil war that had killed some 400,000 people, according to the United Nations. Under the agreement, the government was supposed to be dissolved on Sept. 22 as South Sudan prepared for elections in December this year. She was eight months pregnant when she was forced to leave her Denver homeless shelter. It was November. Ivanni Herrera took her 4-year-old son, Dylan, by the hand and led him into the chilly night, dragging a suitcase containing donated clothes and blankets away from the Microtel Inn & Suites. It was one of 10 hotels where Denver has housed more than 30,000 migrants, many of them Venezuelan, over the last two years. First, they walked to Walmart. There, with money she and her husband earned begging on the street, they bought a tent. They chose for their new home a grassy median along a busy thoroughfare in Aurora, the next town over, a suburb known for its immigrant population. We wanted to go somewhere where there were people, Herrera, 28, said in Spanish. It feels safer. That night, temperatures dipped to 32 degrees. And as she wrapped her body around her son's, Ivanni Herrera cried. Over the past two years, a record number of Venezuelans have come to the United States seeking a better life. Instead, theyve found themselves in communities roiling over how much to help the newcomers or whether to help at all. Unable to legally work without filing expensive and complicated paperwork, some have found themselves sleeping on the streets even those who are pregnant. Herrera had found inspiration for her journey to the U.S. on social media. On Facebook and TikTok, young, smiling Venezuelan migrants in nice clothes stood in front of new cars. Some 320,000 Venezuelans have tried to cross the U.S. border since October 2022, according to U.S. Border Patrol reports more than in the previous nine years combined. Just weeks after arriving in Denver, Herrera began to wonder if the success she had seen was real. She was seeing doctors and social workers at a Denver hospital where she planned to give birth because they served everyone, even those without insurance. They were alarmed their pregnant patient was now sleeping outside in the cold. In Colorado's third-largest city, Aurora, officials have turned down requests to help migrants. In February, the City Council passed a resolution telling other cities and nonprofits not to bring migrants into the community because it does not currently have the financial capacity to fund new services. Yet still they come, because of its lower cost of living and Spanish-speaking community. Former President Donald Trump last week called attention to the city, suggesting a Venezuelan gang had taken over an apartment complex. Authorities say that hasnt happened. The doctors urged Herrera to sleep at the hospital. It wouldnt cost anything, they assured her, just as her birth would be covered by emergency Medicaid. Herrera refused. How," she asked, "could I sleep in a warm place when my son is cold on the street? Denver struggled to keep up with the rush of migrants, many arriving on buses chartered by Texas to draw attention to the impact of immigration. All told, Denver officials say they have helped some 42,700 migrants since last year, either by giving them shelter or a bus fare to another city. Initially, the city offered migrants with families six weeks in a hotel. But any migrants arriving since May have received only three days in a hotel. After that, some have found transportation to other cities, scrounged for a place to sleep or wandered into nearby towns like Aurora. Today, fewer migrants are coming to the Denver area. But Candice Marley, founder of a nonprofit called All Souls, still receives dozens of outreaches per week from social service agencies looking to help homeless migrants. All Souls had run encampments for migrants, but Denver shut them down because they lacked a permit. Its so frustrating that we cant help them, Marley said. That leaves families camping on their own, unsupported, living in their cars. Kids cant get into school. Theres no stability. When Herrera started feeling labor pains in early December, she waited until she couldnt bear the pain anymore and could feel the baby getting close. She called an ambulance. The paramedics didnt speak Spanish but called an interpreter. They told Herrera they had to take her to the closest hospital, instead of the one in Denver, since her contractions were so close together. Her son was born healthy at 7 pounds, 8 ounces. She took him to the tent the next day. A few days later the whole family, including the baby, had contracted chicken pox. The baby was in a bad state, said Emily Rodriguez, a close friend living with her family in a tent next to Herreras. Herrera took him to the hospital, then returned to the tent before being offered a way out. An Aurora woman originally from Mexico invited the family to live with her at first, for free. After a couple weeks, the family moved to a small room in the garage for $800 a month. To earn rent and pay expenses, Herrera and Rodriguez have cleaned homes, painted houses and shoveled snow while their children waited in a car by themselves. Finding regular work and actually getting paid for it has been difficult. While their husbands can get semi-regular work in construction, the womens most consistent income comes from standing outside with their children and begging. On a good day, each earns about $50. Herrera and her husband recently became eligible to apply for work permits and legal residency for Venezuelans who arrived in the United States last year. But it will cost $800 each for a lawyer to file the paperwork, along with hundreds of dollars in government fees. They don't have the money. What's worse, they're deeply in debt. Despite what the hospital had said when she was pregnant, Herrera was never signed up for emergency Medicaid. She says she owes $18,000 for the ambulance ride and delivery of her baby. Now, she avoids going to the doctor or taking her children because shes afraid her large debt will jeopardize her chances of staying in the U.S. Im afraid theyre going to deport me, she says. Herrera and Rodriguez now hold cardboard signs along a busy street in Denver and then knock on the doors of private homes, never returning to the same address. They type up their request for clothes, food or money on their phones and translate it to English using Google. They hand their phones to whoever answers the door. Herrera recently sent $500 to her sister to make the monthslong trip from Venezuela to Aurora with Herreras 8-year-old daughter. Ill have my family back together, she says. And she believes her sister will be able to watch her kids so Herrera can look for work. The problem is, Herrera hasnt told her family back in Venezuela how she spends her time. They think Im fixing up homes and selling chocolate and flowers, she says. Im living a lie. Finally, her sister and daughter are waiting across the border in Mexico. When we come to America, her sister asks, could we fly to Denver? The tickets are $600. Herrera has to come clean. Life is far more difficult than she has let on. She texts back: No. The Pentagon says it has nearly $6 billion in funding for Ukraine left that could expire at the end of this month unless Congress or the State Department acts to extend the military's authority to draw weapons from its stockpiles to send to Kyiv. "We have $5.9 billion left in Ukraine Presidential Drawdown Authority, all but $100 million of which will expire at the end of the fiscal year," Pentagon press secretary Major General Pat Ryder said Friday. "The department will continue to provide drawdown packages in the near future and is working with Congress to seek an extension of PDA [presidential drawdown] authorities beyond the end of the fiscal year." A defense official, who spoke to VOA on the condition of anonymity, said Congress' monthslong deadlock in passing the supplemental funding bill for Ukraine was a "contributing factor" as to why billions of dollars for weapons remained unspent. The money was expected to be allocated for Ukraine last year, but the U.S. House was unable to pass the $95 billion foreign aid package for Ukraine, Israel and Taiwan until late April of this year. Of that, about $61 billion was earmarked for Ukraine. The official said the delay left the Pentagon with less time to identify and send military aid to Kyiv from its stockpiles. The nearly $6 billion left in funding amounts to less than 10% of the aid allocated in April to address the conflict in Ukraine. Speaking in response to a VOA question earlier this month, deputy Pentagon press secretary Sabrina Singh said the Pentagon would "use everything we can that's available to us to make sure that we are continuing to provide Ukraine what it needs, both in the short term and the long term." "We're in this fight with Ukraine for the long haul," she said. Two ways to ensure access There are two ways to make sure that access to the remaining funds will not expire at the beginning of October, Mykola Murskyj, director of advocacy for the NGO Razom for Ukraine, told VOA. The first is that Congress has to approve it again. This requires lawmakers to pass a provision that would extend the authority to use the remaining amount in the next budget year. House members from both sides of the aisle have expressed support for extending the authorities so that all the allocated funding for Kyiv can be used. "If we need to extend it, we'll extend it," Representative Adam Smith, the ranking Democrat on the House Armed Services Committee, told VOA. Representative Mike Lawler, a Republican on the House Committee on Foreign Affairs, added that he would "push to get it done" if the funding was not all spent by the deadline. The second way to ensure the military can access the remaining funds is for the State Department to notify Congress of its intent to use the funds, according to Murskyj. In this case, lawmakers will not need to vote on the extension, but formal notification must be issued by the secretary of state, as has been done in the past. A State Department spokesperson would not comment on whether it would issue the extension, saying it would not discuss communications with lawmakers and their staffs, but would continue "to coordinate closely with Congress concerning the steadfast support that the United States, our allies and our partners worldwide are providing to help Ukraine defend itself against Russia's premeditated, unprovoked and unjustified war." Murskyj told VOA his advocacy group was working with members of Congress to extend the funds. "However, I am not going to put all of my eggs in that basket," he said, because "it is very difficult to predict what Congress will do, and there's always the potential for some kind of last-minute derailment." In a letter to the administration, members of pro-Ukrainian nongovernmental organizations said extending these funds "would send a powerful message to Ukraine, Russia and American voters that the administration wants Ukraine to win." Kateryna Lisunova of VOA's Ukrainian Service contributed to this report. More than 100 Rohingya refugees who have for years been detained at a transit camp in the northeast Indian state of Assam have launched a hunger strike demanding that they be handed over to the United Nations refugee agency in New Delhi, transferred to a detention facility in the Indian capital, and that the process of resettlement in a third country be started. The 103 Muslim Rohingya refugees have been on hunger strike since Monday at the Matia Transit Camp, where immigrants, most of whom entered the country illegally, are held. Local authorities said 30 Christian Chin refugees, also from Myanmar, are on hunger strike, too, in solidarity with the Rohingyas. A midlevel police officer in Goalpara district, where the camp is located, told VOA Thursday that senior Home Affairs Ministry officials from the state headquarters were on their way to investigate the issue. The officials will interact with their counterparts at the camp, as well as the detainees who are on hunger strike, and aim to resolve the issues. The detainees, who are from Myanmar, are demanding to be released from the camp, said the police officer, who spoke on condition of anonymity because he is not authorized to speak to the media. All the officials are trying to resolve the issue as soon as possible. Sabber Kyaw Min, an India-based Rohingya rights activist who is monitoring the situation, said that the refugees in the detention center were living in poor hygienic conditions and received inhumane treatment. Fleeing genocide in Myanmar, our people took refuge in India. Our home country continues to be increasingly unsafe for us. But we are facing persecution here our people are being imprisoned in India, Min, head of the Rohingya Human Rights Initiative, told VOA. At least 40 of the Rohingya refugees at the Matia camp hold UNHCR cards, he said, using the acronym for the U.N. High Commissioner for Refugees. Yet they are treated like criminals and have been detained. Many Rohingyas have been in detention for as long as 10 or 12 years. They have finished their terms long ago. Yet they are being detained. India has not signed the 1951 U.N. Refugee Convention or its 1967 Protocol and views all Rohingya refugees as "illegal immigrants," although they have lived peacefully in the country for decades. Since Narendra Modis Hindu nationalist Bharatiya Janata Party came to power in 2014, however, Rohingya refugees have faced trouble in India. After repeated directives from the Indian Home Affairs Ministry in recent years, Indian states have been detaining Rohingya refugees under charges of illegal entry into the country. According to the UNHCR, 676 Rohingyas are in detention in India, but Rohingya rights activists put the figure at 1,000. Min said that in many cases, Rohingya refugees are being held in detention illegally. Jan Mohammad, a Rohingya refugee who recently moved back to Bangladesh from India, told VOA Friday that a relative at the Matia camp told him Rohingyas there were facing torture. My relative sent an audio message to me from inside the camp in which he said that the inmates were suffering from poor health care facilities. The supply of drinking water was inadequate. Some were even drinking toilet water. The living conditions in the camp were abominable, Mohammad said. During winter, they often could not sleep at night because they did not have enough blankets. When they complained about the poor amenities, they were beaten by the guards there. Many inmates there often cried, saying that their detention was for an indefinite period and they would die there, my relative said in his message, three months ago. VOAs email to the Assam home ministry seeking a reaction to the issue has not received a response. In July, a Supreme Court said the living conditions in several detention centers were deplorable while hearing a related petition. In July, 35 Rohingya inmates of the Matia camp wrote to the local administration seeking resettlement in a third country or transfer to a facility with better conditions. The inmates began their hunger strike on Monday, apparently because the authorities did not respond to their appeal. The Indian Home Affairs Ministry said years ago Rohingyas detained in India would ultimately be deported to Myanmar, but only 18 have been deported there since 2021. The London-based Burmese Rohingya Organization UK said in a statement Wednesday that the hunger strike at Matia camp was a direct response to their prolonged and arbitrary detention and the severe human rights abuses they endure. The arbitrary detention of Rohingya refugees in India represents a grave injustice. These individuals, who have already faced unimaginable atrocities, are subjected to further mistreatment. The Indian government must act immediately to end these unlawful detentions and address the abysmal conditions within detention centers, Tun Khin, president of the organization, said in the statement. New Delhi-based lawyer Ujjaini Chatterji, who argues against indefinite detention of Rohingya refugees in India, told VOA Friday that "the Rohingyas cannot be detained without following the due process established by law. The due process includes serving prior notice to them with an opportunity to present their case, and also for the Rohingyas to be told the grounds for their arrest or detention while being given access to adequate legal representation and contact with friends and family, Chatterji told the VOA. Indefinite detention is an absolute violation of not only the very thrust of the Constitution of India, but also against various precedents set through judgments by the high courts and the Supreme Court of India, said Chatterji. The percentage of U.S. residents who were foreign-born last year grew to its highest level in more than a century, according to figures released Thursday from the most comprehensive survey of American life. The share of people born outside the United States increased in 2023 to 14.3% from 13.9% in 2022, according to estimates from the U.S. Census Bureau's annual American Community Survey, which tracks commuting times, internet access, family life, income, education levels, disabilities, military service and employment, among other topics. International migrants have become a primary driver of population growth this decade, increasing their share of the overall population as fewer children are being born in the U.S. compared with numbers from years past. The rate of the foreign-born population in the United States hasn't been this high since 1910, when it was 14.7%, driven by waves of people emigrating in search of a better life. "We knew that here you can have savings, live well. Here you can have normal services such as water and electricity," said Luciana Bracho, who moved legally to Miami from Venezuela as part of a humanitarian parole program with her boyfriend, parents and brother in April 2023. "I like Miami and the opportunities that I have had." In 2023, international migrants accounted for more than two-thirds of the population growth in the United States, and so far this decade they have made up almost three-quarters of U.S. growth. The growth appears to have been driven by people coming from Latin America, whose share of the foreign-born population increased year-over-year to 51.2% from 50.3%, according to the estimates. Latin America was the only world region of origin to experience an increase among those U.S. residents born in another country, as the share of foreign-born residents from Europe and Asia dropped slightly. Nicole Diaz, a Venezuelan opposition activist, left after receiving threats to her life and lived in Peru and Ecuador before moving to the Miami area legally in February 2023 with her husband and 9-year-old daughter. Diaz described herself as "100% happy" living in South Florida, where they pay $2,300 a month for a two-bedroom apartment. "After being in different countries, working here is relaxed, despite the language," Diaz said. "But housing is very expensive, and we have been evaluating moving to another state because here all the salary goes for the rent." Among the states with the largest year-over-year bumps in the foreign-born population was Delaware, going to 11.2% from 9.9%; Georgia, to 11.6% from 10.7%; and New Mexico, to 10.2% from 9.3% The share of the foreign-born population dropped slightly in Washington, D.C., Idaho, Iowa, Maine, Minnesota, Montana, North Dakota and Oregon. The Census Bureau figures don't distinguish whether people are in the United States legally or illegally. Illegal immigration has become a top issue in the 2024 presidential race, even as illegal border crossings from Mexico plunged this summer after reaching a record last December. The share of U.S. residents who identify as Hispanic, no matter what race, rose last year to 19.4% from 19.1% in the previous year, according to the survey. At the same time, those who identify as non-Hispanic white alone dropped from 57.7% to 57.1%. The share of U.S. residents who identify as Black alone dropped slightly, from 12.2% to 12.1%, and it increased slightly for those who identify as Asian alone from 5.9% to 6%. Residents in the United States continued to get older, with the median age increasing from 39 in 2022 to 39.2 in 2023. The nation's aging is taking place as a majority of baby boomers have become senior citizens and millennials are entering middle age. While the share of children under age 18 remained steady at 21.7% year-over-year, the share of senior citizens age 65 and over increased to 17.7% from 17.3%. Meanwhile, a post-pandemic bump in working from home continued its slide back to pre-COVID-19 times, as the share of employees working from home dropped last year to 13.8% from 15.2% in the previous year. In 2021, the first full year after the pandemic's start, almost 18% of employees were working from home, up from 5.7% in 2019. But return-to-office mandates in the past two years have reversed that trend and caused commute times to bump up slightly last year, growing on average to 26.8 minutes from 26.4 minutes. The survey also showed that the median cost of renting, plus utilities and related expenses, grew faster than median home values in 2023 for the first time in a decade. The 3.8% jump in rental costs was the largest annual increase since at least 2011. Despite the spike in rental costs, the share of renter income spent on rent and utilities remained unchanged at 31% in 2023, suggesting that incomes kept pace with rent hikes, the Census Bureau said. Somalia's minister of foreign affairs has said Somalia will consider establishing contacts with and support rebels fighting in Ethiopia if the Ethiopian government attempts to implement the deal it signed with Somaliland. Ahmed Moalim Fiqi was answering a question from local Universal TV on September 12. "The option to have contacts with armed rebels in Ethiopia or rebels that are fighting against the Ethiopia regime if it continues this, to have contact with them is an option open to Somalia, it's a door open to us," he said. Fiqi insisted the situation has not yet reached that stage. "We have not reached that stage, there is a hope there will be a solution. But it is a path open to us it's the correct thing to go there, to take that path to meet them, to support them, to stand by them (the rebels). But that will come when they continue their hostility, and attempt to implement the so-called agreement," he said. Fiqi was asked if the Somali government has a plan to contact the Tigray People's Liberation Front, which was involved in two years of deadly war against Ethiopia's federal government until the Pretoria Cessation of Hostilities Agreement in November 2022. "We discussed that, but at this time the collapse of Ethiopia is not in the interest of Somalia and the Horn of Africa region. But if they continue to [support] those opposing Somalia and with the secessionist groups [that] they have signed [an] agreement with, it's an option for us," he responded. Ethiopia's deputy permanent representative to the African Union and the United Nations Economic Commission for Africa, Nebiyu Tedla, criticized the comments from Somalia's minster of foreign affairs. "It's comical to witness al-Shabab agents posing as government officials, who are unable to function effectively outside of Banaadir (the Mogadishu area) babbling hallow nationalism, driven by narrow clan centralization," Nebiyu posted on X. "Such will only undermine years of progress and lead Somalia into the pit," added Nebiyu, who formerly served as spokesperson for Ethiopia's ministry of foreign affairs. Relations between the two countries have been warming up during the last three decades as Ethiopia hosted reconciliation conferences and sent troops to help successive Somali governments. But relations turned sour when Ethiopian Prime Minister Abiy Ahmed signed a memorandum of understanding (MOU) with the president of the self-declared, breakaway republic of Somaliland on January 1, 2024. According to Somaliland officials, under the MOU Ethiopia will be the first country to recognize Somaliland as an independent nation in return for the leasing of 20 kilometers (12 miles) of seashore to be used as a naval base by Ethiopia for 50 years. Somalia sees the deal as infringement to its sovereignty. On Friday, U.S. Special Envoy to the Horn of Africa Mike Hammer, speaking in Addis Ababa, urged both countries to find ways to work together. "Let me state unequivocally the United States supports Somalia's territorial sovereignty, integrity and unity, and we have made that very clear," he said. "We want to see peaceful and good relations between all countries in the region and certainly between Ethiopia and Somalia. And we urge both to find ways to move forward and look how they can continue to work together. We are well aware of the ENDF's [Ethiopian National Defense Forces] and Ethiopia's sacrifice in Somalia in the fight against al-Shabab. That is what we should be focusing on, frankly from the U.S. perspective, how we can support Somalia in its fight against al-Shabab." Hammer said fighting al-Shabab requires good relations between Somalia and Ethiopia. "There is no reason that these two countries should have difficulties that can't be resolved again through conversations. But again, both countries need to accept the basic principes outlined frankly by the AU charter, which is affirmation and recognition in understanding of each other's territorial sovereignty, integrity and unity," Hammer told reporters at the U.S. Embassy in Addis Ababa. Somalia and Ethiopia fought two wars and supported each other's rebels in the 1970s and 80s. In February 1988, the president of Somalia, Mohamed Siad Barre, and Ethiopian President Mengistu Haile Mariam, reached an agreement in Djibouti mediated by late Djibouti President Hassan Guled Aptidon. They agreed to cease hostilities and committed to cease supporting each other's rebels. This story originated in VOA's Horn of Africa Service. The government in South Sudan has postponed elections scheduled for December for two years citing the need to complete processes such as a census, the drafting of a permanent constitution and the registration of political parties. The Presidential Adviser on National Security Tut Gatluak on Friday said the extension would provide an opportunity to complete critical processes before the new election date of December 22, 2026. This is the second time the country, which gained independence in 2011, is postponing elections and extending a transitional period that started in February 2020. President Salva Kiir and his former rival turned deputy, Riek Machar, signed a peace agreement in 2018 that ended a five-year civil war in which more than 400,000 people died. Cabinet Affairs Minister Martin Elia Lomuro said the extension followed recommendations from both electoral institutions and the security sector. Last month, the chairperson of National Election Commission, Professor Abednego Akok, told The Associated Press the country was behind the electoral calendar, which required voter registration to have started in June but was still pending due to a shortage of funds. The country is going through an economic crisis that has seen civil servants go unpaid for almost one year, after it's oil exports were affected by a damaged pipeline in war-torn neighboring Sudan through which it exports. The Tumaini initiative peace talks that have been going on in neighboring Kenya, believed to provide a foundation for the inclusion of non-signatory groups to sustain peace, have also stalled. A new security act that allows for warrantless detentions became law in August despite concerns from human rights groups that it would create fear in the runup to the elections. Andrea Mach Mabior, an independent political analyst, warned that any sham elections may result in a waste of resources and chaos. "Going for elections that do not meet international standards will be a waste of money," Mabior told the AP. But others like Edmund Yakani, executive director of the Community Empowerment Progress Organization, said delays to the elections or any extension of the transitional period would create a possibility of violence erupting across the fragile country. "If we fail to conduct the elections in December 2024 the chance of the country turning into violence is higher than if we go for the elections," Yakani told the AP in August. The country, which has gone through the shocks of civil war and climate change is in need of humanitarian aid with an estimated 9 million people 73% of the country's population projected to be in need of humanitarian assistance during 2024, according to the 2024 UN Humanitarian Needs Overview for South Sudan. Heavy fighting on Saturday shook a Sudanese city besieged by paramilitaries, witnesses told AFP, as U.S. researchers reported unprecedented and escalating combat in the North Darfur state capital. El-Fasher is one of five state capitals in Sudan's western Darfur region and the only one not in the hands of the Rapid Support Forces, or RSF, who have been battling the regular army since April 2023. The United Nations says the war across much of Sudan has created the world's largest displacement crisis, with millions uprooted, and has led to famine at a displacement camp near El-Fasher. Darfur has seen some of the war's worst atrocities, and the RSF has besieged El-Fasher since May. "Neighborhoods are completely deserted and all you can hear are explosions and missiles," Ibrahim Ishaq, 52, told AFP. "The central market area has become unlivable because of the intensity of the explosions," said Ishaq, who fled westward from the city on Friday. Witnesses reported army bombardment south and east of the city on Saturday and said they heard air-defense batteries firing. The Yale School of Public Health's Humanitarian Research Lab said in a report Friday that its analysis confirmed "unprecedented large-scale combat operations" in El-Fasher within the previous 10 days, "with significant escalation in the past 36 hours" involving the army and the paramilitaries. It cited reports that describe "a major multidirectional RSF attack from the northern, eastern, and southern directions" on Thursday. Reduced to rubble Darfur Governor Mini Minawi had on Thursday said on social media platform X that the army had repelled "a large attack" by the RSF. The paramilitaries, however, said they seized military sites in El-Fasher. Using satellite imagery and other data, the Yale researchers said they found munition impacts "likely related to high-tempo aerial bombardment" from the regular army, but they said other structural damage resulted from "RSF bombardment" and combat activity by both sides. Whatever the battle's ultimate outcome, current levels of fighting "are likely to effectively reduce what is left of El-Fasher to rubble," the Yale study said. The United States special envoy for Sudan, Tom Perriello, on Saturday said on social media platform X: "We are extremely concerned about the RSF's renewed attacks." He urged the RSF "to stop its assault." It was not immediately possible to determine the number of victims. Sudan's war has already killed tens of thousands of people, with some estimates as high as 150,000, according to Perriello. Strikes near Khartoum In the capital, Khartoum, on Saturday, about 800 kilometers from El-Fasher, witnesses reported heavy explosions and strikes to the city's south. Independent United Nations experts earlier this month appealed for deployment of an "impartial force" to be urgently deployed in Sudan for civilian protection. Sudan's foreign ministry, loyal to the army, rejected the idea. Hours after Russian mercenary leader Yevgeny Prigozhin rebelled against his country's top military leaders, his private army's biggest client in Africa panicked, turning for help to his foe in the West. Officials from Central African Republic, where some 1,500 of Prigozhin's Wagner Group mercenaries were stationed, wrote a letter that day, requesting to "rapidly" arrange a meeting with a private U.S. security firm to discuss collaboration. Dated June 23, 2023, the day Prigozhin launched the armed rebellion, the letter sparked a series of meetings, culminating in a deal with the central African nation and Bancroft Global Development. That sparked backlash from Russian mercenaries, according to a dozen diplomats, locals, and analysts. The tensions in Central African Republic are a window into a larger battle playing out across the continent as Moscow and Washington vie for influence. The Russian mercenaries using success in staving off rebels in this impoverished nation as a model for expansion have long been accused by locals and rights groups of stripping natural resources such as minerals and timber and are linked to the torture and death of civilians. In the wake of Prigozhin's rebellion and suspicious death in a plane crash, the Russians are recalibrating their Africa operations. The United States, which has been largely disengaged from the region for years, is attempting to maintain a presence and stymie Russian gains as it pushes African countries to distance themselves from the mercenaries. U.S. officials blame Russia for anti-American sentiment in the region and say they're trying to shift the narrative. "If the U.S. can't regain a foothold, it could give Russia greater economic and political leverage," said Samuel Ramani of the Royal United Services Institute, a defense and security think tank. "If Russia loses Central African Republic, its flagship model on the continent, there could be a domino effect in other countries." Russia's influence In recent years, Russia has emerged as the security partner of choice for a growing number of governments in the region, displacing traditional allies such as France and the U.S. Moscow expanded its military cooperation by using mercenaries like Wagner, which since around 2017 has operated in at least half a dozen countries by protecting African leaders and in some cases helping fight rebels and extremists. They're also plagued by their human rights record. Two years ago in Mali, Wagner and the army were accused of executing about 300 men some suspected of being Islamist extremists, but most civilians in what Human Rights Watch called the worst single atrocity reported in the country's decade-long armed conflict. And in Central African Republic, mercenaries train the army on torture tactics, including how to tburn people alive, according to watchdog The Sentry. Central African Republic Central African Republic was one of the first places the mercenaries entered. The country has been in conflict since 2013, when predominantly Muslim rebels seized power and forced the president from office. Six of the 14 armed groups that signed a 2019 peace deal later left the agreement. Locals and the government credited Wagner with fighting back rebels who tried to overtake Bangui, the capital, in 2021. The Russians soon expanded to Burkina Faso and Niger, and have ambitions for further growth. Russia is refurbishing a military base some 80 kilometers from Bangui. Alexander Bikantov, Russia's ambassador to Central African Republic, said the base will improve the country's security. Fidele Gouandjika, adviser to President Faustin-Archange Touadera, said the base aims to have 10,000 fighters by 2030 to engage with more African nations. Touadera's office didn't reply to written requests for comment for this story. His adviser to the country's spy agency declined to be interviewed. Pressure from United States The U.S. had been pushing Central African Republic to find an alternative to Wagner for years. A more assertive U.S. approach came as it faced new setbacks and tried to rework agreements in the region. Its troops left Chad and Niger, where they were no longer welcome. Still, the State Department said in a statement this year that it wasn't involved in the decision to establish Bancroft Global Development's presence in Central African Republic. But Washington could deny such contracts if it wanted, said Sean McFate, a former contractor in Africa and author of "The New Rules of War." The U.S. has used private military companies to reduce American "boots on the ground" in Africa, McFate said, and companies like Bancroft have to play by Washington's rules if they want future government work. In response to AP questions, the U.S. official who spoke on condition of anonymity said it uses private contractors in Africa to help countries operate more effectively, with U.S. government oversight to ensure accountability. The official said the State Department has overseen Bancroft's work in Somalia but not Central African Republic or elsewhere. Bancroft's background Washington-based Bancroft is a nonprofit working in nine countries five in Africa. Its involvement in Central African Republic has been shrouded in secrecy since signs emerged of its presence last fall. During an AP visit months later, rumors swirled about Bancroft's activities, fueling speculation the U.S was bringing its own Wagner to oust Russia. But according to Bancroft founder Michael Stock, the group entered at Bangui's behest. Stock received the letter from the presidency within a day of Prigozhin's mutiny, and the two signed a deal in September, he said. Fewer than 30 Bancroft personnel work there, Stock said, helping Central African Republic with intelligence systems, interagency cooperation and law enforcement. Bancroft has invested some $1.4 million there, Stock said. Much of Bancroft's funding has come from U.S. and United Nations grants. From 2018 to 2020, it received more than $43 million from the U.S., according to audits required as part of tax forms. Amal Ali, a former U.S. intelligence analyst, is among critics who say that despite its yearslong presence in Somalia, Bancroft hasn't contributed to any eradication of terrorism. Stock dismissed such comments as uninformed and said the Somali and U.S. governments "agree Bancroft has done a great deal to damaging illegal armed groups and developing the capacity of the government to perform its national defense functions professionally." Backlash on the ground Rights groups say a lack of transparency about Bancroft's operations has fostered an atmosphere of distrust in a country already rampant with armed actors. Wagner, a U.N. peacekeeping mission and Rwandan troops are all on the ground to try to quell violence. "Operating in a vague and nontransparent way in the Central African Republic only leads to suspicion," said Lewis Mudge, of Human Rights Watch. Stock defended Bancroft's work and policies. "It is perfectly normal for a government not to publicize how it is defending the people and the state," he told AP. Unclear future As the U.S. and Russia jockey for power, African governments say they want to make their own choices. Central African Republic officials approached Bancroft, which shows that these governments haven't become Russian puppets, said Jack Margolin, an expert on private military companies. But, he added, Russia's reaction to Bancroft could hurt Moscow's standing with other nations. After Prigozhin's death, Russia moved quickly to take control of Wagner's assets, and the defense ministry told countries where Wagner operated that it would take over. The country and its military intelligence arm have taken a more direct role in Africa operations, deploying more official detachments from its army. In Central African Republic, it's unclear how much sway the Russian state has with the mercenaries, who are beloved by many. For most people here, there's little interest in squabbles among foreign nations. "There are problems between the Americans and Russians, but that doesn't matter to us," said Jean Louis Yet, who works at Bangui's market. "We are here working, trying our best to make a living. All we want is security." Tropical Storm Ileana made landfall Saturday in Mexico near the coastal city of Topolobampo, forecasters said. The tropical storm formed Thursday off the Pacific coast of Mexico and was packing winds of 65 kilometers per hour as it moved ashore, the Miami-based National Hurricane Center said. A tropical storm warning was in effect for portions of the Baja California Peninsula. Forecasters predicted 10 to 15 centimeters of rain would fall with Ileana, and up to 20 centimeters was possible in places. Tropical storm warnings were issued for the coasts of northern Sinaloa and extreme southern Sonola. Ileana was the only active tropical storm in the National Weather Service's Eastern Pacific basin Friday. In the Atlantic basin, post-tropical cyclone Francine was bringing heavy rain to parts of the southern United States, and Tropical Storm Gordon formed Friday in the Atlantic Ocean, with forecasters saying it is expected to remain over open water for several days. Oscar Cruces Rodriguez of Mexico's federal Civil Protection said in a statement that residents should avoid leaving their homes until the storm passes and if residents are in an area at risk of flooding to find temporary shelters. Authorities prepared 20 temporary shelters in San Jose del Cabo and Cabo San Lucas, according to Los Cabos Civil Protection. At the Hacienda Beach Club and Residences in Cabo San Lucas, valet worker Alan Galvan said the rain arrived late Thursday night and has been constant. "The rain isn't very strong right now, but the waves are choppy," he said. "The guests are very calm and already came down for coffee," Galvan said. "There's some flights canceled but everything is ok at the moment." Galvan said they are awaiting further advisories from authorities. The rain remained consistent through Los Cabos Friday afternoon, with several roads flooded and some resorts stacking up sandbags on their perimeters. Some people were still walking around boat docks with their umbrellas. "The priority has to be safety, starting with the workers. We always have to check on our colleagues who live in risk areas," said Lyzzette Liceaga, a tour operator at Los Cabos. We give them the information shared by the authorities firefighters in risk areas so that they can go to the shelters, if necessary," she added. Ukraine's spy chief said Saturday that Russia's increased production of guided bombs as well as artillery ammunition deliveries from North Korea present major problems for Ukrainian forces on the battlefield. The head of Ukraine's military intelligence agency GUR, Kyrylo Budanov, said North Korean military aid to Russia presented the biggest concern compared to support provided by Moscow's other allies. "They supply huge amounts of artillery ammunition, which is critical for Russia," he said, pointing to the ramp up in the battlefield hostilities following such deliveries. Ukraine and the United States, among other countries and independent analysts, say North Korean leader Kim Jong Un is helping Russia in the war against Ukraine by supplying missiles and ammunition in return for economic and other military assistance from Moscow. Russia's boost in the production of guided bombs also presented a "huge problem for the front line," Budanov said at the Yalta European Strategy conference organized by the Victor Pinchuk Foundation in Kyiv. Ukraine's forces are stretched thin more than 30 months into the full-scale invasion, working to stave off Russian advance toward key towns in the country's east. Ukrainian forces have also made an incursion into the western Russian region of Kursk. A ramp up in the production of the Iskander-type missiles has resulted in Russia's "massive use" of weapons to attack Ukraine, Budanov said. This year's strikes on Ukraine's critical infrastructure have caused significant damage to the country's power grid, leading to power cuts. President Volodymyr Zelenskyy has renewed pleas for air defense support from Ukraine's allies. Budanov said Russian internal planning showed that Moscow will face a recruitment crunch in the middle of next year. "During this period (summer 2025) they will face a dilemma: either to declare mobilization or to somehow reduce the intensity of hostilities, which may ultimately be critical for them," Budanov said. ISLAMABAD, Sept. 14 (Xinhua) -- Two policemen were killed and two others injured when a bomb went off in Pakistan's southwest Balochistan province on Saturday, police said. A police van was passing by Boston Road area of Kuchlak district of the province when it came under attack, critically injuring four policemen. Two of the injured later succumbed to their injuries at a hospital in the district, according to hospital sources. Police were the target of the explosion, and 8-10 kg of explosive material was used in the roadside bomb. Following the explosion, law enforcement forces and rescue teams reached the site, and the forces cordoned off the area to initiate an investigation. No militant group or individual claimed responsibility for the attack. U.S. historian and author Timothy Snyder led a charity run in Kyiv Saturday to raise awareness of the conditions under which Ukrainian prisoners of war are held in Russia as the conflict approaches a third winter. The race came following a recent escalation in Russian missile and drone attacks, largely aimed at Ukraine's electricity infrastructure. People clapped and cheered after Snyder, a 55-year-old Yale University professor who has written extensively on eastern Europe and the global resurgence of authoritarian regimes and is much admired in Ukraine, addressed the nearly thousand runners. He then joined a workout and participated in the run. Thousands of Ukrainian civilians and soldiers are illegally held in captivity during an illegal war, Snyder told The Associated Press just ahead of the run. This race is about reminding everyone of that and expressing solidarity with Ukrainians and giving Ukrainians a chance to do something together. The 5K and 10-kilometer runs took place around a sprawling park in the Ukrainian capital created out of a renovated Soviet-era exhibition center. The runners included members of the public, service people and veterans, as well as wives of the POWs. Among them was 27-year-old Anastasia Ofyl, whose husband Oleksandr was captured by the Russians. We have to fight for him, she said. Thats why Im running. Ukrainian soldiers often give harrowing accounts of their conditions in Russian captivity when they return home as part of regular prisoner exchanges. In a report issued in July, a United Nations human rights agency said it continued to document the widespread use of torture and ill-treatment, including sexual violence, against civilians and Ukrainian prisoners of war held by the Russian Federation. Snyder, who has organized fundraisers as part of the countrys war-relief effort, enjoys near-celebrity status in Ukraine. On Tuesday, he visited President Volodymyr Zelenskyy, who thanked him for his charity work. The Ukrainian head of state also received former U.K. Prime Minister Boris Johnson, former U.S. Secretary of State Mike Pompeo, and the American actor Michael Douglas this week. After Saturdays race, Snyder was surrounded by admirers, many of whom waited in line for autographs and selfies. Some asked the historian to sign translated copies of his widely read books on Ukraine, Bloodlands: Europe between Hitler and Stalin and The Road to Unfreedom: Russia, Europe, America. Saturdays race was organized by the Kyiv School of Economics charity foundation which, according to its website, has been raising funds for charitable assistance for Ukrainians since the start of the Russian invasion. Editor's note: Here is a look at immigration-related news around the U.S. this week. Questions? Tips? Comments? Email the VOA immigration team: ImmigrationUnit@voanews.com. On the streets of a Colorado city, pregnant migrants struggle to survive She was eight months pregnant when she was forced to leave a homeless shelter in Denver, Colorado. It was November. Ivanni Herrera took her 4-year-old son, Dylan, by the hand and led him into the chilly night, dragging a suitcase containing donated clothes and blankets away from the Microtel Inn & Suites. It was one of 10 hotels where Denver has housed more than 30,000 migrants, many of them Venezuelan, over the last two years. First, they walked to Walmart. There, with money she and her husband earned begging on the street, they bought a tent. Reported by The Associated Press. DACA case faces uncertainty again as US appellate court arguments loom The future of the Deferred Action for Childhood Arrivals (DACA) program remains in limbo with another court hearing set for October 10. Judges from the 5th U.S. Circuit Court of Appeals will hear arguments in the case, initiated in 2018 by Texas and other Republican-led states seeking to end DACA. The program offers temporary protection from deportation and work permits to undocumented immigrants brought to the U.S. as children who are often referred to as "Dreamers." The case centers on whether DACA exceeds presidential authority, immigration advocates from the coalition "Home is Here" said during a recent conversation with reporters. VOA immigration reporter Aline Barros reports. Immigration takes center stage in debate, but no major proposals from candidates When Kamala Harris and Donald Trump faced each other on the debate stage less than two months before Election Day, the two candidates were at odds on issues ranging from the economy to tariffs and Ukraine. But on immigration, their positions were especially different. VOA immigration reporter Aline Barros reports. Ohio city reshaped by Haitian immigrants lands in unwelcome spotlight Many cities have been reshaped by immigrants in the last few years without attracting much notice. Not Springfield, Ohio. Its story of economic renewal and related growing pains has been thrust into the national conversation in a presidential election year and maliciously distorted by false rumors that Haitian immigrants are eating their neighbors' pets. Donald Trump amplified those lies during Tuesday's nationally televised debate, exacerbating some residents' fears about growing divisiveness in the predominantly white, blue-collar city of about 60,000. The Associated Press reports. Share of foreign-born people in US at highest rate in over a century, according to survey The percentage of U.S. residents who were foreign-born grew last year to its highest level in more than a century, according to figures released Thursday from the most comprehensive survey of American life. The share of people born outside the United States increased in 2023 to 14.3% from 13.9% in 2022, according to estimates from the U.S. Census Bureau's annual American Community Survey, which tracks commuting times, internet access, family life, income, education levels, disabilities, military service and employment, among other topics. The Associated Press reports. Vietnamese immigrants, their children divided on US border policy More than 1.2 million Vietnamese immigrants live in the United States, many of them having settled after the Vietnam war. More recently, a new wave of Vietnamese migration has sparked debate in the community about immigration and has become one of the main talking points this election season. VOA's Elizabeth Lee has the details from Texas, the state with the second-largest Vietnamese immigrant population in the country. Immigration around the world Rohingya detainees protest 'abominable' conditions in Indian camp More than 100 Rohingya refugees who have been detained for years at a transit camp in the northeast Indian state of Assam have launched a hunger strike demanding they be handed over to the United Nations refugee agency in New Delhi, transferred to a detention facility in the Indian capital, and that the process of resettlement in a third country be started. Reported by VOA News. News Brief The Department of Homeland Security Council on Combating Gender-Based Violence and U.S. Citizenship and Immigration Services commemorate "the 30th anniversary of the Violence Against Women Act of 1994. This landmark legislation is one of the first comprehensive federal responses to addressing and preventing gender-based violence in the United States, and specifically acknowledges the unique barriers that noncitizens victims of GBV face when trying to seek safety and assistance." Only U.S. citizens are eligible to vote in this fall's election for president and other top offices. While that's nothing new, the potential for noncitizens to register or vote has been receiving a lot of attention lately. Citing an influx of immigrants in recent years at the U.S.-Mexico border, Republicans have raised concerns about the possibility that noncitizens will be voting something that has rarely occurred in the past. In Congress, U.S. House of Representatives Speaker Mike Johnson is seeking to link an extension of federal government spending authority to a proposal requiring states to obtain proof of citizenship when registering people to vote. In various states, Republican officials have launched reviews of voter rolls, issued executive orders and placed constitutional amendments on state ballots as part of an emphasis on thwarting noncitizen voting. Some Democrats contend the measures could create hurdles for legal voters, are unnecessary and lead people to believe the problem of noncitizens voting is bigger than it really is. What does the law say? A 1996 U.S. law makes it illegal for noncitizens to vote in elections for president or members of Congress. Violators can be fined and imprisoned for up to a year. They can also be deported. When people register to vote, they confirm under penalty of perjury that they are U.S. citizens. Federal law requires states to regularly maintain their voter rolls and remove anyone who is ineligible, a process that could identify immigrants living in the country illegally. No state constitutions explicitly allow noncitizens to vote, and many states have laws that prohibit noncitizens from voting for state offices such as governor or attorney general. But some municipalities in California, Maryland and Vermont, as well as the District of Columbia, do allow voting by noncitizens in some local elections such as for school board and city council. What is Congress doing? Congress needs to approve a stopgap spending bill before the September 30 end of the budget year to avoid a government shutdown. At the urging of some Republicans, Johnson is seeking to combine a six-month extension of government spending with a measure requiring proof of citizenship, such as birth certificate or passport, to register to vote. Johnson said Congress has a responsibility to "ensure that only American citizens can decide American elections." The plan is similar to a bill Republicans pushed earlier this year known as the Safeguard American Voter Eligibility Act. That legislation passed the Republican-led House in July largely along partisan lines but has not come to a vote in the Democratic-led Senate. House Democratic Leader Hakeem Jeffries said Monday that he opposes the latest Republican attempt to require proof of citizenship for voter registration. He said the stopgap spending bill should be free of "partisan policy changes." The Biden administration also has opposed the Republican efforts while asserting that existing laws against noncitizen voting are working. What does the data say? Data from states indicates that voting by noncitizens is rare, But Republican officials have highlighted voter registration reviews that turned up potential noncitizens. Texas Governor Greg Abbott, a Republican, said last month that more than 6,500 potential noncitizens have been removed from Texas voter rolls since 2021, including 1,930 with "a voter history" who have been referred for investigation by the attorney general's office. Texas has almost 18 million registered voters. Ohio Secretary of State Frank LaRose, a Republican, said in August that he referred for potential prosecution 138 apparent noncitizens found to have voted in a recent election and 459 more who registered but did not vote. Those figures were higher than reviews from previous years but a small fraction of the more than 8 million registered voters in Ohio. Alabama Secretary of State Wes Allen, a Republican, recently announced that 3,251 people previously identified as noncitizens by the federal government are being switched to inactive status on the state's voter registration rolls. They will be required to provide proof of citizenship and fill out a form to vote this fall. Alabama has more than 3 million registered voters. In Georgia, Republican Secretary of State Brad Raffensperger found that 1,634 potential noncitizens tried to register to vote between 1997 and 2022, although election officials flagged them and none was registered. Georgia registered millions of other voters during that time. Some election administration experts have said the voter roll reviews show that current tools to flag noncitizen voters are working. What do the courts say? Arizona provides a case study for the long-running attempts by Republicans to prohibit noncitizen voting. Under a 2004 voter-approved initiative, Arizona required a driver's license, birth certificate, passport or other similar document to approve a federal voter registration application. But the U.S. Supreme Court ruled in 2013 that Arizona cannot require documentary proof of citizenship for people to vote in federal elections. The state responded by creating two classes of voters. For state and local elections, voters must provide proof of citizenship when they register or have it on file with the state. But because that cannot be required in presidential and congressional elections, tens of thousands of voters who have not provided proof of citizenship are registered only for federal elections. An August order by a divided U.S. Supreme Court will allow voter registration forms submitted without "documentary proof of citizenship" to be rejected by Arizona counties while litigation over the law continues. People will be able to register to vote in presidential and congressional elections using a different federal form that requires people to swear they are citizens under penalty of perjury, without requiring proof. What's on the ballot? Republican-led legislatures in eight states have proposed constitutional amendments on their November ballots declaring that only citizens can vote. Proposals in Iowa, Missouri, North Carolina, Oklahoma, South Carolina and Wisconsin would replace existing constitutional provisions stating that "every" citizen or "all" citizens can vote with new wording saying "only" citizens can vote. Supporters contend the current wording does not necessarily bar noncitizens from voting. In Idaho and Kentucky, the proposed amendments would explicitly state: "No person who is not a citizen of the United States" can vote. Similar wording won approval from Louisiana voters two years ago. Voters in North Dakota, Colorado, Alabama, Florida and Ohio passed amendments between 2018 and 2022 restricting voting to "only" citizens. What else are states doing? Although noncitizen voting already is prohibited in the state constitution, Republican Governor Jeff Landry of Louisiana is continuing to draw attention to the issue. He recently signed an executive order requiring state agencies that provide voter registration forms to include a written disclaimer that noncitizens are prohibited from voting. In Georgia, Raffensperger is requiring every polling place to post a sign in English and Spanish warning noncitizens that it is illegal to vote. Republican Attorney General Ken Paxton of Texas, citing "significant growth of the noncitizen population" in the state, set up a special email account to report suspected violations of election laws. In Wisconsin, Republicans have filed a pair of similar lawsuits in recent weeks that challenge the state's process for verifying whether a registered voter is a citizen. The lawsuits seek court orders requiring the elections commission to perform checks to ensure there are no registered voters who are noncitizens. North Carolina Republicans have sued the state election board, alleging it is not enforcing a new law aimed at removing people from voter rolls who seek jury duty exclusions because they are not citizens. Tennessee's top election office sent letters in June asking for proof of citizenship from more than 14,000 registered voters, although those who failed to respond won't be barred from voting. The list was based on data from the state Department of Safety and Homeland Security, which has information about whether residents were U.S. citizens when they first interacted with that department. Farmers lack funding, complain of minimal government support Banks wary of financing due to uncertain land tenure HDC says lack of long-term finance hinders sector's growth plans HARARE (Reuters) - Zimbabwean farmers aim to capitalize on increasing global demand for blueberries, but self-funded growers like Willard Zireva cite a lack of finance and minimal government support as barriers. Despite being one of the fastest growing blueberry producers globally, with production doubling to 7,000 metric tons last year due to a favourable climate, Zimbabwean farmers are struggling to secure financing for their operations. Zimbabwe's horticultural exports, driven in part by blueberries, exceed $100 million annually. The sector's exports, which peaked at $140 million in 1999, were disrupted when former President Robert Mugabe began the seizure of white-owned farms to resettle landless Black citizens. Despite the sector's recent growth, banks remain wary of financing agriculture due to uncertain land tenure, making it challenging for Black farmers like Zireva to expand. Blueberry farming in Zimbabwe is currently dominated by the few remaining local white farmers, many of whom are looking for foreign investment to expand operations. Zimbabwe's banks do not accept the 99-year leases handed by the government to resettled farmers as collateral for borrowing. The banks also tend to be reluctant to lend to farmers because the state has in the past arbitrarily acquired farmland, including from some Black commercial farmers. Zireva cultivates 12 hectares of blueberry crops at his Talana farm 100 km (62 miles) west of capital city Harare, which he bought freehold in the 1990s before the land seizures. He said that he had to rely on personal savings to plant his first crop after a local bank denied him funding. 'Massive Growth Opportunity' "If funding is available, there is a massive growth opportunity," he told Reuters. Growing a hectare of blueberries in Zimbabwe requires at least $100,000 to import plants, peat pots from Europe, and special shades for the crops. Other costs include refrigerated packaging warehouses and water resources. "We need interventions and interventions have to come from government. Nobody else," said Zireva, referring to concessional funding for farmers. The finance and agriculture ministries, as well as the Bankers Association of Zimbabwe (BAZ), did not immediately respond to requests for comment. Zireva's farm aims to export 120 metric tons of blueberries to the United Kingdom and East Asia this year, but he says the demand far exceeds their ability to supply. His farm requires $1.2 million to double output, he added. "We are hoping that we can get funding next year to put in eight hectares so that we get to 20 (hectares)," Zireva said. Zimbabwe's Horticultural Development Council (HDC) said the lack of long-term finance was a significant barrier to the sector's plans to double blueberry hectarage to 1,500 hectares by 2030. HDC CEO Linda Nielsen told Reuters that without funding "we will see growth in the blueberries sector coming off on the tonnage side. We really need to grow the hectarage and maintain our market share." The newsletter is published in several languages. Several foreign ministries from different countries have already subscribed. However, it presents a view of the world so different from that of the subsidized press that, in France, the Press Joint Committee refuses to recognize it as a publication in its own right. According to the Commission, we dont do journalism (sic). Admittedly, we are real journalists, not parrots of the powers that be. Our director of publication and editor-in-chief directed French magazines several years ago, and has won journalism awards abroad. He has regularly contributed to some fifteen major dailies and magazines around the world. Voltaire, International Newsletter is available by subscription for 500 a year], is published 42 times a year (not in July-August, nor during the Christmas holydays). Its an indispensable tool for any professional in international relations or defense. Whats more, 10 times a year, subscribers are invited to a Zoom virtual meeting with publications director Thierry Meyssan. Contents of issue N99: EDITORIAL 1887 The financial lobby pleads for European integration by the bankers AMERICAS 1888 According to Washington, neither Israel nor the Palestinians want a peace deal 1889 Washington considers a separate peace agreement with Hamas 1890 Washington confronted with the IDF assassination of one of its nationals 1891 House Foreign Affairs Committee analyzes the debacle in Afghanistan 1892 CIA says Russian nuclear war threats are not credible 1893 Nicaragua frees 135 political prisoners 1894 Spain pursues the strategy of alternative presidents in Venezuela EUROPE 1895 Lindsay Hoyle pleads for censorship 1896 The Bundestag debated Covid-19 not on scientific grounds, but on political instructions 1897 Olaf Scholz radically changes policy 1898 Hungary will send buses of illegal migrants to Brussels 1899 The European Commission continues to support Ukraine 1900 According to Washington, Iran is supplying short-range missiles to Russia 1901 EU enlargement is inevitable 1902 Serbia claims its alliance with Russia 1903 Ruslan Stefanchuk accompanies unelected President Volodymyr Zelensky 1904 The United States and the United Kingdom consult before going to war with Russia 1905 Ukraine hosts the Fourth Summit of the International Action Program against Crimea 1906 Council of Europe calls for the establishment of a special tribunal for the crime of aggression by Russia against Ukraine 1907 Russia still has no information about the sabotage of Nord Stream AFRICA 1908 Final Declaration of the China-Africa Summit (FOCAC) 1909 Freezing of Libyan accounts in the USA 1910 Algerian presidential election ASIA 1911 For Benjamin Netanyahu, Israel is surrounded 1912 Hamas rejects the deployment of U.S. troops in the Philadelphia corridor 1913 Palestinian Authority holds state funeral for US-Turkish murdered by IDF 1914 London would plan to station soldiers in Lebanon 1915 Hezbollah now has FPV drones 1916 Turkiye makes new demands of Syria 1917 Egyptian-Turkish Alliance 1918 Recep Tayyip Erdogan condemns Israeli expansionism 1919 Accusations against Iranian involvement in Ukraine OCEANIA 1920 The Five Eyes set up information exchange platform "Migration 5" in Australia INTERGOVERNMENTAL ORGANIZATIONS 1921 The UN Security Council reviews the situation in Ukraine 1922 UN Security Council reviews the situation in Sudan 1923 The UN Security Council reviews Syrias chemical military program 1924 Palestine now sits in the UN General Assembly 1925 According to Antonio Guterres, Gazas food shortage worsens 1926 Volker Turk demands that the consequences of the ICJs decisions be drawn Photo: Patti Perret/Netflix Spoilers follow for Rebel Ridge, which premiered on Netflix on September 6. The finale of Jeremy Saulniers action-meets-legal-thriller Rebel Ridge moves on the rhythm of protagonist Terry Richmonds (Aaron Pierre) choices all of which go against what his enemies expect him to do. The scene goes against what viewers expect the filmmaker to do, too. Terry refuses to meet the Shelby Springs police at Rebel Ridge, the implied Confederate stronghold where the villainous Officer Lann (Emory Cohen) wants to do a swap for incriminating evidence. He attacks the police station that stores officers stolen loot, and threatens the discretionary funds they care about more than their duty to citizens. And when Chief Burnne (Don Johnson) orders his police to shoot that motherfucker, he anticipates Terry to respond in kind. Maybe because hes a Black man, maybe because he was a Marine, maybe because theyve pushed him to the limit by allowing his cousin to be killed, attempting to frame him, and injecting his friend Summer (AnnaSophia Robb) twice with heroin. But Terrys not going to give in to what they want, or bend to the will of a larger abusive force. Because of that, hes a Saulnier protagonist through and through. Ill admit this: When I first saw Rebel Ridge, I wanted a different ending after seeing the extent of Shelby Springss corruption the polices abuse of civil asset forfeiture and their sneering dismissal of Terrys concern for his cousin Mike (C.J. LeBlanc); government employees like clerks and judges essentially shrugging at the police running roughshod over peoples Constitutional rights as long as they get Christmas lights in December, fireworks on the Fourth of July, and a nice little old tax cut on top. I wanted Terrys vow to haunt the police to be as literal as possible; hell yeah, lets see that Marine Corps Martial Arts Program training! So when the films concluding half-hour is Terry using guerrilla tactics to confuse and disengage rather than aggravate and escalate, I felt somewhat unfulfilled. But a couple rewatches later, what becomes clear about Rebel Ridge is how neatly Terry Richmond actually fits into an existing pattern of Saulnier protagonists who only act destructively when pushed to the absolute brink. Blue Ruin (2013), Green Room (2015), and Hold the Dark (2018) all stack up high body counts: Dwight Evans (Macon Blair) attacks the Cleland family to avenge his parents murder; the punk-rock band the Aint Rights fight through neo-Nazis to escape the compound where theyre being systematically killed; Alaskan natives Vernon Slone (Alexander Skarsgard) and Cheeon (Julian Black Antelope) murder cops to reclaim the body of Vernons son and to punish the local police force for not investigating the epidemic of missing indigenous children. Each of these films gives into blood splatter and gore. Upon second look, though, they also center protagonists who do everything they can to fight against that calamitous slide and whose actions are precursors to Terrys policy of de-escalation. In Blue Ruin, Dwight offers the Clelands an end to their interfamilial dispute if they leave his sister out of it, and only when theyre enthusiastic about killing her, too, does he go through with his ambush on them. In Green Room, the Aint Rights insist over and over that their captors call the police, who they assume will come to their aid; when it becomes clear that the neo-Nazis have already tricked the local cops into leaving, the band members decide to fight back. And in Hold the Dark, Cheeons attack on cops with armor-piercing bullets is methodical and gruesome, but hed already become desperate before this point. When were killed the past is killed, and the past is already dead, so no big deal. But when kids are killed, thats different. When kids are killed the future dies. And theres no life without a future, he offers as justification for his actions. Like Dwight, Terry offers an end to the standoff in the films concluding showdown. Like the Aint Rights, he appeals to the idea of good cops on the Shelby Springs force who might object to Burnne and Lann attacking Officer Marston (David Denman), who is revealed to be a whistleblower against the civil asset forfeiture conspiracy. And, while Terry might not have a death wish like Cheeon, he tells the police to their face how much theyve failed to protect and serve. When Terry wears Mikes hospital bracelet around his wrist during this final sequence, its key to the confrontation a memento mori made into an object of accusation against the police for their misconduct. Saulnier understands that some viewers might have a hard time with the films ending. The local Shelby Springs police, knowing that state police are on their way and could take over their department in the case of malfeasance, turn on Chief Burnne and escort Terry and the injured Marston and Summer to a local hospital. (For some people, thats a huge leap, he admitted when we spoke.) But the director insists any ending in which Terry killed police officers would also have to end in the characters death to be realistic. And he also says the films ending allows for different readings, like one in which Terry doesnt forgive the cops but responds to their attack with his customary pragmatism: Hes a good fucking guy, and he realizes that theres an ally among them. [And] hes got a fucking human shield. In another interpretation, the polices defection from Chief Burnne is motivated by a sense of cynical self-protection: Whatever allegiance they have, how this might end up, how they have to debrief the state police, in that moment its like, This doesnt fucking feel right. Its important to remember, Saulnier says, that Terry didnt come here to make things right. Hes not on a mission of morality. Hes on a mission of justice and survival, and that reading contextualizes the films final sequence, in which Terry pulls up to the hospital with Marston and Summer. While he carries Summer into the hospital, the police who served as his escort rush to Marstons side and neither side says anything to the other. The police once again close ranks, excluding Terry, and Terry gives them no acknowledgement or thanks. He yanks out the data recorder and the evidence needed to bring them down for attacking him and Marston in the first place, and in a fixer-inspired moment, stares off into silence while internalizing what hes done. Rebel Ridge may not end with bloodshed as revenge. But like another great recent Netflix movie, 2022s Athena, it emphasizes that the culture around policing has been so tainted by the failures of the force that of course its officers deserve our distrust, and of course the system protects the racist and bigoted among them, and of course such a system requires individual action against it. That might not be as extreme as some viewers want, but for a mainstream action film in a time of unshakeable Hollywood centrism, Rebel Ridge still takes a stand. Photo-Illustration: Vulture; Photos: Universal Pictures, Shudder Spoilers ahead for the plot and ending of Speak No Evil, both the 2022 film and the 2024 remake. Happy endings may send audiences out of the theater smiling, but its the bummer endings that create more lasting impressions. A truly bleak ending can enrage and depress, but at its best, it also recontextualizes everything that came before it, ratcheting up the dramatic irony and dread. Even in the pantheon of bleak endings Beneath the Planet of the Apes, Oldboy, The Mist 2022s Speak No Evil stands out, offering a nauseating descent into hell that turns a darkly funny social satire into an endurance test. The shocking cruelty of the Danish films final act was probably never going to jibe with mainstream American sensibilities, so its no surprise that the 2024 Blumhouse remake goes in a very different direction. But in trying to avoid the hopelessness of the original ending, the new Speak No Evil loses something important: the point. Those who have seen the remake or even just endured the omnipresent trailer will recognize the plot of the 2022 Danish film. Christian Tafdrups Speak No Evil begins with a Danish family, Bjrn (Morten Burian), Louise (Sidsel Siem Koch), and daughter Agnes (Liva Forsberg), befriending Dutch family Patrick (Fedja van Huet), Karin (Karina Smulders), and son Abel (Marius Damslev) on vacation in Tuscany. Some time later, Patrick and Karin invite their new friends to visit them at their remote country home, and with only minor reservations, Bjrn, Louise, and Agnes decide to take a weekend trip to the Netherlands. While Patrick and Karin seem warm and friendly, it soon becomes clear that something is not quite right. Abel doesnt speak, which Patrick says is the result of a birth defect, but the relationship between father and son appears strained, to say the least. The Dutch couple subject the Danes to a series of escalating indignities: encouraging vegetarian Louise to eat meat, sticking them with a hefty restaurant bill, criticizing Agnes in front of her mother. For the most part, Bjrn is too polite to say anything, chalking up any discomfort to cultural differences despite Louises increasing alarm. By the time Bjrn discovers whats really going on, its too late: Patrick and Karin are serial killers who murder parents and kidnap their children. Theyve already disposed of Abel, and theyre coming for Agnes next. Bjrn tries to get his family to safety without revealing the true danger to them, but theyre ambushed by the Dutch couple. Karin cuts out Agnes tongue with a pair of scissors the real reason Abel couldnt speak and shes separated from her parents. Patrick then drives Bjrn and Louise to a quarry, where he and Karin force them to get naked and then stone them to death. The film ends with the Dutch family, new daughter Agnes in tow, meeting their next victims. Cheerful, Speak No Evil is not. But the harrowing last act is not simply nihilism. When Bjrn asks Patrick why hes doing this, Patrick says, Because you let me. Tafdrups film is largely about the way we accept mistreatment out of a sense of obligation, or sometimes just to avoid rocking the boat. Throughout the movie, Patrick is testing his victims are they more concerned with their personal safety or with not appearing rude? Bjrns inaction, born out of his desire to be a good and respectful guest, allows his host to do the unthinkable. The Blumhouse Speak No Evil takes a different approach. The setup is the same: Here, its American expats Ben (Scoot McNairy), Louise (Mackenzie Davis), and Agnes (Alix West Lefler) meeting British family Paddy (James McAvoy), Ciara (Aisling Franciosi), and Ant (Dan Hough) on a Tuscan vacation. Much of these early scenes feel like a shot-for-shot remake, down to dialogue repeated verbatim, and while there are some minor changes once we arrive at Paddy and Ciaras remote farmhouse, the plot beats are nearly identical. Most of the time, a close do-over of a recent foreign film is designed to appeal to U.S. viewers who wont read subtitles; in this case, the original Speak No Evil is almost all in English, making its American doppelganger feel extra superfluous. But the third act lets the new version deviate more boldly from the original. In writer-director James Watkinss film, its Agnes who discovers Paddy and Ciaras plot after a more proactive Ant shows her incriminating photos of past victims and mimes getting his tongue cut out (why didnt Abel think of this?). She alerts her parents, who handle the situation far more calmly than any of us would. It seems like the family might actually get away until theyre forced to turn back to save a drowning Ant. Rest assured there is no onscreen tongue removal or stoning in this Speak No Evil. At the farmhouse, the American family fights back with everything they can get their hands on box cutters, carving forks, sulfuric acid. (Its a bit like Home Alone, though maybe less violent.) After luring Ciara to a high window, Louise hits her with a brick and knocks her off the roof to her death. And while Paddy briefly captures Agnes, she injects him with ketamine, leaving him incapacitated. Ant then bashes his fathers head in with a brick, and the new family of four all escape (relatively) unscathed. Its probably too blood-soaked to be called a happy ending, but compared with the way the 2022 Speak No Evil concludes, this version is downright pleasant. Without the gut punch of the original ending, however, whats it all for? On its face, this is still a movie about how social niceties can make us behave against our best interests or, in extreme cases, force us to repress our all-important survival instincts. Were all too fucking polite, Paddy says. Hes constantly insisting that his guests be more honest, prodding them to express how they really feel, even if its offensive. Louise finally gets the message. Once Agnes shows her the photos of Paddy and Ciaras past victims, Louise tells her, Its not normal, and we dont have to pretend its normal. Thats all well and good, but these deliberate articulations of the movies message dont quite match what were seeing. When Ben asks Paddy why hes doing this and Paddy says Because you let us, we know Louise is hiding a box cutter and moments later, shes slicing open his face. No one is letting Paddy do anything; the family is very much fighting back! In the original Speak No Evil, the passivity is the point: One of its darkest moments is Bjrn quietly crying in the car en route to the quarry, seemingly resigned to his fate. In the remake, its clear the American family isnt going down without a fight, and theres never any real suspense about whether theyll all make it out alive. The softening of the ending Paddy even explains that hes going to sedate Agnes before removing her tongue undercuts the social satire that made its predecessor so effective. Speak No Evil is meant to be a sick joke, and it doesnt work without the punch line. Beyond that, the new film has too much on its mind for its hits to land. While the bleak original was satisfied with the explanation that Patrick and Karin are simply psychopaths, the American version naturally needs to find a deeper reason for their crimes. Paddy is doing this because they let him, sure, but also because hes going to steal all their money and this is how he makes a living. Also, he had a rough childhood. (Couldnt be contemporary horror without trauma!) And hes an emblem of toxic masculinity, which is why McAvoy modeled his performance on Andrew Tate. These revelations are clearly an attempt to add complexity to Patricks character, but they only muddle the message further in large part because none of it really goes anywhere. Perhaps there is something to the idea of a mens-rights activist challenging a meek father to throw off the shackles of polite society and protect his family, but the concept never amounts to anything but a passing thought. Blumhouses Speak No Evil is burdened by restraint; it dangles challenging themes and darkness in front of audiences but balks at traumatizing them the way the original did. The cowardly happy ending underscores the real failure of the remake a refusal to follow through. BEIRUT, Sept. 14 (Xinhua) -- A senior UN refugee official on Saturday called for increased international support for Lebanon and the refugees it hosts, urging action to address the protracted Syrian refugee crisis. Ruvendrini Menikdiwela, assistant high commissioner for Protection at the United Nations High Commissioner for Refugees (UNHCR), expressed concern over the impact of Lebanon's economic crisis on vulnerable populations during a two-day visit to the country. "I am saddened to see the impact of Lebanon's socio-economic crisis on the most vulnerable," Menikdiwela said in a statement. She noted that ongoing hostilities in southern Lebanon have displaced thousands and caused "tragic loss of life," further straining the situation. Lebanon, with a population of around 5 million, hosts an estimated 1.5 million Syrian refugees, according to government figures, representing the highest per capita concentration of refugees globally. During her visit, Menikdiwela met with Syrian and Iraqi refugees in Beirut who described dire living conditions and safety concerns. The UN official called for "urgent support and commitment from the international community" to address growing needs and ensure protection for refugees and host communities alike. Menikdiwela also emphasized the need for political support to tackle the root causes of displacement, including improving conditions inside Syria to address obstacles to refugee return. The ongoing conflict in Syria, now in its 13th year, has displaced millions internally and externally, with neighboring countries bearing much of the refugee burden. Report by Paris audit court sparks debate in Italy. The iconic Spanish Steps have ended up at the centre of a dispute between Rome and Paris after a report by the French Court of Auditors ruffled feathers in the Italian capital. The court asserted that the landmark staircase was built "with French funds at the beginning of the 18th century and then maintained for decades by the Pii Stabilimenti Della Francia, custodians of the assets from beyond the Alps". The report criticises the management of five French churches in Rome, including Trinita dei Monti which sits atop the Spanish Steps, and with it claims ownership of the famed staircase, according to Italian state broadcaster RAI News. Bilateral agreement As a result of a historic bilateral agreement between France and the Vatican, a foundation called the Pieux etablissements de la France a Rome controls a property portfolio of considerable value in the Italian capital. The agreement derives from a decision taken in 1790 by Pope Pius VI who instructed the then French ambassador to the Holy See, Cardinal Francois-Joachim de Pierre de Bernis, to group together the French religious buildings in Rome and place them under his tutelage. The list of properties includes the churches of San Luigi dei Francesi, which houses three paintings by Caravaggio, Sant'Ivo dei Bretoni, Santi Claudio e Andrea dei Borgognoni, and San Nicola dei Lorenesi, as well 13 buildings in the historic centre including Villa Medici, seat of the French Academy in Rome. Roman real estate The Roman real estate managed by the foundation comprises 180 rental properties, offices, shops and apartments whose market value was estimated at 250 million in the late 2010s and which together generate an annual revenue 4.5 million, according to Le Monde. Rents from these buildings "should guarantee the maintenance of the churches", according to the French judges, who claim however that these assets are not "adequately put to good use". In relation to Trinita dei Monti, the situation is complicated by the fact that the Spanish Steps directly below the church were commissioned by French statesman and cardinal Pierre Guerin de Tencin, and financed by French diplomat Etienne Gueffier. However the monument has been administered, restored and maintained with funds from Rome and the Italian state since the end of the 19th century, reports La Stampa. Reaction Rome's superintendent of cultural heritage, Claudio Parisi Presicce, responded to the debate by stating that the Spanish Steps "are a monumental place and of very high artistic value but they are also a public passage and are therefore without discussion an integral part of Rome, the capital of Italy". Presicce added: "It is important to separate the assessments of the French Court of Auditors regarding the administration of the "Pieux e'tablissements de la France a Rome from the management of the Spanish Steps, which from the 20th century onwards have always been maintained, restored and managed in all aspects by the municipal administrations of Rome". The most recent restoration of the scalinata was in 2016 in a project funded with a 1.5 million donation from luxury jeweller Bulgari. Ma cosa sarebbe la Francia senza lItalia. Non possono fare a meno del nostro lusso, delle nostre opere, della nostra bellezza. Ma ora esagerano. Vogliono prendersi pure la scalinata di Trinita dei Monti. pic.twitter.com/SQNTMTcaCe Daniela Santanche (@DSantanche) September 12, 2024 In a post on X accompanied by a photo of a Corriere della Sera article on the subject, Italy's tourism minister Daniela Santanche lashed out: "What would France be without Italy. They cannot do without our luxury, our works of art, our beauty. But now they are exaggerating. They even want to take the Spanish Steps". News of the report also triggered a backlash on social media in Italy, with the Mona Lisa inevitably being dragged into the debate. Fabio Rampelli, deputy president of the chamber of deputies and a member of premier Giorgia Meloni's right-wing Fratelli d'Italia party, described the report's claims as "laughable". "Right, we will send experts to the Louvre to make an updated survey of the assets stolen from Italy throughout history, especially that of the 19th century or donated by geniuses perhaps forced to give up renowned works of art that have made the Louvre the most visited museum in the world." The outspoken art historian and former culture underscretary Vittorio Sgarbi also waded in: "The French want la scalinata di Trinita dei Monti? Then we must reclaim all the paintings from the Louvre that Napoleon took away". Response from French Court of Auditors "I am truly very surprised that one can interpret and distort the meaning of a report from the French Court of Auditors that is addressed to the French and in particular to the "Pieux Etablissements" for their management of religious assets in Italy", the president of the Court of Auditors, Pierre Moscovici, told news agency ANSA on Friday. "I want to reassure our Italian friends", Moscovici said: "The report only asks for clarification on the situation of the assets", adding that clarifications are "always positive". Olivia Kelleher Gardai are investigating an incident of criminal damage by fire at a terraced house in Charleville, Co Cork on Friday evening which arose as a result of a feud between two families. Emergency services were called to the property shortly before 9pm on Friday night. A group of men wearing balaclavas had smashed windows and attempted to set fire to the empty property. Shots were also fired inside the premises. The house was extensively damaged. A car was also criminally damaged in the incident. The Garda Press Office has confirmed that scenes of crime investigators attended at the house and conducted an examination of the premises. The house was vacant at the time of the incident. Gardai have indicated that enquiries are ongoing. Meanwhile, it is understood that the incident occurred arose out of a dispute between two families in Kilmallock in Co Limerick and in Charleville. On Friday morning, shots were fired at an empty house in Kilmallock as part of the ongoing rivalry. A petrol bomb was also thrown at a property in Knocknaheeny in Cork city earlier this week as a result of the dispute. A number of files on different incidents which have taken place arising out of the feud have been sent to the Office of the Director of Public Prosecutions. Members of the public who may have spotted unusual activity in the Charleville area, between 5pm and 11pm on Friday are asked to contact investigating gardai. Gardai are also appealing for information in relation to an Audi A6, a white Skoda Rapid, a BMW and a silver Ford Focus car, which travelled through the Charleville area at this time. Any road users or pedestrians who were in the area during this time and who may have camera footage (including dash cam) are asked to make this available to gardai. Anyone with information is asked to contact Mallow Garda Station on 022 31450, the Garda Confidential Line on 1800 666 111, or any Garda Station. Waterford native Louise Broxson has been nominated for the prestigious 2024 Apprentice of the Year award being run by the National Apprenticeship Office. Louise was nominated for her role at Sanofi Waterford, a biochemistry laboratory based in Waterford City, where she has worked as a Quality Control Microbiologist since 2021. She is currently studying to qualify as a Laboratory Analyst at South East Technical University. Working with Sanofi, Louise, 40, is part of a team of scientists that is working to improve global health. The judges have noted her use of creativity to implement new methods in the Sanofi laboratory. Reflecting on the nomination, Louise said: Being given the opportunity to complete an apprenticeship at 40 shows that its never too late to achieve your goals and make a meaningful impact in your chosen field. Louise and the other nominees will find out who will win the award at an awards ceremony at the end of October, but will have to face the judges first. Each nominee will get the opportunity to make a presentation to a panel of experts at the WorldSkills conference in the RDS later this month. Taking place side-by-side with the Higher Options conference, WorldSkills aims to promote the profile of apprenticeships and non-university paths into the careers of the future. Last year, more than 27,000 students attended the conference, this year, alongside the apprentice hopefuls will be 48 nominees for the Apprentice of the Year awards from the South East. BEIJING, Sept. 13 (Xinhua) -- He Weidong, vice chairman of the Central Military Commission, on Friday met with foreign guests from Myanmar, South Africa and Pakistan, who are in Beijing for the ongoing 11th Beijing Xiangshan Forum. While meeting with Tin Aung San, Myanmar's deputy prime minister and union minister for defense, He said that the Chinese military is willing to work with the Myanmar side to enhance pragmatic cooperation and safeguard the security and stability of the two countries' border areas. During his meeting with Angie Motshekga, South Africa's minister of defense and military veterans, He said that the two countries' militaries should engage in deeper, more solid cooperation and work together to protect global fairness and justice. When meeting Sahir Shamshad Mirza, chairman of the Joint Chiefs of Staff Committee of the Pakistan Army, he pledged to work with Pakistan to shield the two countries' strategic interests, as well as regional peace and stability. The characterisation of Oscar Wilde by Callum Linnane is convincing in its unforced simplicity. And Ako Kondo as the nightingale in the fairytale magnificently suggests the fragility the paltriness of Wildes connection with his wife and his own heterosexual identity. The second act is similarly patterned, combining memories of Wildes affair with Lord Alfred Douglas (Benjamin Garrett) with a fretful sketch of The Picture of Dorian Gray. Wilde himself appears as the figure in the portrait that ages while its subject remains forever youthful. The new ballet is triumphantly Wildean. Credit: Jason South For the most part, Wheeldons choreography has a timeless, almost yearning, quality full of allusion and metaphor which he punctuates with woozy exaggerations, playful parodies and pitiful spasms. But it is impossible to detail all the achievements of this production here. There is the strength of the ensemble, the power of the orchestra, the dynamism of the staging, the all-round theatre craft. It goes on and on. Loading Of course, we get only a partial view of Wildes life. How could it be anything else? And yet, with its daring confusion of life and art in ways that can seem like a trap for the unwary spectator, Oscar has a quality a strangeness and a glamour that is authentically and triumphantly Wildean. Reviewed by Andrew Fuhrmann MUSICALS and OPERA Sweeney Todd Victorian Opera, Arts Centre Melbourne, until September 21 With Sweeney Todd, Stephen Sondheim elevated a popular genre of throwaway entertainment, the Victorian-era penny dreadful, into so much more than a moody and ghoulish musical thriller. The chemistry between the lead performers is wicked and sublime. Credit: Charlie Kinross Its a lurid tale, to be sure. A barber-turned-serial killer joins forces with his partner in crime, Mrs Lovett, who grinds the victims into mince and bakes them into pies in her shop below. And yet nothing Sondheim touched remained imprisoned by genre. From a musical standpoint, the work sits perched between musical theatre and opera, like one of the caged birds in the story, blinded to increase its powers of song. From a dramatic one, Sondheims inimitable wit surfs the murk of a black comic social satire, positively throbbing with Hobbesian misanthropy, to the very shores of revenge tragedy. From a musical standpoint, Sweeney Todd sits perched between musical theatre and opera. Credit: Charlie Kinross This Victorian Opera production retains the grime and grand guignol (as well as director Stuart Maunder and some key cast members) of its 2015 incarnation, and its at its best in musical theatre mode. One key advantage over its predecessor is the casting of Ben Mingay as Sweeney. He powerfully inhabits the anguish of a naive man tormented beyond endurance by injustice, and the brooding implacability of the nihilistic vigilante, and then the monster that he becomes. That feat eluded Teddy Tahu Rhodes (in a performance undermined by robotic acting) in 2015, and it doesnt come at the expense of vocal power: Mingays sepulchral baritone echoes and enthrals. Antoinette Halloran reprises Mrs Lovett with diabolical glamour. I wont vouch for the Cockney accent, but the chemistry with Mingay is wicked and sublime and theres genuine pathos in the last step she takes on the road to hell the appalling irony of Not While Im Around, opposite the terrific Mat Verevis as the street urchin whos the true victim of the piece. Loading Unfortunately, Lachlann Lawton and Alessia Pintabona arent great as the young romantic leads. Unalloyed purity doesnt exist in this world, and I wanted to hear and feel the undercurrents of corruption Sondheim finds in these unwary souls. Wheres Johannas resentment at the operatic sweetness of Green Finch and Linnet Bird? Wheres the disturbing, obsessive, stalkerish quality to the yearning in Johanna? Adrian Tamburinis Judge Turpin has vocal authority and stage presence enough to make one topless scene seem gratuitous. Kanen Breen is marvellously repellent as the Beadle; likewise Euan Fistrovic Doidge as the con-artist barber who becomes Sweeneys first kill. Both caricatures have a Dickensian quality, something inconsistently achieved by Margaret Trubianos showy Beggar Woman. Sondheim had a marked preference for actors who can sing, over singers who can act. He wouldve loved the 2019 production starring Anthony Warlow and Gina Riley and might well have lamented despite the dark lustre of Mingay and Halloran the slide into operatic accomplishment over dramatic complexity this time around. Reviewed by Cameron Woodhead OPERA La Boheme Melbourne Opera, Athenaeum Theatre, until September 24 This year marks a century since Puccinis death, and with Melbourne Operas mounting of a mini Puccini festival including a new production of La Boheme our citys marking of this milestone draws to an end. Despite the oddities, La Bohemes brilliance overcomes, and satisfyingly closes the loop on our year of Puccini. Credit: Robin Halls La Boheme is an opera so good, its enjoyable even when a production is imperfect, as this latest version demonstrates. Set in Paris in the mid-1800s, four struggling bohemians are in their apartment on Christmas Eve, when their neighbour knocks on the door and asks if she can borrow some candlelight. The ill seamstress, Mimi, and the poet Rodolfo fall desperately in love, but tragedy awaits. This production by Gary Abrahams succeeds in being both poignant and funny. Credit: Robin Halls This production by Gary Abrahams succeeds in being both poignant and funny but suffers from uneven performances and a somewhat confused setting. The curtain rises to reveal an impressive multi-storey set design, with some costumes reflecting a period setting. But through the window we see no arrondissement, instead, Flinders Street Station, one of Marcellos paintings appears to be graffiti in Hosier Lane, and Musetta is dressed in hot pink tulle. Despite Mimi being a role debut, Elena Xanthoudakis portrayal is heart-rending, (plenty in the audience cried when she died) with vocal lyricism and perfectly placed high notes. Boyd Owen, however, struggled with the range of Rodolfo. He sometimes succeeded, but also strained trying to reach the uppermost register of his voice, the resultant sound uncomfortable. Elena Xanthoudakis as Mimi with Boyd Owen as Rodolfo Credit: Robin Halls Christopher Tonkins physical and vocal characterisation of Marcello is one of easy naturalism and likeability. As Colline, bass-baritone Darcy Carroll is to be commended for matching it with a much older and experienced cast. The most curious casting choice is diva Helena Dix as Musetta. No one could ever deny her vocal ability or comic talents, but Dix is a Norma, a Lady Macbeth, a Wagnerian Valkyrie. Musetta is often the first lead role lyric sopranos get out of university. Musetta is a vocal walk in the park for Dix, and the MO audience adores her, but its like Celine Dion covering Sabrina Carpenter. Celine could definitely do it, but it would feel a bit wrong. The Melbourne Opera orchestra, led by Raymond Lawrence, played with excellent pace and emotion, with warm strings that swelled and faded like the love and loss reflected onstage. It was a shame, at numerous times, the orchestra overwhelmed the singers with volume. Despite the oddities, La Bohemes brilliance overcomes, and satisfyingly closes the loop on our year of Puccini. Reviewed by Bridget Davies MUSIC Tan Dun: Nine Melbourne Symphony Orchestra, Hamer Hall, September 14 Seeking common ground between his own works and those of Beethoven, Chinese composer-conductor Tan Dun offered a thought-provoking musical conversation between East and West, old and new in this one-off Melbourne Symphony Orchestra program. Composer and conductor Tan Dun leads the MSO at Hamer Hall, September 14, 2024. Credit: Laura Manariti Opening with Beethovens overture to his only ballet, The Creatures of Prometheus, Dun delivered a tight and cohesive account, the orchestra in an eagerly responsive mood. Duns Hero Concerto for violin is drawn from his music for the 2002 film Hero, forming the central component of his Martial Arts Trilogy which also features material from Crouching Tiger, Hidden Dragon and The Banquet. Soloist Lu Siqing, like Dun himself, is a seasoned performer and gave a commanding and committed performance of this music which calls for two violins; one western instrument and another that is tuned differently and restrung with silk strings. Soloist Soloist Lu Siqing and conductor Tan Dun in a performance of Nine at Hamer Hall in Melbourne. Credit: Laura Manariti In its bicentenary year, Beethovens Symphony No.9 has lost none of its lustre. Its exultant final celebration of human solidarity, achieved through the composers stirring setting of Schillers Ode to Joy, continues to express humanitys highest aspirations. Inspired by this famous finale, Duns Choral Concerto: Nine finds parallels between Schiller and ancient Chinese poetry. The three-movement work resourcefully explores the extraordinary versatility of the human voice, with percussive effects, humming and the stretching of vowels like a piece of elastic, all contributing to Duns unique sound-world. Using extreme contrasts of dynamics and fast tempos, Duns vibrant reading of an abridged version of Beethovens symphonic finale created something of a musical firecracker, lighting up an ebullient conclusion. Expressing a vision of human peace and harmony in words and music is a relatively easy task but realising that vision and seeking common ground in daily life is rather more difficult. After a torrid month, the talented and hardworking players of the MSO know this only too well. Reviewed by Tony Way MUSIC Handels House Australian Brandenburg Orchestra, Melbourne Recital Centre, September 12 George Frederic Handel would doubtless have enjoyed the delicious twist of history in that his primary London residence 200 years later shared a wall with the flat of legendary electric guitarist Jimi Hendrix. Both were outsiders who took London by storm, both were shrewd entrepreneurs. Soprano Yukie Sato performs with the Australian Brandenburg Orchestra at the Melbourne Recital Centre, September 12, 2024. Credit: Laura Manariti Three hundred years after Handel moved into 25 Brook Street, another savvy showman, Paul Dyer, and the Australian Brandenburg Orchestra are helping another new talent take Australia by storm: the Japanese soprano Yukie Sato. Loading Satos program of Handel arias left her listeners in no doubt as to her command of this rich musical treasury. On both musical and dramatic planes, she provided a vivid realisation of the composers astonishing creative breadth. Perhaps a little timid at first in a selection from The Triumph of Time and Disillusionment, Sato soon brought coloratura as glittering as her first gown of the evening to Dopo notte from Ariodante and vehement rage to Ah! Ruggiero, crudel from Alcina, an opera made famous by Australias own Joan Sutherland. Clad in a vibrant red dress for the second half, Sato impressed with richly expressive contrasts in Lamor ed il destin from Partenope and Mallontano, sdegnose pupille from Atalanta. Radiating overflowing joy, she returned to Alcina, giving an ecstatic account of Tornami a vagheggiar, singing the middle section sitting on the edge of the stage, something Dame Joan would never have countenanced. Youre the bird girl, right? locals would ask her, and not just because kookaburras and parrots seemed to randomly land in her hair. She had no friends she couldnt understand human ways but she knew the look and language of birds and would often tell them how beautiful they were, particularly her favourite white-bellied sea eagles that would regularly circle in pairs over Jervis Bay. Gina Chick was the strangeling from Jervis Bay on the South Coast of NSW, who would sing to the whales, capture and befriend the blue-ringed octopus, walk with her familys three pet ducks down to the beach, rescue the birds rosellas, magpies, finches, honeyeaters talk to them all, tend their bruised wings, stroke their tummies, feed them from her lips by spitting the chewed-up seed down their gullets. If the story was good enough, Chick would rip the chosen page and chomp, letting the bears and panthers, the birds, geckos, bats and butterflies, the elves and dwarves, the Indian boys and storm boys, the trees and rocks as well, find a place in her roiling tummy, then her heart. Read. Tear. Chew. Swallow. Gulp the characters, ingest the magic, allow the wonder to inhabit you. That was the pattern. Not just with The Jungle Book, but with all the childhood books that landed in her lap Jonathan Livingston Seagull, My Family and Other Animals, The Dreamtime, The Clan of the Cave Bear, Storm Boy, The Lord of the Rings and then the thousands of teenage and adult books that followed. Chick didnt eat the entire book, just the corners of the more enchanting sections where Mowgli and other favourite characters like Bagheera, the black panther roamed wild across the page. Nearly half a century before Gina Chick became a celebrity for surviving 67 days alone in the Tasmanian wilderness, she ate her first book. She was six years old and it was Rudyard Kiplings The Jungle Book, about a skinny little Indian boy named Mowgli, raised in the jungle by wolves. From the first episode, she was the one we saw talking, singing and praying to the earth, as though shed been doing this her whole life, which, of course, she had been. Hello lake. Hello trees, she said, surveying the beautiful bleakness of her new winter home. As one of 10 contestants dropped into a desolate corner of south-western Tasmania to test her survival skills, the then 52-year-old bushcraft teacher from Jervis Bay endured more than nine weeks of brutal deprivation to emerge, not just as the last person standing, but as the person the woman most intuitively in kinship with the natural world. In case you dont watch television , or the moment simply passed you by, Gina Chick is the bare-footed woman in the full-length possum-skin coat who more than one million Australians saw win the first Alone Australia series on SBS last year. Chick in Jervis Bay. In the first episode of Alone, she said, The only way to truly be at home in the wild is to have humility and to realise that nature is going to break every single one of us open. Credit: Tim Bauer The interesting thing about the sea eagle, she says now, sitting on the promontory of her favourite childhood beach, is that it is an incredibly majestic bird. Its got a two-metre wingspan but it quacks like a duck. Wachwachwachwachwachwachwachwachwach. All of Chicks fellow contestants had also been highly skilled, resourceful and, in their own singular ways, crazy-brave. They knew how to fish, dive, hunt, track, stalk and shoot. Or theyd been practising bushcraft all their lives. Or theyd grown up camping and living on country. Or theyd been in the military and been pushed beyond their limits, or they had faith in a higher power, or an extraordinary self-belief, as was the case with runner-up Mike Atkinson, whod done solo expeditions into the deserts of Saudi Arabia, as well as in far north Queensland in a self-made dugout canoe. It made for gripping television and it was this formula that the producers adopted for the first Australian season on SBS last year, with Gina Chick, colder, skinnier and hungrier than shed ever been in her life, becoming the oldest contestant and only the third woman worldwide to win the competition, along with $250,000 in prize money. Since 2015, the American version of Alone had enjoyed huge ratings as it documented these kinds of struggles in places as forbidding as Patagonia, northern Mongolia and the Arctic Circle. Individuals were allowed to select 10 items of survival gear from a pre-approved list of 40, a standard kit of clothing and first aid/emergency supplies, plus a set of motion-activated trail cameras to record their exertions and torments. And it did. Week by week, one by one, as each contestant was crushed by the harshness of trying to subsist alone in winter, right in the path of the Roaring Forties, with little or no food, limited survival equipment and no one to turn to except a rescue team if and when it became time to tap out with an emergency satellite phone. This moss feels amazing, she added, looking like a Norse goddess as she danced shoeless on the edge of a dark lake. This isnt about defeating nature or conquering the wilderness. The only way to truly be at home in the wild is to have humility and to realise that nature is going to break every single one of us open. On her 20th night in the wild, Chick went to bed dreaming of fish, then promptly snared a brown trout in the lake the next morning. Then the next morning, and the morning after that six fish in four days. Whenever I needed anything, she says now, I would go out and I would say, All right Big Mama, I know youve got me here to tell a story. But I cant tell it if Im not here, so Im going to need some food. And, like, theres a fish. Doink. Theres another fish. Doink. Theres an eel. I caught so much food, and it wasnt because Im particularly skilled. But Im receptive. I could listen. My strategy is still to not even worry about food, just to work on this shelter, she said four days into the competition. Sixteen days later, shed still not eaten, but her shelter was something to behold a fire-warmed nest with a swinging door made of sawsedge which shed constructed while asking permission from and singing to the land. Plus, shed built an elevated bed and rock stove. On social media, she instantly became the dancing hippie, the weird fur woman who talked to the platypuses and sang fishy fishy songs to the lake. Some gave her 24 hours at most, a week at best, before leaving the competition. Others intuited immediately that she was the one to watch. She was the only participant who didnt include a sleeping bag in her 10 items of survival gear, just that possum-skin coat made from lutruwita [Tasmanian] pelts which she included as part of her clothing allowance. This allowed her to bring another survival item that no one else thought to bring a block of salt, full of electrolytes for maintaining fluids and blood volume, but also to flavour food, if and when she decided to eat. Shed arrived carrying 19 kilograms of excess weight and we began to love her for that fact alone. Im so fat I cant stand up, I have to rock, she said, guffawing at the camera and herself, before explaining that this deliberate surplus flesh was a key survival strategy because it added about 630,000 kilojoules to her bodys energy reserves. Chick outlasted them all, and she did so with a combination of determination, joyousness, creativity and vulnerability that would turn her, if not into a household name, then certainly into a celebrity for our times: a woman at home in the wild whom we could marvel at without ever hoping to emulate. I will probably be dreaming about that wallaby offal stew for the rest of my life. I put the liver and lungs in that stew. I squeezed all the poo out of the top of the intestines and washed the intestines really well. All the blood went into it, along with the intestines, and because I had salt, it was probably the most incredible stew I have ever eaten. Chick could sense victory in that animals dying breath because, after 35 days of having consumed no more than 1.5 kilograms of protein, she now had almost 8 kilos of wallaby meat to feed her starving body. She also had the ingredients for a stew that she now says she would happily pay good money for in a Michelin-starred restaurant. Fifteen days later, she had a big talk to the lake and told it she needed fat, that the protein from the fish and eel was simply not enough for her needs. That same night, after peeing in the bushes, she remained on a log to gaze at the moon. She was there for about an hour when a wallaby suddenly appeared beside her. She jumped on it. Sorry, sorry, sorry, she told the stunned creature, before smashing its head with a rock. Oh darling, Im so sorry. Holy shit. Oh wow. Oh you beautiful thing. Holy f---, Im sorry. I put the liver and lungs in that stew. I squeezed all the poo out of the top of the intestines all the blood went into it, along with the intestines, and because I had salt, it was probably the most incredible stew I have ever eaten. And by that, she means listen to the land, tune into its symphony of information, follow natures instructions, which shed been doing all her life. Gina Chick had entered Alone Australia not just to win which she firmly believed she would but to make a point. Im making a stand, she said, for a way of being human that I dont see in the modern world, like how to live in relationship with the natural world and get all my needs met. Gina Chick and I meet on a glorious sun-kissed winter morning at Plantation Point, on the northern edge of Jervis Bay, a few hundred metres from where she grew up with her teacher parents Suzanne and Doug Chick, two sisters Danni and Kristin, and a small farmyard of rescued animals. This was the childhood playground of an odd but remarkable little girl who dreamed of turning into a dolphin and being able to speak the language of the ocean, who saw baby whales do cartwheels in the bay and who at low tide played on exposed rocks that looked to her innocent eyes like a giant crocodile. This is where I would walk with my dad when I was having a rough time at school, literally this beach, she says now, and he would explain the stars and the moon to me. This is where she would also come in the dead of night, running headlong into a ferocious storm, her hard-as-leather feet knowing their way to the beach, telling herself, I am not human. I am a storm wrapped in skin. And then asking herself plaintive questions like: Does a planet have a heart? I was the weirdest kid, she tells Good Weekend. Oh, my god, I was such a weird kid. So weird that other kids avoided her, refused to sit with her (the nerds as well), and once, after a high school excursion, even signed a petition all 150 students pronouncing both her crime and punishment: Gina Chick Should Wear A Bra. Gina, like, youre just too big, one girl told her. Chick thought she was talking about her body. No, not literally, the girl said. You just sometimes youre, like, too much. Too much? Chick replied. Too much what? Too much everything, chimed in another girl. Even ya cheekbones are too f---in high. And ya mouth is f---in enormous, ya could fly a f---in plane into it. And ya sing all the time, like, really loud. Like ya think yer Madonna. And yer always doin weird shit like pukin in birds mouths. Yer not normal. No offence, but ya know what I mean? So Chick reverted to talking to birds and butterflies. She intuited the language of ants and their stories of rain and perseverance; she listened to the tales that trees could tell from their rustling branches, and she drowned herself in music by learning the piano, even trying to play Fur Elise with her toes. Playing the flute with an avian entourage. Credit: Courtesy of Gina Chick Thankfully, she had a deeply loving family to ease the loneliness, along with the characters shed met in her favourite books: Mowgli, the orphan boy raised by animals; Jonathan Livingston Seagull, the outcast bird who sought to transcend the limitations of his flock; Storm Boy, the solitary child who befriended a pelican and could hear the earth sing; and Ayla especially Ayla the Stone Age woman from The Clan of the Cave Bear who could survive on her own by hunting with a sling and making fire with rubbed sticks. Read. Tear. Chew. Swallow. I think with the eating of books, she explains, every sense was so alive in me, these characters were so alive, that I needed them inside me. Like these characters were my friends, still are, but I couldnt see them in the world, I couldnt touch them, so by consuming that little bit of paper I was building that character as an actual physical presence. Her mother told her: Gina, you will find your people and follow your enormous talents, which she did once she left school and moved to Sydney. By her late teens, she was studying communications at the University of Technology, Sydney and meeting people such as Hugh Jackman after having collided with him in the cafeteria on day one. They became instant and it would turn out lifelong friends. By her early 20s, she was discovering the queer community and, on a dare one night, shaved her head while tripping on LSD. She then began going to gay picnics, queer pool parties, sex parties, dance parties, any party where she could feel a sense of oneness with other strangelings, fellow misfits who not only accepted her for all her freakish edges but also loved her for them. She danced with abandon wherever she could, in ways humans have been doing since the dawn of time. She danced as communion but, more so, to purge the years of wretched solitude that had collected in her body. She danced to return to herself, to find pure freedom in flight, not unlike Jonathan Livingston Seagull. Chick dancing bare-footed on the rocks at Jervis Bay. Credit: David Leser And now, here on the beach, on a perfectly scripted blue-sky morning, she offers me a spare set of headphones and invites me to join her in a bare-footed dance on the waters edge. Theres a pelican on a rock shelf nearby, a few cormorants drying their wings in the winter sun, and Chicks favourite white-bellied sea eagle soaring above us. I take the headphones and for the next 30 minutes bird girl and I sway and turn to the music as the red-beaked oyster catchers come in to land. It wasnt just Gina Chicks ability to survive nay thrive in the wild that captured the Australian publics imagination when she won that first season of Alone Australia. It was the fact she allowed us to see her vulnerability. In her late 30s, and after the bitter disappointment of a relationship that almost destroyed her, Chick had finally found the love of her life in Lee Trew, an English-born exponent of hunter-gatherer practices such as hunting, trapping, stalking, building fires and constructing shelters. The couple had met at a wilderness survival school in New Jersey and, at the age of 40, Chick fell pregnant, just a few days before finding out she also had aggressive breast cancer. The doctors insisted she terminate the pregnancy to save her own life. She refused. Id called out to the edges of creation that Id wanted a child, she would later say. The gods had called back How bad? Chick changed her doctors, meditated through the gruelling rounds of chemotherapy and somehow went into remission. Her perfect precious miracle of a child was born in 2010 and she was named Blaise, after another of Chicks literary heroes, Modesty Blaise, the fictional master thief turned British spy. Blaise was perfectly healthy for the first two years of her life. She lived deep in the Australian bush with her parents, trusting nature as she would a friend. Just like her mother, she knew the names of all the morning birds, recognised their dawn chorus, even heard her own laughter echoed in the lyrebirds song. Then the unbearable, the unspeakable, the unthinkable occurred when at the age of nearly three she was diagnosed with cancer, 200 tumours about to grow inside her small frame within the space of 10 weeks. In October 2013, after nine days in intensive care and barely four months since first being diagnosed, Blaises respirator was turned off as her devastated parents looked on, her father kissing his daughters fingers, telling her softly that her body was now going back to Earth Mama and that Grandmother Moon was waiting for her. With her daughter Blaise, who was born despite Chick developing breast cancer. Blaise died, aged three, after her own cancer diagnosis. Credit: Courtesy of Gina Chick Chick gives heart-rending voice to this shattering moment in her upcoming memoir, We Are the Stars (to be published by Simon & Schuster on October 2): Blaise dies in my arms with Lee wrapped around us both, she writes. We sing to her as she flies, our voices strong and true, singing her out of the world as we sang her into it: together. Its just a normal hospital room and in it a child is dying and somewhere another is being born and another is eating breakfast and another is weeping and another laughing, playing, growing, falling theres nothing unusual in any of this, were part of a great dance, and this is what pure fluke, grand design, cosmic joke that our dance floor is lined with mourning bells and ravens while a breath away a family cavorts on a carpet of butterflies. Were all connected. Death to life to death. A decade later, we see Gina Chick on our television screens, out in the Tasmanian wild, sitting vigil by a fire on the night that would have been her daughters 12th birthday. She begins to weep, then howl, and in that moment we all hear the ancient lament of a lioness grieving her lost cub. Eighty kilometres north of where we now sit contemplating the blue curve of Jervis Bay, theres another beach, called Bombo, where Chicks grandmother grew up, a similarly high-cheekboned, rebellious spirit who loved the freedom of nature and ran from any kind of conventional life. Chick never met this famous grandmother of hers because, at the age of 45, Charmian Clift killed herself with an overdose of barbiturates. It was 11 weeks before Chick was born. Even if Clift hadnt died so tragically, it is inconceivable these two women grandmother and granddaughter would have found their way to each other, at least not until the NSW Adoption Information Act was introduced in 1990, allowing adopted children to access their original birth certificates. Thats when Gina Chicks mother Suzanne found out she was Charmian Clifts daughter, born on Christmas Day, 1942 at Crown Street Womens Hospital in Sydney, then given up for adoption to a kindly, childless couple three weeks later. According to Clifts biographer, Nadia Wheatley, she had desperately wanted to keep her baby but given that Clift was an unmarried 19-year-old, her own mother Amy wouldnt hear of it. Quoting one of Clifts friends, Wheatley writes in The Life and Myth of Charmian Clift: Charmian never forgave her mother for making her give up the child and, by various accounts, lived with the guilt and sorrow of this abandonment for the rest of her life. Another version of events had Clift sometimes searching the faces of little girls in the street, wondering whether one might be her lost daughter. Charmian Clift, of course, went on to become arguably the most interesting literary figure of her generation, author of numerous books on her own as well as in collaboration with her famous war correspondent husband George Johnston, author of the Miles Franklin-winning My Brother Jack and its sequel Clean Straw for Nothing. (A new documentary on Clift Life Burns High directed by Rachel Lane, premiered at this years Sydney Film Festival and is now on Foxtels Famous channel.) From left: Chicks grandmother Charmian Clift with Leonard Cohen on the Greek island of Hydra in 1960; Chick at the same tree in 2022, during a quest to hunt Clift down and meet her ghost. Clift also became Australias most prominent female columnist, a celebrated and beloved figure who wrote for The Sydney Morning Herald and Melbourne Herald each week for four-and-a-half years, up until her death in 1969. She wrote with great intimacy and an unflinching gaze on issues both political and personal everything from Indigenous rights, the Vietnam war and the evolving role of women to the magic of learning and the pleasure of leisure. Her last collection of essays was called Being Alone with Oneself, a title of eerie circularity given the path her granddaughter would one day tread. During the early 1950s, Clift and Johnston had fled the stultifying conformities of Menzies Australia for the Greek island of Hydra, where they wrote books, raised their three children and partied long into the night with other artists and writers captivated by their brilliance and generosity. The young Canadian poet Leonard Cohen had been among them and in 1980, 20 years after first stepping foot on Hydra, he dedicated his first Sydney concert to the Australian couple, claiming it was Clift and Johnston whod taught him how to write. He later said: They drank more than other people, they wrote more, they got sick more, they got well more, they cursed more, they blessed more, and they helped a great deal more. They were an inspiration. Just as Charmian Clift would eventually prove to be for the daughter and granddaughter she would never know. Gina Chick was 21 when her mum Suzanne discovered the name of her own biological mother. Chick immediately raced to the nearest bookshop with her sister Danni to find books such as Peel Me a Lotus and Mermaid Singing sitting on the shelves, the authors photo on the back looking almost indistinguishable from their mother. Chick (left) with sisters Kristin and Danni: her loving family helped ease the isolation of being a weird kid. Credit: Courtesy of Gina Chick Something shifted inside Chick as she ran her fingers along the spines of her grandmothers books. No, she didnt eat the pages, but, as she would recall decades later in We Are the Stars, she did breathe in the crisp smell of paper and ink and the hidden jostling of words. And then a tiny voice inside her head said: Well thats all right, then. This explains everything. A few weeks after the filming of Alone Australia, Chick travelled to Hydra to thaw out in a Greek autumn after the deprivations of a Tasmanian winter. She went searching for Charmian (the title of her mothers bestselling book, published in 1995) because she now felt ready to have a relationship with her grandmothers ghost. In the 30 years since discovering that Charmian Clift was her grandmother, shed refused to read her writings, fearing it would influence her own unique writers voice, one shed been developing since she was a child. This was the first time I had wanted to hunt her down, she tells Good Weekend, to find the essence of her, almost stitch that part of her into myself. Because, up until then, shed really been Mums story, not my story. With her mum Suzanne and photos of her grandmother, author Charmian Clift. Credit: David Leser Chick searched for glimmers of her grandmother in the winding, cobbled streets of the island and the bars down by the beautiful crescent-shaped harbour. She looked for her up in the rocky hills and the turquoise waters where her grandmother had regularly swum. She found nothing. Then, on her last day, she stumbled upon the taverna where a famous photo had been taken of Clift leaning against a white-painted olive tree as Leonard Cohen sang and played his guitar. Its a moment engraved in popular cultures collective imagination. Chick leant against that same tree and wept for her grandmother who, like her, had lived with the soul ache of having lost a child. Chick began to play her guitar and sing for her departed daughter and grandmother, two ghosts she imagined now dancing coming home to each other under the olive tree. Loading Chick returned to Australia and was forced to sit with the secret of having won Alone for nearly eight months, until the final episode was aired in late May 2023. Then the dam burst. Suddenly, people started coming up to her in the street, thanking her for her courage and fearless authenticity. Her social media profile exploded. From 1000 Instagram followers before Alone Australia screened, she now had 30,000, then 80,000. Saxton Speakers Bureau snapped her up as a keynote speaker, SBSs Great Australian Walks invited her to become one of their three presenters, then co-host a new SBS podcast on the second Alone Australia season. All this at the very time shed been commissioned to write a book about her extraordinary life. In August last year, she flew to Berlin to begin the writing process from an old warehouse. Hugh Jackman, her friend from university days in Sydney, flew in to join her. With long-time friend Hugh Jackman, who visited Chick in Germany as she began work on her memoir. The pair first met in a university cafeteria. Credit: Courtesy of Gina Chick Gina taught me how to read people, he tells Good Weekend via email. She taught me how to see below the surface she was just instinctive and smart and observant and understood people and I cant wait for people who obviously feel they know her from the show Alone to get an even deeper understanding of who she is and what she has to offer. After a six-day writing spree in the German capital, Chick returned to Tasmania to attend a forest economics congress at the Museum of Old and New Art, where she was invited by its founder David Walsh and his wife Kirsha Kaechele to use their beach house at Marion Bay as a writing den. Chick wrote in a preternatural white heat, almost finishing the manuscript in three weeks. It was an outpouring from my heart, she says, and while I was writing it I could really feel the presence of Charmian and my mother. I could feel the wild, creative genes just singing and ringing and having their own storm inside me. If you spend enough time out in the wilderness, your idea of whats rationally possible shifts. Gina Chick I pretty much just had to hang on when the words started coming, because they were not coming from a cognitive or rational place. It felt like I was sticking my finger up into the clouds and I just had to ride the lightning to get whatever was coming through. A few years ago, Gina Chick was walking through a forest in northern NSW, looking for a cave to sleep in, when she saw a black cockatoo with magnificent feathers high in the branches of a turpentine. Hey little sister, she called, will you give me a feather? and, according to Chick, the bird looked at her for a split second, stretched her beak to her tail, plucked a feather and then spat it out. The feather landed in another branch, too high for Chick to reach, so next thing Chick sees the bird is lifting from its branch, flapping its wings next to the feather and the feather is falling like an autumn seed pod at Chicks feet. That was the closest thing Ive ever seen to magic, Chick says now, completely aware of the disbelief this anecdote will cause. But if you spend enough time out in the wilderness, out in nature, your idea of whats rationally possible shifts. Just look at First Nations peoples connection to country and their experience of cause and effect. There are very clear descriptions of this sort of thing happening people saying, This is something I need, and it comes. And that was my experience on Alone. Show me the girl at seven and Ill show you the woman. From the time Gina Chick was a little girl, she lived in a world of magic, her senses all a tiptoe. She spoke to birds and ran headlong into tempests. She learnt about the atoms and elements of the universe, and everywhere she looked there was wonder to behold, but also a throbbing sense of isolation. At the age of 30, she briefly contemplated suicide. All the pain, rejection and confusion shed felt trying to fit in a box, trying to be human, left her feeling life was not worth the struggle. Loading After a year as a workhand on an island resort in the Great Barrier Reef, however, she decided she wanted to live, and she began reconnecting with nature and her deepest longings: running wilderness programs, rewilding camps, leading dance and meditation retreats, getting in touch with her body once more and the fierce creativity shed inherited through her maternal bloodline. We never saw this on Alone but during her last 10 days, she survived the relentless rain and sub-zero temperatures by writing songs and giving imaginary concerts to pretend audiences, dressed in her signature possum-skin coat. Two years earlier, during COVID-19, shed lived in a bus on the side of a mountain, awaking many winter mornings before dawn to write a song and, of course, now she has an album ready for release because theres nothing, seemingly, that Gina Chick cant do. She can play music, as well as sing and dance. She can hold an audience in rapt attention and can write like a born-writer writes. She can hunt, stalk and kill, while also grieving the death of the animal she has just slain. She can survive on her own in the wilderness, communing with the land, reminding us of our lost connection to nature. And she can do all this because, beyond her physical survival skills and ability to tune into the deep intelligence of the natural world, she has forged a friendship with all the untamed voices and characters both real and fabled that have lived inside her since she was a little girl. I spent so long as a child wondering how to leave my strangeness behind, she says, and it turns out that was the wisest part of me. To read more from Good Weekend magazine, visit our page at The Sydney Morning Herald, The Age and Brisbane Times. Singapore: For months, rumours have abounded in Beijing as to the whereabouts of former Chinese ambassador and foreign minister Qin Gang, after he abruptly disappeared from public view in June last year. He has not been seen since. A veil of murkiness continues to hang over his fate even after a scoop by The Washington Post last week that said he was not only alive but also not in jail. Chinese President Xi Jinping and ex-foreign minister Qin Gang, who has not been seen in public since June 2023. Credit: AP, Jamie Brown Instead, Qin had been redeployed, on paper at least, to a low-level job at the World Affairs Press, a publishing house affiliated with the Foreign Ministry he had once helmed, the Post reported, citing two unnamed former US officials. It appeared to be a humiliating end for a man whose swift downfall stunned China watchers. As a renowned loyalist to President Xi Jinping, Qin, 58, quickly ascended the ranks to become ambassador to the United States in 2021 and then top diplomat the following year before his sudden removal just seven months into the role. Washington: Donald Trumps running mate, Senator J.D. Vance has outlined a peace plan to end the war in Ukraine. But objectively, it sounds a lot like Vladimir Putins. Vances critics immediately said he had described a Russian victory, while his supporters said he had offered the only realistic path to peace. In an interview with The Shawn Ryan Show, Vance, the Republican vying to become US vice president at the November 5 election, was asked about Trumps plans to end the war. Donald Trump and his vice presidential nominee J.D. Vance at a 9/11 memorial ceremony in New York on Wednesday. Credit: AP Vance said Trump would sit down with Russians, Ukrainians and Europeans and say, You guys need to figure out what a peaceful settlement looks like. He went on to outline what he thought a deal would entail: the Russians would retain the land they have taken and a demilitarised zone would be established along the current battle lines, with the Ukrainian side heavily fortified to prevent another Russian invasion. DAMASCUS, Sept. 14 (Xinhua) -- Syrian President Bashar al-Assad has appointed Mohammad Ghazi Jalali as the country's new prime minister, state media reported on Saturday. Jalali, 55, a civil engineer and economist, has been tasked with forming a new cabinet, according to the presidential decree. He replaces Hussein Arnous, who had been prime minister since June 2020. Arnous's government had been functioning in a caretaker role since its term ended following the parliamentary elections in July. Jalali, who previously served as communications minister from 2014 to 2016, holds a doctorate in engineering economics from Ain Shams University in Egypt and degrees in civil engineering from Damascus University. The selection of Jalali follows a meeting on Friday between Assad and leaders of Syria's ruling Baath Party to discuss the formation of the new government. An official announcement of the full cabinet is expected in the coming days, with the new administration scheduled to present its policy statement to the Syrian People's Assembly on Sept. 25. ISLAMABAD, Sept. 14 (Xinhua) -- A passenger bus plunged into a ravine in Pakistan's southwest Balochistan province on Saturday, claiming six lives while injuring 24 others, police said. The incident happened when the vehicle tumbled off a road in the Dhana Sar area of Zhob district of the province, according to the police in the area. Following the incident, police along with rescue teams reached the site and shifted the bodies and injured to a nearby hospital. Local volunteers also participated in the rescue activities. The condition of several of the injured is reported to be critical, according to the hospital sources. The incident took place when the bus driver lost control of the vehicle while taking a turn, said police, adding that the ill-fated bus was carrying the passengers from the federal capital Islamabad to the provincial capital city of Quetta when it met with the accident. A Chinese artist dances during a Chinese Mid-Autumn Festival celebration event in Valletta, Malta, on Sept. 13, 2024. (Photo by Jonathan Borg/Xinhua) VALLETTA, Sept. 14 (Xinhua) -- A celebration event featuring mainly poem recitals and music performance was held on Friday night in Valletta, Malta to mark the upcoming Mid-Autumn Festival, one of China's most cherished traditional holidays. Hosted by the China Cultural Center in Malta, the event brought together Chinese and Maltese participants to exchange well-wishes for each other and for a deeper and lasting friendship between the two nations. Maltese sinologist Salvatore Giuffre, donning traditional Han clothing, recited a Chinese poem "Spring River in the Flower Moon Night" written in the 7th-century. He also explained to the audience the scene of poetic beauty and imagination the poem vividly portrayed. Following Giuffre's recital, young Chinese artist Guo Mei performed a classic Chinese composition with the same title on the pipa, a traditional pear-shaped stringed instrument, echoing the lyrical charm of the poem. Albert Marshall, executive chairman of Arts Council Malta, recited his own poem "These beautiful people from the remote mountains of Guangxi." It's from his poetry collection "Six Chinese Lanterns", which was inspired by his visit to south China's Guangxi Zhuang Autonomous Region in the summer of 2019. Marshall said it was particularly encouraging to see younger generations from both nations becoming more familiar with each other's cultures. The event also featured a collaborative performance and interaction activities like tasting mooncakes and making their own mooncakes and Chinese lanterns. Yuan Yuan, director of the China Cultural Center in Malta, highlighted the significance of cultural exchange. "Through events like this, the understanding between our peoples deepened." The Mid-Autumn Festival falls on Sept. 17 this year. Traditionally, it is time for family getting-together in China. Albert Marshall, executive chairman of Arts Council Malta, recites his own poem during a Chinese Mid-Autumn Festival celebration event in Valletta, Malta, on Sept. 13, 2024. (Photo by Jonathan Borg/Xinhua) A Chinese artist plays pipa, a traditional pear-shaped stringed instrument, during a Chinese Mid-Autumn Festival celebration event in Valletta, Malta, on Sept. 13, 2024. (Photo by Jonathan Borg/Xinhua) PHNOM PENH, Sept. 14 (Xinhua) -- Cambodia cracked down on 104 human trafficking and sexual exploitation cases in the first half of 2024, according to a National Committee for Counter Trafficking (NCCT) report on Saturday. Twenty-two cases involved human trafficking and 82 cases were related to sexual exploitation during the January-June period this year, the report said, adding that during the crackdowns, the authorities had arrested a total of 134 suspects, including four foreigners. "A total of 290 victims including 241 females had been rescued," the report said, adding that 134, or 46 percent, of them were under the age of 18. Interior Ministry's Secretary of State Chou Bun Eng, who is also the NCCT's permanent vice-chairwoman, said human trafficking cases were linked to crimes of fraud, labor disputes, illegal work, and illegal immigration, among others. "The royal government will continue to do its best to eliminate all forms of human trafficking and sexual exploitation in order to promote the respect for human rights, dignity and social justice," she said. The World esports industry development conference 2024 was held in China's Chengdu, aiming to set up an important platform for communication and collaboration of the global esports industry. TURIN, Italy, Sept. 14 (Xinhua) -- It's far more beneficial for Europe to cooperate with Chinee automakers than just imposing tariffs on them, Italian auto industry professionals at the ongoing the 2024 Turin Auto Show have said. "Chinese electric cars offer not only high technology, but also high quality," said Andrea Levy, president of the 2024 Turin Auto Show. "We are very happy to have China's brands here and they all look very nice and well-made," Levy said, noting that China's high-quality vehicles, offered at more competitive prices compared to their European counterparts, could play a vital role in supporting Europe's green transition. Levy highlighted the ongoing collaboration between Chinese and European companies. "I favor integration over taxation," he said, calling for a more global perspective. "I have worked in the automotive world with other groups, but I preferred China's Dongfeng," said Bruno Mafrici, an Italian importer of Chinese vehicles. While acknowledging that tax policies in Europe may cause some troubles for his business in the future, he remains committed to working with Dongfeng, expressing confidence in the company's broad range of line-ups. Mafrici is optimistic about the prospects of Chinese car companies in Italy. He told Xinhua that China is at the forefront of technology, while Italy is renowned for its automotive design and industry heritage. "The two countries can collaborate on both industrial and technological fronts, creating new products together." Levy added that Italy is open to Chinese cars and welcomes China's investment: "We plan to invite more Chinese brands to the show in the future." YAOUNDE, Sept. 14 (Xinhua) -- Cameroonian President Paul Biya has allocated 350 million XAF (about 591,809 U.S. dollars) for flood relief after rainstorms caused severe flooding across the country's Far North region, a senior official said Friday. The flooding has claimed 11 lives, destroyed 40,000 homes and displaced 180,000 people, Minister of Territorial Administration Paul Atanga Nji told reporters Friday in the capital, Yaounde. "It is unfortunate that we have casualties, but we have to assist the population. We need to anticipate and put in place preventive measures in cases of flood. The vulnerable population or those impacted by the floods must receive assistance in the fastest possible way," Nji said. He added that within 48 hours, about 50 trucks loaded with relief materials would be dispatched to the region. In response to mounting pressure from heavy rains, local authorities had earlier requested urgent aid for flood victims. In August, Cameroon's meteorological center warned of continued turbulent weather, predicting that heavy rains and strong winds would persist in the region, which has already experienced devastating downpours. BEIJING, Sept. 13 (Xinhua) -- China has always opposed the UK's so-called "six-monthly report" which makes irresponsible comments on Hong Kong affairs, a Chinese foreign ministry spokesperson said on Friday. On Sept. 12, the UK government issued the so-called "Six-monthly report on Hong Kong: January to June 2024." In response to a related query, spokesperson Mao Ning told a press briefing that since the return of Hong Kong, "one country, two systems" has been a success in Hong Kong, which is widely recognized. The national security law in Hong Kong and the Safeguarding National Security Ordinance, as well as the improved electoral system, have enabled Hong Kong to enter a new stage in which it has restored order and is set to thrive, its security, democracy and people's freedoms and rights are under better protection, and it enjoys more promising prospects for development, Mao said. Hong Kong affairs are purely China's internal affairs. It's been 27 years since Hong Kong's return and the UK must respect that fact and stop pointing fingers at Hong Kong affairs, Mao said. Kenyan students learn to make moon cakes during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) NAIROBI, Sept. 13 (Xinhua) -- Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. The event brought together more than 200 participants and featured activities, including traditional Chinese music, dances, drama, and poetry, as well as the preparation of moon cakes and jigsaw puzzle competitions. Wang Xinyu, a lecturer at the Confucius Institute, said the event was organized to promote cultural integration between the two countries. "Through sharing cultural festivals, China and Kenya will enhance understanding of each other." The Mid-Autumn Festival, taking place annually on the 15th day of the eighth month in the Chinese lunar calendar, will be observed on Sept. 17 this year. It is a time for families to get together, share moon cakes, and watch the full moon. Nelson Asienwa, head of corporate and culture at the Afristar, said there is growing awareness among Kenyans of Chinese festivals due to deeper commercial and cultural ties between the two countries. Asienwa added that Kenyans really enjoy celebrating the Mid-Autumn Festival because it centers on giving thanks for harvests collected by farmers, which is also a common practice among African communities. Alexander Mwaniki, a student at the Confucius Institute, said the celebration helped him gain a deeper understanding of the Chinese language and culture that he learned in class. Joseph Njane, training officer at Afristar, who entertained the audience with Chinese songs together with other colleagues, said he enjoys music from the Asian country because they are rich in melody while spreading messages of social harmony. Damaris Mutinda, a construction dispatcher at Afristar, said there is rising popularity of the Chinese language among Kenyans because Chinese investments have created numerous job opportunities for locals. Students from the Confucius Institute at the University of Nairobi perform during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) People do a jigsaw puzzle during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) Kenyan students learn to make moon cakes during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) Students from the Confucius Institute at the University of Nairobi sing a traditional Chinese song during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) A student from the Confucius Institute at the University of Nairobi sings a Chinese song during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) Students from the Confucius Institute at the University of Nairobi perform during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) by Xinhua writer Li Hanlin DUSSELDORF, Germany, Sept. 14 (Xinhua) -- "China is the prior choice for German companies to organize global supply chains and industry layouts," "We deeply appreciate China's push for higher-level openness," and "We hope China and Germany can work together to maintain the stability and smoothness of the supply chain." These views were resonating among German executives at the second China International Supply Chain Expo (CISCE) roadshow on Wednesday. They expressed eagerness to use CISCE to enhance their engagement with the Chinese market, explore new opportunities, and build a win-win global supply chain system. GLOBAL OPPORTUNITIES As the world's first national-level exhibition dedicated to supply chains, CISCE offers a unique platform for global businesses to strengthen industry and supply chain cooperation. The second CISCE has already drawn over 600 domestic and international companies, including major German firms like Siemens, Bosch, and SAP. "We will be showcasing in the intelligent automotive supply chain section at the second CISCE. We look forward to promoting industry exchanges, fostering cooperation, and driving innovation and transformation toward smart and sustainable supply chains," said Xu Daquan, president of Bosch China. Xu emphasized that the automotive supply chain is vast and complex, making its stability crucial for maintaining product competitiveness. In light of the industry's transformation and global market expansion, CISCE holds particular significance. Through a series of matchmaking events, new product displays, project signings and promotions, German companies have expanded their industry networks and built more stable, resilient supply chains. These efforts have enhanced cooperation across various supply chain segments, creating greater opportunities for their growth in China. "In this highly integrated world, agile and intelligent supply chains are crucial. The CISCE comes at a perfect time, and SAP looks forward to leveraging business AI embedded solutions to help clients further develop," said Klaus Neumann, SAP global senior vice president. CISCE has emerged as a vital international platform, connecting China with global markets and integrating industries, thereby attracting foreign investment and creating opportunities in the Chinese market. At the inaugural CISCE, SAP partnered with leading Chinese companies, such as Huayang Group and EVE Energy, to support the development of a global supply chain. At the second CISCE, SAP will showcase case studies on the impact of artificial intelligence and algorithmic computing across various industries, with the aim of expanding collaborations with both domestic and international partners. STABLE SUPPLY CHAIN MATTERS In recent years, global supply chains have suffered frequent disruptions from the COVID-19 pandemic, geopolitical conflicts, and natural disasters. Ensuring their resilience and stability has become essential for sustained global economic growth. German business representatives emphasized that China not only provides vast market opportunities and cooperation potential but also serves as a key "stabilizing anchor" in the global supply chain. "China is a significant parts supplier in the global supply chain, and 'Made in China' has become a symbol of high quality. China's supply chain system is not only complete but also highly agile and flexible," said Andreas Schmitz, president of the Dusseldorf Chamber of Industry and Commerce. He added that the robustness and completeness of China's supply chain infrastructure instill strong confidence in German companies to further expand their presence in China. Christian Hinkel, head of corporate communications at Deichmann, Europe's largest shoe retailer, told Xinhua that Deichmann operates over 4,700 stores across 34 countries, with the majority of its products manufactured in China. The company works closely with 25 long-term partners in China to ensure high-quality products and brand consistency for its customers. "For example, the China-Europe Railway Express plays a crucial role in stabilizing the Sino-German supply chain. Many of our production materials are transported to Germany via this railway," Hinkel said. He stressed that strong supply chain cooperation between China and Germany is vital for companies like Deichmann, and expressed hope for a future of secure, stable, and mutually beneficial Sino-German supply chains. For many German companies, China remains the top choice for global supply chain and industry layout, thanks to its strong commitment to maintaining supply chain stability and efficiency. Michael Schumann, chairman of the German Federal Association for Economic Development and Foreign Trade, noted that while certain components previously had to be imported from Germany or other European regions, German companies have increasingly shifted their supply chains and production to China. This has led to the development of a production model of "In China, For China" and "In China, For the World." CHINA'S INNOVATION AND OPENNESS German investment in China reached a record 7.3 billion euros (8.09 billion U.S. dollars) in the first half of 2024, according to the German Central Bank. German businesses are optimistic about China's innovation and openness, expecting stronger cooperation with China. Clemens Schuette, a board member of the German-Chinese Economic Union, said that many German companies plan to increase their investment in China. Despite current challenges, he is confident in German-China cooperation and sees building green and resilient supply chains as crucial to addressing global challenges. Schmitz noted that despite the German government's new China strategy aimed at reducing dependence through diversification, German investments in China have increased, highlighting China's continued attractiveness. "For multinational companies, they have already established highly integrated supply networks and gained substantial benefits in China, so reducing their business in China is not a wise choice," Schmitz said. He added that German-China business communities should capitalize on opportunities in trade, investment, innovation, and technology to deepen cooperation and achieve mutual development. Ren Hongbin, chairman of the China Council for the Promotion of International Trade (CCPIT), said that this year marks the 10th anniversary of the comprehensive strategic partnership between China and Germany. He noted that while the bilateral relationship remains robust and cooperation across various fields deepens, economic and trade cooperation continues to be a cornerstone. "We look forward to both countries leveraging their respective advantages, exploring the vast potential for mutually beneficial cooperation, and becoming key partners in global supply chains," said Ren. CARACAS, Sept. 13 (Xinhua) -- The Bolivarian Alliance for the Peoples of Our America-People's Trade Treaty (ALBA-TCP) expressed its strong opposition on Friday to the latest round of sanctions imposed by the United States on Venezuelan officials. "The ALBA-TCP forcefully repudiates this new attack against public officials, their human and civil rights, and the Venezuelan nation in general," the bloc said in a statement. The U.S. Treasury Department on Thursday announced sanctions against 16 Venezuelan officials, accusing them of "obstructing democratic political participation and undermining the electoral process" of July 28 in the South American nation. Members of ALBA-TCP view these sanctions not only as an act of aggression against the 16 Venezuelan officials, but a "criminal and illegal practice of the U.S. government against the states that do not act in favor of their interests." ALBA-TCP strongly believes that the unilateral coercive measures imposed by Washington disrupt the economic and political stability not only in Venezuela but also in the entire region. The bloc has called for "respect for the sovereignty of the free peoples of Our America and the Caribbean," while expressing their unwavering support for and solidarity with the Venezuelan people and government. A member of a delegation of over 10 Los Angeles-based travel agents takes selfies at Tiantan Park (Temple of Heaven) in Beijing, capital of China, Sept. 10, 2024. [Xinhua/Zhao Chenjie] BEIJING, Sept. 13 (Xinhua) On her maiden trip to China, Janet Melendez, along with other U.S. travel agents, enjoyed Beijing duck, learned Tai Chi, and visited historical sites and scenic spots in Beijing, capturing photos along the way. From Sept. 10 to 12, a delegation of over 10 Los Angeles-based travel agents, many visiting China for the first time, explored the Chinese capital, immersing themselves in the city's vibrant culture, inclusiveness, warm hospitality and convenient lifestyle. "It is amazing to see the Forbidden City and the Great Wall as if we have gone back to hundreds of years ago," said Melendez, founder of Festival International Travel. "Many American tourists are interested in Chinese culture. I hope to let more people learn and explore China." Gregory Cattoni, manager of Rancho Travel, noted that Beijing is both a city steeped in history and a thriving international metropolis. "We can not only taste Beijing duck in the city but also eat KFC, McDonald's and steakhouses in streets and alleys," said Cattoni, expressing delight at how visitors like him can savor local specialties while also enjoying familiar foods. He added that the United States and China need cooperation and communication, and that tourism can significantly enhance mutual understanding between people from both countries. Recently, "China Travel" has gained massive traction on global social media platforms, fueled by China's expansion of the list of visa-free countries, increased international flights, and a series of measures aimed at facilitating inbound tourism. In the first half of this year, over 5 million inbound travelers used mobile payment, marking a fourfold increase compared with the same period in 2023, while over 90 million transactions were recorded, totaling more than 14 billion yuan (about 2 billion U.S. dollars), with both figures marking a sevenfold increase compared with the same period in the previous year. Joey Sy, manager of Hyssop Travel, who has visited China three times and organized numerous trips for his clients, noted that China is safe as always and has become more convenient and comfortable for foreign tourists. "Before this trip, I already added a U.S. credit card to Alipay and WeChat Pay. I bought clothes through mobile payment in a big shopping mall next to the hotel where I am staying in Beijing. It is convenient," he said. Praising China's 144-hour visa-free transit policy, Sy said that it would be very advantageous for American travelers seeking short visits to China. "The policy can reduce the cost and simplify procedures for visa application. It should be promoted to more travel agents and we will develop corresponding travel routes." In the first seven months of this year, the number of foreign visitors to China soared 129.9 percent year on year to 17.25 million, according to the National Immigration Administration. Bo Lin, a staffer with CTG Travel, said the tour aims to boost travel from the United States to China and reinforce the outcomes of the 14th China-U.S. Tourism Leadership Summit held in Xi'an in May. CTG Travel is one of the organizers of the trip. "We hope to promote people-to-people exchanges between China and the United States, and invite more friends to visit China and experience its culture," Bo said. After concluding their visit to Beijing, the delegation headed to Xi'an and will subsequently travel to the Three Gorges and Shanghai. Their itinerary includes exploring accommodation, dining options, scenic spots, transportation facilities, and inbound tourism facilitation measures in China. A member of a delegation of over 10 Los Angeles-based travel agents takes selfies at the Summer Palace in Beijing, capital of China, Sept. 11, 2024 [Xinhua/Zhao Chenjie] Members of a delegation of over 10 Los Angeles-based travel agents learn Tai Chi in Beijing, capital of China, Sept. 10, 2024. [Xinhua/Zhao Chenjie] (Source: Xinhua) Editor: Wang Shasha Editor's Note: Last September, as millions of animals migrated from Tanzania's Serengeti to Kenya's Masai Mara, in pursuit of water and fresh grass, Chen Yanhua, with curiosity and a longing for Africa, embarked on a journey from Beijing to Nairobi, to start a six-month internship at China Media Group (CMG) Africa. Nairobi greeted Chen with its warm spring breeze, blooming jacarandas, and corn-roasting stalls. The city stimulated her senses, and everything together reminded her of her hometown, Kunming (in southwest China's Yunnan Province), which is known in China as the "Spring City." Such familiar elements instantly bridged the gap between Chen and the East African city. From her arrival, she immersed herself in her efforts to understand Africa, through careful observations and engaging with locals, striving to become a professional correspondent, reporting on Africa, and an active storyteller at the forefront of international communications, sharing China's stories. In this article, Chen writes about her experiences in Nairobi. 'Africa Is Where My Heart Is' Why Africa? Many people have asked me this question. The answer is quite simple, and it can be summed up by a phrase I saw on a board in a coffee shop: "Africa is where my heart is." During the late 1990s, numerous African entrepreneurs, who had been trading in Southeast Asia, relocated to Guangzhou, in south China's Guangdong Province. They settled and established businesses in various areas, such as Xiaobei and Sanyuanli. During my undergraduate studies in Guangzhou, I frequently encountered some of those businessmen on the streets, and I observed them at the airport, shipping boxes of goods. Those brief interactions offered me a glimpse into the trade relations between China and Africa, and they ignited my interest in learning about and understanding Africa, from its own perspective. In late August 2022, I enrolled in the International Journalism and Communication master's program at Peking University. Guided by my advisor, and through various enriching courses, I systematically delved into African communication and development issues. With each book I read, every presentation I gave, and all the discussions I attended, I deepened my interest in the African continent, and in its diverse countries. This burgeoning curiosity led me to unhesitatingly apply for an internship in Kenya. Fortunately, in July 2023, I passed the interview, conducted by China Scholarship Council, and I received a scholarship to intern at China Media Group's Africa headquarters. This opportunity has not been just a valuable practical experience, it has also been a chance for me to improve my understanding of Africa, by living and working on the ground. After having ventured into Africa, and having fallen in love with it, my classmates and I created a vlog, which won first prize in the Second China-Africa Youth Short Video Competition. The short film, titled "Into Africa," revolves around the "primal," "mysterious" and "underdeveloped," terms often used to describe Africa. It seeks to break such stereotypical narratives by showcasing Africa, through the eyes of Chinese youth, and presenting a real, vibrant and dynamic continent to the viewers. Discovering China-Africa Friendship, Along the Railway As an overseas journalist on an internship, introducing the China-Africa friendship to my viewers was a significant mission. From the late 19th century to the 21st century, and from Uganda Railway to Mombasa-Nairobi Standard Gauge Railway (SGR), railways have connected Kenya's colonial history with its present. Hence, I decided to use the railway, an anchor point in my understanding of Kenya, to share the stories of China-Africa cooperation that I encountered in urban and rural Kenya. In 1896, the British began constructing the Uganda Railway, in Mombasa. Under harsh conditions, an average of four Indian laborers died for every mile of track laid. When I arrived in Mombasa, 128 years later, the heat persisted, but the exploitation was long gone. Mombasa boasts rich marine resources, but, due to outdated fishing techniques, the resources have not effectively translated into economic power. A few years ago, the establishment of a primary processing base, by Chinese company Jinzai Foods, marked a significant turning point. By introducing advanced production concepts, processes and technologies, from China and other countries, Jinzai Foods has driven development of the local blue economy. In 1899, Uganda Railway extended northwest, from the southeastern coast, to reach Nairobi. At that time, Nairobi was used by Maasai herders as a watering hole. Shortly thereafter, and due largely to its elevation and mild climate, Nairobi was chosen to be the headquarters of Uganda Railway. Construction of the railway town transformed the fate of the swampy area, and it gradually developed into East Africa's largest city. I spent most of my time living in Nairobi during my internship in Kenya. In Nairobi, the skyline is adorned with towering buildings, and the efficient road network is often awe-inspiring. Behind the remarkable infrastructure are Chinese construction companies, which are deeply involved in Africa. For instance, during the past 20 years, China Wuyi has completed 38 road projects in Kenya, covering nearly 1,800 kilometers, and those projects have effectively promoted the construction of Kenya's basic road network, and in turn have driven economic and social development along the routes. By 1900, Uganda Railway had reached Nakuru, 146 kilometers from Nairobi. Nakuru is famous for its flamingos, but what impressed me most was a Chinese company, Kaishan Group, which was helping Kenya build a geothermal power project in the Great Rift Valley. Power shortages are a major obstacle to economic development in many African countries. Even in relatively developed Kenya, the electrification rate in 2021 was only 76.54 percent. In an environment where universal electricity access has not been achieved, I experienced power outages in meeting rooms, canteens and gyms. During such times, the locals humorously told me, "This is Africa." Bridging the power gap is a development necessity. My experiences while traveling along unpaved, sand-covered roads to interview staff members of Kaishan Group revealed many Chinese companies are spearheading the development of local water, solar and other renewable-energy projects, and they are using Chinese technology to help Africa achieve green and sustainable development goals. On December 19, 1901, Uganda Railway was completed, in Kisumu, Kenya, without extending into Uganda. Entering the new century, Uganda Railway could no longer meet transportation demands. On June 1, 2017, the Mombasa-Nairobi SGR, a flagship project under the Belt and Road Initiative, officially commenced passenger service, marking a new era in Kenya's railway network. In January, I visited China Road and Bridge Corporation, builder of SGR. Two Kenyan students, who had studied railway management at Beijing Jiaotong University, told me, "Chinese companies have not only transferred advanced technology to Africa, providing us with convenient and comfortable travel options and boosting Kenya's economic development, but have also trained a large number of management professionals for Kenya's railway industry, enhancing Kenya's capacity for self-sustained development." I believe these Chinese companies, deeply rooted in Kenya, are like threads in a vast tapestry of China-Africa cooperation, weaving together a rich and enduring narrative of friendship and collaboration. Making Friends, Through Shared Emotions Telling China's story has been the guiding principle of my work and life in Kenya. Communication and dialogue are the main pathways through which I have strived to achieve this goal. During my internship, I participated in various media activities aimed at diverse audiences, including African youth, children and UN officials. These activities, such as "Twinkle Star" Animation Exhibition Tour and Prelude to Spring Festival Gala, utilized comics, the Spring Festival gala and temple fairs to promote Chinese culture to the overseas audiences. And the audiences deeply inspired me. During the "Twinkle Star" Animation Exhibition Tour, I was responsible for hosting a journalist from Nigeria. During one of our conversations, he highly praised the significance and value of the animation screening, and he expressed a strong desire for Chinese media to promote the event in Nigeria. Through this interaction, I became acutely aware of the role and mission overseas media play in cultural exchanges. Beyond in-depth discussions with interviewees and colleagues, I also enjoyed engaging with locals, especially during my leisure time. Whether I was walking along the streets and alleys, or visiting museums, archives, flea markets or parks, I liked to chat with Uber drivers, vendors, university students and children. The conversations helped me understand the locals' genuine perceptions of China, and allowed me to present a more authentic and multidimensional China to them. During countless conversations, I discovered my secret weapon Swahili was always very effective. Swahili is one of Kenya's official languages. A month after I arrived in Kenya, I began learning simple words and phrases on Duolingo (a language learning app) daily. Whenever I chatted with locals, I habitually started with a simple Swahili greeting, showing respect for their culture. They were often pleasantly surprised by this, which made them more willing to open up to me. Through these chats, I met many interesting locals. While waiting for a car outside the United Nations office in Nairobi, I met a local man who had once operated a garment business in Guangzhou's Xiaobei area. When I met him, he was working as a security guard. When he mentioned "Xiaobei," our shared memories of that place connected us, and evoked a sense of "it's a small world." I also encountered an Uber driver who spoke fluent Chinese, and who had performed acrobatics at Guangzhou's Chimelong. I also met a tour guide, who was learning Chinese on TikTok, and an employee of a Chinese enterprise in Kenya who spoke Mandarin with a Fujian (a province in southeastern China) accent. Meeting such individuals often brightened my day, and filled me with hope for China-Africa, people-to-people exchanges. Lastly, I want to mention my good friend Leslie, a student from the Democratic Republic of the Congo, who was studying in Kenya. Our friendship began during a program recording. After exchanging contact information, my classmates and I invited her to our home to try hot pot, sharing delicious food from China with her. During our conversations, I was surprised to discover she had watched many recent Chinese dramas and classic Chinese movies. Through her, I saw the appeal of Chinese culture to young Africans. In our friendship, I also saw that young people of different races and nationalities can become good friends, based on shared emotions. Photos from Chen Yanhua (Women of China English Monthly August 2024) Editor: Wang Shasha Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Fuxi Temple to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] LANZHOU, Gansu/BAOJI, Shaanxi, Sept. 13 (Xinhua) Chinese President Xi Jinping urged northwest China's Gansu Province to deepen reform, make innovation, and do solid work to improve the people's well-being and the region's prosperity, to write a Gansu chapter in Chinese modernization. Xi, also general secretary of the Communist Party of China (CPC) Central Committee and chairman of the Central Military Commission, made the remarks during an inspection from Tuesday to Friday. Gansu should take solid steps in improving ecological conservation and restoration, accelerating green and low-carbon transition, further deepening reform and opening up comprehensively, promoting rural revitalization across the board, better securing people's livelihood and strengthening ethnic unity, Xi said during his inspection tour in Gansu Province. On Tuesday afternoon, Xi visited the Fuxi Temple in Tianshui City, where he learned about the protection and preservation of cultural heritage. The temple is dedicated to Fuxi, a mythical ancestor of the Chinese nation. It is imperative to protect and pass on the precious cultural heritage, so that the wisdom and creativity of ancestors will constantly inspire future generations and enhance national pride and confidence, Xi said. On Wednesday morning, Xi listened to the report of a local water diversion project and urged better maintenance and management of the project so that it would play a greater role in improving people's lives. While visiting a Huaniu apple production base, he emphasized the importance of optimizing cultivation of this variety and innovating marketing models so that this specialty industry will be expanded and more people will increase their incomes through it. Xi then visited the Maijishan Grottoes, where he carefully inspected the caves, sculptures and paintings that date back over 1,600 years. He called on cultural relic workers to make greater contributions to promoting the preservation and innovative development of China's traditional culture and enhancing its influence. On Wednesday afternoon, Xi came to Lanzhou, the provincial capital. At a local residential community, he emphasized the necessity of focusing on the practical needs of residents, especially the elderly and children, and continuously improving community services. During his visit to retired Party member Li Zongbiao, Xi said that local authorities have worked hard in providing elderly care, healthcare and community services and the work must continue and improve over time. When speaking to local residents, Xi said 2024 marks the 75th anniversary of the founding of the People's Republic of China and the Chinese people should be more united and make more efforts to create even greater achievements. He extended his holiday greetings to the residents ahead of this year's Mid-Autumn Festival, which falls on Sept. 17. Xi then inspected a section of the Yellow River near the Zhongshan Bridge in Lanzhou and learned about the ecological protection of the country's "mother river." He called for joint efforts in protecting the river so that it will benefit the people forever. On Friday morning, Xi listened to the work report from the CPC Gansu Provincial Committee and the provincial government. Gansu should promote new-type industrialization, accelerate the transformation and upgrading of traditional industries, build a significant national manufacturing base for new energy and new-energy-related equipment, and fortify the ecological security in the western part of the country, Xi said. He stressed the need to deepen reform and expand opening up with greater courage and determination, and to implement the people-centered new urbanization strategy effectively. Xi emphasized the need to strengthen cultural heritage protection and build the Dunhuang Academy into an example of world cultural heritage protection and a center of Dunhuang studies. He also called for efforts to advance the building of national cultural parks dedicated to the Great Wall, the Long March and the Yellow River. Efforts should be made to promote social advancement and governance, and lay a solid foundation for common prosperity for people of all ethnic groups, he said. On his way to Gansu, Xi visited the Baoji Bronze Ware Museum in Baoji City of Shaanxi Province on Tuesday afternoon. He hailed China's bronze civilization and called for better protection, research and promotion of bronze cultural relics. Xi also inspected a local riverside ecological park. Cai Qi, a member of the Standing Committee of the Political Bureau of the CPC Central Committee and director of the General Office of the CPC Central Committee, accompanied Xi on the inspection tour. On Thursday morning, Xi met with senior officers and representatives of soldiers and civilian staff of the Chinese People's Liberation Army troops stationed in Lanzhou. Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Fuxi Temple to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Fuxi Temple to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Ju Peng] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local apple production base to learn about the development of the modern specialty fruit industry in mountainous areas in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with fruit growers, local villagers and agricultural technicians while visiting a local apple production base in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with tourists and cultural relics protection staff while visiting the Maijishan Grottoes in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Zhai Jianlan] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with tourists and cultural relics protection staff while visiting the Maijishan Grottoes in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Xie Huanchi] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits the Maijishan Grottoes to learn about the protection and preservation of local cultural heritage in Tianshui, northwest China's Gansu Province, Sept. 11, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Ju Peng] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community to learn about local measures to improve public services, enhance the well-being of the people, and strengthen comprehensive public order maintenance, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community to learn about local measures to improve public services, enhance the well-being of the people, and strengthen comprehensive public order maintenance, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Yan Yan] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Yan Yan] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with residents while visiting a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province.[Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a local community in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits a section of the Yellow River to learn about local measures to promote ecological conservation in the Yellow River basin, in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province. [Xinhua/Ju Peng] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, talks with the crowd while visiting a section of the Yellow River in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province.[Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, waves to the crowd while visiting a section of the Yellow River in Lanzhou, northwest China's Gansu Province, Sept. 11, 2024. Xi on Wednesday afternoon inspected Lanzhou, capital city of northwest China's Gansu Province.[Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Ju Peng] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, visits Baoji Bronze Ware Museum to learn about the local efforts to enhance the protection and use of cultural relics in Baoji, northwest China's Shaanxi Province, Sept. 10, 2024. Xi inspected the city of Baoji in northwest China's Shaanxi Province and the city of Tianshui in neighboring Gansu Province from Tuesday afternoon to Wednesday morning. [Xinhua/Wang Ye] Chinese President Xi Jinping, also general secretary of the Communist Party of China Central Committee and chairman of the Central Military Commission, meets with senior officers and representatives of soldiers and civilian staff of the Chinese People's Liberation Army troops stationed in Lanzhou, northwest China's Gansu Province, Sept. 12, 2024. Xi made an inspection tour in Gansu Province from Tuesday to Friday. [Xinhua/Li Gang] (Source: Xinhua) Editor: Wang Shasha In the hearts of the villagers in Pingnan County, in southeast China's Fujian Province, Zhou Fenfang's name brings a feeling of warmth. Thanks to Zhou and her team's efforts, Pingnan has embarked on a new path of revitalization, through cultural and creative industries, and Zhou and her team have achieved remarkable results in protecting and developing the region's traditional villages. In Pingnan, ancient villages, formerly on the verge of decline, have been rejuvenated through thriving cultural and creative industries, and thanks to the rural tourism industry. As such, the villages have undergone a magnificent transformation, and they have become the poetic and idyllic places Chinese have longed for. Zhou, former Chairperson of the Pingnan Committee of the Chinese People's Political Consultative Conference, is Vice-President of the Pingnan Rural Revitalization Research Institute. During the first World Rural Revitalization Conference, held in Taiyuan, capital of Shanxi Province, in September 2020, Zhou was honored as one of the guardians of ancient villages, for her efforts to protect and rejuvenate traditional villages. Since 2015, Zhou and her team have invited domestic and international artists to establish studios and carry out art projects in Pingnan. They have also invited art-related enterprises to open outlets in the villages. In 2020, the Pingnan Rural Revitalization Research Institute was established in Siping Village. Professor Wen Tiejun, a renowned expert in agriculture, rural areas and farmers, is president of the institute. From being a key county for poverty alleviation to receiving the title of "demonstration county for traditional villages' cultural and creative industries," the county has established the "Pingnan model" for rural revitalization, presenting a trend of "people coming, village rejuvenating, industries thriving, and culture prospering," and activating rural resources and rural vitality. Developing cultural and creative industries, and a rural tourism industry, not only cultivated the cultural atmosphere of the region's ancient villages, but also helped villagers increase their incomes and strengthen their sense of gain, happiness and security. "Pingnan has created a model of 'Party (Communist Party of China) committee and government, plus artists, ancient villages, villagers and the Internet,' and it has constantly promoted in-depth development of cultural and creative industries," Zhou says. With support from the local Party committee and government, Pingnan County, located in the middle region of the Jiufeng Mountains, has overcome the inconvenience created by geographical location, and it has realized "zero-distance communication" with the outside world, with the help of the Internet. Paintings created by villagers have been displayed on social media platforms. People across China are increasingly willing to pay for the villagers' paintings. The beautiful natural scenery of Pingnan has been attracting tourists from across the country. In the bars, cafes, bookstores and homestays, which have been transformed from ancient dwellings, people locals and tourists alike find themselves immersed in the artistic atmosphere of the traditional villages. The ancient charm of the rural areas provides the soil for artistic creation, and, in turn, the art creations nurture the development of rural areas. Zhou says there are three objectives to protecting and developing the ancient villages in Pingnan. The first objective is to protect the villages' appearance, water systems and overall environment; second, to preserve and inherit rural cultural heritage and create cultural brands; third, to implement the "three attractions" and "three innovations" mechanism. "The 'three attractions' refer to attracting high-level talents, new villagers, and bringing back natives who work away from the villages. The 'three innovations' refer to innovating the rental mode of ancient residential buildings; innovating the renovation mode of ancient villages, and transforming ancient dwellings into art spaces, shared spaces and cultural spaces; and, innovating the rural governance mode, including issuing residence permits to settled new villagers, thus injecting fresh blood into rural revitalization," Zhou explains. Each village has its own approach and cooperation methods to develop cultural and creative industries, Zhou adds. While summarizing the experience of Pingnan, Zhou says she believes Pingnan has grasped the opportunity, presented by the rapid development of the Internet, to redefine the values of ancient villages. "Clumsy birds have to begin flying early. But the birds must also 'dare to fly.' In the industrial civilization era, Pingnan was a clumsy bird. But in the ecological civilization era, we have dared to try, and we have grown into a beautiful bird, proving that a small county can make great achievements," Zhou says. Photos from VCG and Interviewee (Women of China English Monthly August 2024) Editor: Wang Shasha President of Xinhua News Agency Fu Hua meets with Russian Presidential Press Secretary Dmitry Peskov in Moscow, Russia, Sept. 13, 2024. (Xinhua/Bai Xueqi) MOSCOW, Sept. 13 (Xinhua) -- President of Xinhua News Agency Fu Hua met separately with Russian Presidential Press Secretary Dmitry Peskov and General Director of Rossiyskaya Gazeta Pavel Negoitsa here Friday. During his meeting with Peskov, Fu emphasized that as China's state news agency, Xinhua is committed to creating a positive public opinion environment for the continuous and healthy development of China-Russia relations. Over the years, Xinhua has actively engaged in practical and friendly cooperation across multiple fields with Russia's mainstream media, playing a unique role in promoting the stable and long-term development of China-Russia relations, Fu said. Xinhua will continue to strengthen friendly exchanges with Russian media partners and actively cover the upcoming BRICS Summit in Kazan, he added. President of Xinhua News Agency Fu Hua meets with Pavel Negoitsa, general director of Russia's Rossiyskaya Gazeta, in Moscow, Russia, Sept. 13, 2024. (Xinhua/Bai Xueqi) In his meeting with Negoitsa, Fu noted that Rossiyskaya Gazeta is an old friend of Xinhua, and Xinhua has always highly valued its friendly relationship with the newspaper. As 2024 marks the 75th anniversary of the establishment of diplomatic relations between China and Russia, Xinhua is willing to take this opportunity to further develop and deepen cooperation with Rossiyskaya Gazeta in areas such as news exchange and the application of media-related artificial intelligence, Fu said. Peskov expressed appreciation for Xinhua's long-standing focus on developing relations with the Russian Presidential Press Office and Russian media. He emphasized that cooperation in the field of journalism is crucial for advancing bilateral relations and cultural exchanges, adding that the Russian side supports and encourages mainstream Russian media to continue their good cooperation with Xinhua. Negoitsa warmly welcomed Fu's visit. He noted that the cooperative relationship between Rossiyskaya Gazeta and Xinhua has stood the test of time and expressed willingness to further advance practical cooperation between the two sides. Articles Sorry, there are no recent results for popular articles. New partnership to boost employability for underrepresented youngsters in Wrexham A new partnership between The Princes Trust and Principality Building Society is set to help develop opportunities and make employability more accessible to underrepresented young people in Wrexham. The three-year collaboration, which sees Principality named as a Patron of The Trust, will support education and engagement initiatives to help young people across Wales become work ready. As part of the partnership there will be a particular focus on Cardiff, Swansea, Port Talbot and Neath and Wrexham. The Princes Trust offers courses, resources and support designed to help young people acquire essential employability and life skills and a step onto the career ladder with job opportunities. The Princes Trusts Get Into programmes are delivered in partnership with employers and training providers, giving young people aged 16-30 who are ready to enter the workforce, the opportunity to develop sector specific knowledge, skills and work experience and gain certification across a range of industries including technology, healthcare, logistics, hospitality, and retail. Commenting on the new partnership, Tony Smith, Chief Impact and Governance Officer at Principality Building Society, said: Were passionate about ensuring all young people are able to reach their full potential both personally and professionally. We want future generations to feel hopeful, supported and empowered to achieve their goals, finding their sense of purpose in work that they love doing and ultimately contributing towards building stronger communities. Our focus is on improving social mobility through employability and were honoured to be working with The Princes Trust to help young people get started on their career pathway and support them on the journey to a job. The society has already funded a 10-day Get Into Green Construction pilot programme themed around the growing importance of sustainable building skills to build energy efficient homes and mapped to the technology advances in the construction industry. 21 young people joined the programme and received their CSCS card, the leading skills certification scheme in the UK construction industry. Sarah Jones, Director of Delivery in Wales at The Princes Trust said: We are so grateful to have an organisations support whose values align so closely with our own. Our objective has always been to help young people succeed whether that be gaining self-confidence or getting them into sustainable work and combating employment challenges. With Principalitys support we are confident that our programmes will continue to make a difference for young peoples lives across Wales. ULAN BATOR, Sept. 14 (Xinhua) -- A new railway underpass funded by China officially opened to traffic in Ulan Bator, the capital of Mongolia, on Saturday. The inauguration ceremony was attended by relevant officials from both countries, including Chinese Ambassador to Mongolia Shen Minjuan and mayor of Ulan Bator Khishgee Nyambaatar, along with key project workers. Located in the Songinokhairkhan District in western Ulan Bator, the railway underpass was constructed by the China Railway No.4 Engineering Group Co. Ltd. Shen said that the railway underpass project is crucial for connecting China's Belt and Road Initiative with Mongolia's Prairie Road development strategy, noting that despite overcoming many challenges, it was officially opened to traffic after more than a year. Shen also highlighted that the construction of three additional underpasses is progressing rapidly, which will help alleviate traffic congestion in Ulan Bator. Nyambaatar emphasized that the new underpass will help reduce traffic congestion and cross-railway travel time while boosting economic development by significantly increasing freight traffic for the Ulan Bator Railway Company, Mongolia's official railway operator. For many years, traffic congestion has been a major pressing issue in Ulan Bator. Originally designed to accommodate 500,000 residents, the city now houses nearly half of Mongolia's 3.5 million population and is burdened with over 640,000 vehicles. The rapid population growth and economic development have exacerbated the traffic problems. The Labour government-commissioned report into the state of Britains National Health Service (NHS) was published Thursday, laying the basis for its further privatisation and break-up. The report by Lord Darzi, a retired surgeon and peer in the House of Lords, is titled Independent investigation of the NHS in England. The NHS in England is run by the government in Westminster, while responsibility in Wales and Scotland falls to devolved administrations. Despite its name, there is nothing independent about Darzis report. He is a trusted man of the state and long-time Labourite, serving as a parliamentary under-secretary in the health ministry for two years in Gordon Browns Labour government (2007-10). In that role Darzi led a national review to plan the course of the NHS over a decade. It was Browns government that launched the first austerity measures in Britain following his 1 trillion bailouts of the banks amid the global financial crash. The measures included forcing the NHS to find 15-20 billion in efficiency savings. In June 2009, Darzi was appointed to the Privy Council, the committee which advises the monarch of the day. The following month he was elevated to the Lords, sitting on the Labour benches until 2019. At that point he became an Independent, on the basis of backing the fraudulent claims of the Labour right-wing and Tories that Labours nominally left leader Jeremy Corbyn was enabling antisemitism. Prime Minister Keir Starmer gives NHS speech, September 12, 2024. [Photo by Lauren Hurley / No 10 Downing Street / CC BY-NC-ND 2.0 Darzis remit was set by incoming Health Secretary Wes Streeting, who announced on taking office that Labours policy was that the NHS is broken. A July 11 press report by the Department of Health and Social Care, NHS England and Streeting announced that Darzis Findings will feed into governments 10-year plan to radically reform the nations health service. Darzis 142-page review confirms that the NHS, starved of funds, and under resourced for decades, can no longer provide a reliable medical service for the population. He notes that, since 2010, NHS funding has increased by just over 1 per cent in real terms each year. This compares to the long run average annual increase of around 3.4 per cent, and a per person increase of 5.8 per cent a year in the rst decade of this century. The 2010s, in the run up to the pandemic, were the most austere decade since the NHS was founded in 1948. Two paragraphs deal with the staggering capital gap that opened up between the UK and other countries in the 2010s. There would have been 27 billion more capital investment, had we matched the EU15, 35 billion more had we matched the Nordic countries, and 46 billion more had we matched the investment levels of predominantly English-speaking countries. Had we matched the average of all peers, this would have amounted to an additional 37 billion. This could have eliminated all backlog maintenance (now standing at 11.6 billion in 2022) and have already funded the 40 new hospitals announced in 2019 before the pandemic hit. The 37 billion to match the all-peers average alternatively amounts to some 4.9 million for every GP practice, so it could have paid for every community in the country to have a purpose-built, modern GP practice complete with diagnostics, space for specialist input, and a base for mental health and community services. The disastrous state of the NHS is described. By June 2024, the total waiting list stood at 7.6 million people. More than 300,000 people had waited for over a year, and some 1.75 million people had waited for between 6 and 12 months. More than 10,000 people are still waiting longer than 18 months (although this has fallen sharply from its peak of 123,000 people waiting that long in September 2021). By far the largest group waiting were working age adultssome 4.2 million people. The report notes that more than 100,000 babies were left waiting in A&E for more than six hours, and more than 100,000 children and young people were waiting more than a year for mental health support. Accident and Emergency provision is in an awful state, with the report citing, According to the Royal College of Emergency Medicine, these long waits are likely to be causing an additional 14,000 more deaths a yearmore than double all British armed forces combat deaths since the health service was founded in 1948. By every metric, the forced collapse of the NHS had resulted in a devastating decline in healthcare provision. Darzi states that Cancer care still lags behind other countries, noting how The UK has appreciably higher cancer mortality rates than other countries. No progress whatsoever was made in diagnosing cancer at stage I and II between 2013 and 2021. His reports also states that People living with serious mental illnesses have signicantly lower life expectancy than the rest of the population, typically dying 15 to 20 years earlier. Moreover, excess mortality for those with serious mental illnesses has been going in the wrong direction. Over 230,000 died from COVID in Britain. Darzis report explains, The combination of austerity and capital starvation helped dene the NHSs response to the pandemic The decade of austerity preceding COVID-19, along with the prolonged capital drought, saw the NHS enter the pandemic with higher bed occupancy rates and fewer doctors, nurses, beds and capital assets than most other high-income health systems The impact less widely known, is that the NHS delayed, cancelled or postponed far more routine care during the pandemic than any comparable health system. Many NHS staff are disengaged, adds Darzi. Without pointing out the terrible impact of Long COVID on healthcare workers, he adds that there are distressingly high levels of sickness absence. Yet Darzi concludes that, Despite the massive gap in capital investment, the NHS has more resources than ever before. The major problem is that the NHS budget is not being spent where it should be and too great a share is being spent in hospitals, too little in the community, and productivity is too low. In fact, the word productivity is used 62 times in the report, linked to the need for a fundamental reform of spending. The report makes no calls for a vast increase in resources to revive the starved health service, with Darzi writing instead that the question is really about how much healthcare value can be created with the resources available. All this is handmade for a Labour government ready to wield the knife, under the cover of reform. For Prime Minister Sir Keir Starmer and Health Secretary Streeting, the word means a further huge expansion of the private sector in the NHS, justified by the need to reduce waiting lists; and increases in workloads with no pay increases. The pair welcomed Darzis report, each delivering a major speech. At the Kings Fund health and social care think tank, Starmer said that Labour would launch a 10-year NHS plan next spring, telling those present, Hear me when I say this No more money without reform Reform does not mean just putting more money in. He went on, Im prepared to be bold even in the face of loud opposition some of our changes wont be universally popular. We know that. Streeting commented in similar terms in an op-ed in the right-wing Sun tabloid, railing against any idea that we can keep ducking reform, if we just spend more money. This wasnt possible as we inherited a 22 billion black hole and a stagnant economy. Speaking to the BBC, Streeting said the status quo of managed decline is not an option, nor is simply pouring ever increasing amounts of taxpayers money into a broken model. He would bring down ballooning costs on the day-to-day spending and improve the productivity of the system. He did not want to end up with an NHS with a country attached. The Labour health secretary has insisted for years that resistance within the 1.4 million strong NHS workforce must be combatted to end the NHSs begging bowl culture. He said Thursday that workers should stop sabre-rattling and abandon any unnecessary threat of collective action. The Suns editorial backed Streeting, saying, Among many mountainous obstacles are unions who will kick, scream and strike over any changes to their conditions or extra demands on staff. But Darzis review was largely welcomed by the health union bureaucracy, whom Streeting is reliant on to help impose the further onslaught on the NHS. His first act on taking office was to meet with the BMAs Junior Doctors Committee and finalise a sellout deal they hope will end a two-year dispute. The Darzi report and Labours response must serve as a warning to NHS workers and the entire working class. In order to prevent the final destruction of the NHS, workers must reject that lie that there is no money availablewhen billions are hauled in by the super rich and military every year. Health workers must build a new rank-and-file leadership, independent of the trade unions, which puts health, education, housing and every other necessity of life above the profits of the ruling class. We urge workers to join and support NHS FightBack, initiated by the Socialist Equality Party, to take this fight forward. Amid a new wave of the COVID-19 pandemic around the world, Brazil has seen a consistent increase in the number of cases of the novel coronavirus in recent weeks. It is the second wave of the pandemic this year in the country. COVID vaccinations in the town of Eldorado do Sul (RS), June 2024 [Photo by Marinha do Brasil / CC BY 2.0 According to hugely underestimated data from the Ministry of Health of the government of Brazilian president Luiz Inacio Lula da Silva (Workers Party - PT), deaths from COVID-19 rose from 229 in July to 334 in August, while cases more than doubled in that period, from 17,964 to 36,970. This increase was also identified by the Todos pela Saude Institute (ITpS), which saw the positivity rate reach 23 percent in mid-August, an increase of 10 percentage points in one month. In September, cases continued to rise. Data released on Thursday showed that the number of COVID-19 cases increased from 7,180 in the epidemiological week of August 25 to 31 to 16,722 from September 1 to 7, while the number of deaths decreased from 89 to 62 in the same period. In total, Brazil has 38.9 million cases and 713,000 deaths from COVID-19. The last two InfoGripe bulletins, published by the Oswaldo Cruz Foundation (Fiocruz) on September 5 and 12, also pointed to a long-term upward trend in cases and, consequently, Severe Acute Respiratory Syndrome (SARS) in 17 of Brazils 27 states, particularly due to the high movement of people between the state of Sao Paulo [Brazils most populous and one of the hotspots of the current wave] and other regions of the country. The InfoGripe bulletin of September 12 also pointed to a higher incidence of COVID-19 cases and deaths caused by SARS. It reported that 32 percent of cases and 52 percent of deaths from SARS in the last four epidemiological weeks were caused by COVID-19, much higher than those caused by Respiratory Syncytial Virus (9 percent and 4 percent), Influenza A (14 percent and 25 percent) and Influenza B (3 percent and 4 percent). According to the Ministry of Health, so far in 2024 there have been around 112,000 hospitalizations and 7,000 deaths from SARS, 25 percent of which were from COVID-19. COVID-19, however, is much more than a respiratory syndrome. There is growing evidence of the debilitating effect that SARS-CoV-2 can have on various organs and systems of the body well after the initial infection, a condition also known as Long COVID. In a mid-July interview with Intercept Brasil, Monica de Bolle, a senior researcher at the Peterson Institute for International Economics and an expert in immunology, said: SARS-CoV-2 is a systemic virus. It has been characterized by respiratory disease, so the lay population understands that it is a respiratory virus, but SARS-CoV-2 is not a pure and simple respiratory virus, it lodges anywhere in our body. This, in turn, has been completely ignored by the Lula government. According to de Bolle, There is nothing in Brazil, nothing that the MS [Ministry of Health] has done, to take care of these people [with Long COVID] properly. Theres even a lack of training because SUS [National Health System] physicians wont necessarily know how to identify Long COVID. With unimpeded circulation, new variants of the novel coronavirus have emerged and spread throughout Brazil and the world. In recent months, the global increase in cases has been driven by the KP.2 and KP.3 variants, which have been dubbed FLiRT variants, in reference to mutations at important sites in the spike protein of SARS-CoV-2, the virus that causes COVID-19, which make them more transmissible. The variants KP.2 and KP.3 descend from the variant of concern JN.1, which was responsible for the global wave of cases in late 2023 and early 2024, including in Brazil. In recent months, the LB.1 variant, which also descends from JN.1, has started to spread around the world. In Brazil, it has already overtaken JN.1, with data from the last quarter (May, June and July) showing that LB.1 accounts for 34 percent of cases. That COVID-19 is still a cause for concern in this fifth year of the pandemic testifies to the total failure of capitalist governments and ruling elites around the world to offer a scientific response to a virus whose effects can be debilitating even for high-performance athletes, as was recently seen at the Paris Olympics. In Brazil, the Lula government has deepened the COVID forever policy of fascistic ex-president Jair Bolsonaro. Since taking office at the beginning of last year, he has abandoned the most basic measures to monitor the pandemic, such as mass testing and monitoring the presence of SARS-CoV-2 in sewage water. Meanwhile, the government continues to misinform the population about the scientific aspects of the pandemic and to carry out a vaccination campaign against COVID-19 that has been a complete fiasco. Positivity rate for SARS-CoV-2 (in green) and other respiratory viruses in Brazil between August 19, 2023 and August 17, 2024 [Photo: Todos pela Saude Institute (ITpS)] Without alerting the Brazilian population that the country is experiencing a new wave of the pandemic, the Lula governments Ministry of Health posted on Instagram on September 7 a series of recommendations to prevent COVID-19. The first and most effective [action] against severe forms of the disease and hospitalizations is the vaccine, according to the Health Ministrys post, followed by physical distancing in suspected cases, hand hygiene and cleaning and disinfecting environments. In the comments section, users of the social network responded angrily to the publication of the government that promised to follow science in its supposed reconstruction of Brazil after the years of destruction by the Bolsonaro government. One of them reads: How come you dont mention MASKS???? It was the masks that saved us before the vaccine and now theyre not even mentioned? Surreal and absurd. Another comment drew attention to the fact that the Lula government is ignoring the scientific knowledge on the transmission of COVID-19: Hand hygiene ... Cleaning the environment, physical distancing?! What is that? COVID is transmitted by aerosols and the only way to prevent it is with effective masks and an air-filtered environment! Its a lot of misinformation, theyre playing games with us!!! Although the Lula government is following the strategy of the worlds ruling elites of limiting its response to COVID-19 to vaccinations, even this has been inadequate and plagued by problems. At the end of last year, it ruled out universal vaccination against COVID-19, which is being offered to children between the ages of six months and five years, the elderly and other specific groups. However, there are increasing reports in the Brazilian media about the lack of COVID-19 vaccines in health centers. In Minas Gerais, the second most populous state in Brazil, the daily O Tempo reported on September 7 that in 52 percent of 211 cities in the state there is no vaccine against the XBB subvariant of Omicron, which is the most up-to-date vaccine against COVID-19 that the Lula government bought. In Sao Paulo, the city of 12 million inhabitants that is the capital of the state of the same name, a report on the g1 website on September 6, titled Parents report lack of COVID-19 vaccine for children in health centers in the capital, showed that of the 164 health centers in the southern part of the city, 79 have no COVID-19 vaccine. At the beginning of May, the Lula government launched a new phase of the vaccination campaign against COVID-19, with the distribution of around 10 million doses of Modernas monovalent vaccine against Omicrons XBB.1.5 subvariant. So far, only 2 million doses have been administered. Further exposing the Lula governments negligence, it announced at the launch of the campaign that its aim was to vaccinate at least 70 million people this year, according to the Ministry of Healths website. In this context, the Lula governments use of Independence Day, on September 7, to supposedly celebrate the resumption of vaccination campaigns, particularly for children, is totally hypocritical. In a report in Folha de S. Paulo in the beginning of July, the director of the Brazilian Society of Immunizations (SBIm), Isabella Ballalai, said that, contrary to what the Ministry of Health claims, Its not just a question of misinformation, fake news, etc. The biggest factor [in vaccine hesitancy] is lack of information. We also know how important access to doses is. In the Intercept Brasil interview mentioned above, Monica de Bolle reinforced Ballalais observation, denouncing the duplicity and ambiguity of members of the Lula government, including its health minister, Nisia Trindade, who denounced the Bolsonaro governments criminal response to the pandemic, but are now acting as if the virus is less important. For her, this contributes to vaccine hesitancy continuing to rise. As a faithful representative of Brazils corporate and financial rulers, the Lula government is overseeing the destruction of the public health system and other social rights won by the working class. As shown by the governments neglect of the pandemic and it recent freezing of almost 10 percent of the health budget to ensure Lulas new fiscal framework and zero deficit target for this year, any illusions that it might be pressured to change its right-wing trajectory have been completely exploded. The growing strikes that have broken out in Brazil, particularly in various sectors of federal workers against the Lula government, must raise the banner of a scientific response to end the COVID-19 pandemic. For this, it is necessary for the Brazilian working class to unite with its class brothers and sisters around the world in a common struggle for international socialism. A week ahead of Sri Lankas September 21 presidential election, the main capitalist candidates continue to make false promises that they will provide the masses with relief from the governments brutal austerity program. That includes not only the main opposition candidates, Sajith Premadasa of the Samagi Jana Balawegaya (SJB) and Anura Kumara Dissanayake of the National Peoples Power/Janatha Vimukthi Peramuna (NPP/JVP), which have neutered and suppressed any struggle against the austerity, but also President Ranil Wickremesinghe who has directly imposed it. At the same time, they reassure big business that they stand byand will implementthe continuing program of deep social cuts and privatisation on which Sri Lankas bailout agreement with the International Monetary Fund (IMF) is predicated. The election is occurring amid a global crisis of world capitalismexemplified in unprecedented social inequality, the US-NATO war against Russia, the imperialist-backed genocide of Palestinians, and the embattled ruling elites turn to fascistic and authoritarian forms of rule. The global crisis finds particularly acute expression in Sri Lanka. The capitalist parties contesting the presidential elections are maintaining a deafening silence about these dangerous developments. The Socialist Equality Party (SEP), Sri Lankan section of the International Committee of the Fourth International, and its presidential candidate Pani Wijesiriwardena, are alone in sounding the alarm about the danger of global war, the escalating attacks on democratic rights and moves towards dictatorship. The election comes just two years after the AprilJuly 2022 mass uprising of millions of workers, poor and young people determined to fight government attempts to impose the burden of its economic crisis through skyrocketing prices, shortages of everyday essentials and extended power cuts. This powerful movement, which united Sinhalese, Tamils and Muslims, cut across the decades-long communalist politics promoted by the Sri Lankan bourgeoisie. Unable to beat back the rising tide of opposition with state violence, President Gotabhaya Rajapakse fled the country in early July and his government collapsed. The mass upsurge, however, was betrayed by the trade union bureaucracy and its political allies who channeled the movement behind the SJB and the JVP/NPPs proposal for an interim government to stabilise the political situation and capitalist rule. This prevented the working class from intervening as an independent political force fighting for workers power with its own socialist solution to the crisis and paved the way for the shattered ruling party of Rajapakse, the Sri Lanka Podujana Peramuna (SLPP), to elevate US stooge Ranil Wickremesinghe into the executive presidency. He negotiated with the IMF for a $US3 billion bailout loan, which the financial institutions Sri Lankan Mission head has described as a brutal experiment. Since early last year, workers have repeatedly mobilised in their tens of thousands against the IMFs social attacks which include a higher value added tax, pay-as-you-earn taxes, huge rises in electricity and water tariffs and the privatisation of state-owned enterprises. These measures are to extract additional revenue from the impoverished masses and guarantee the repayment of foreign debts, defaulted in April 2022. Washington, which dominates the IMF, has used the economic and political crisis to intensify its longstanding efforts to transform Sri Lanka, which sits astride key global shipping lanes, into a key pivot in its preparations for war against China across the Indo-Pacific. The largely discredited capitalist parties, which are haunted by fears of another mass upsurge of workers, youth and the rural poor, have gone through a series of splits. This has resulted in a long list of 38 presidential candidates, many of them fielded and financed by the main contenders to split their rivals votes or promote candidates they support. Wickremesinghe has nervously referred to the protests and strikes against IMF austerity in Kenya, and the recent mass uprising in Bangladesh that forced long-time authoritarian Prime Minister Sheikh Hasina to flee the country. In the name of national unity, Wickremesinghe has urged his rivals to temper their perfunctory, bad-faith criticisms of IMF austerity, lest they inadvertently raise popular expectations and fuel social unrest. Sri Lankan President Ranil Wickremesinghe at a public rally in Colombo, Sri Lanka, August 28, 2024 [AP Photo/Eranga Jayawardena] Wickremesinghe is contesting the election not as a representative of his United National Party (UNP), the grand old party of the Sri Lankan bourgeoisie, but as an independent candidate. The UNP has ruled the country for 37 years out of the 76 since independence. It began its tenure at the head of the capitalist state by abolishing the citizenship and franchise rights of Tamil workers in 1948 and 1949 to divide the working class along ethnic lines. In 1978, the UNP initiated open market policies, destroying tens of thousands of jobs and gutting social rights; in 1983 it provoked the 26-year anti-Tamil communal war, devastating the Tamil minority and the rest of country; and between 19871990 this party massacred thousands of youth from the islands rural south. The UNP split in 2020, with a major faction forming the SJB and contesting the national elections separately. Wickremesinghe was the sole UNP MP elected in the August 2020 national election. During the mass protests Rajapakse appointed him as prime minister in April 2022 and acting president in July. While Wickremesinghe was elected president by MPs from the Sri Lanka Podujana Peramuna (SLPP), its leaders have refused to support him in the current election because he has not accommodated former SLPP ministers in his cabinet. The SLPP is fielding Namal Rajapakse, the son of party leader Mahinda Rajapakse, as its presidential candidate. Another 90 MPs have quit the party, however, forming an alliance to support Wickremesinghe. As election day approaches, Wickremesinghe, bitterly hated by workers and youth for his austerity measures, is desperately trying to woo voters by promising to increase wages for state employees starting in 2025, write off farmers loan debts and cut taxes. At the same time, he has hit out at his rivals who suggests that they could renegotiate the IMF program. He has bluntly warned that any substantive change to the IMF program will lead the financial institution to suspend further loan payments, precipitating the collapse of the Sri Lankan economy. Premadasa, leader of the SJB, an offshoot of the UNP, is demagogically criticising the governments austerity measures, while making false promises of increased employment, higher wages for state employees and cuts in fertilizer prices for farmers. But fearing big business opposition and IMF demands, Premadasa told an election rally on Wednesday that, Sri Lanka cannot remain as a welfare state anymore. Replying to criticism of his so-called poverty alleviation program, Premadasa claimed it would transform the poor into entrepreneurs. Anura Kumara Dissanayake, the NPPs presidential candidate, heads the JVP, a petty-bourgeois Sinhala chauvinist party that has spent the last 30 years trying to integrate itself into the political and parliamentary mainstream. He is attempting to win support by falsely posturing as an anti-establishment candidate and appealing to the deep-seated mass hostility to the corrupt bourgeois families which have dominated Sri Lankan politics for decades. Anura Kumara Dissanayake addressing a September 11 rally [Photo: Facebook/nppsrilanka/photos] Notwithstanding this posturing, the NPP/JVP is a pro-capitalist party. Eager to demonstrate its political dependability, Dissanayake has held repeated meetings with US Ambassador Julie Chung and top Indian government officials. Dissanayake began his presidential campaign in August 2023 with empty promises of a better future for allworkers, peasants, fishermen, the unemployed and students. The fanciful theme of his election manifesto is: Rich Country! Beautiful Life! Dissanayake occasionally voices concerns about IMF austerity at public rallies, but this is bogus. Addressing a recent meeting of the partys Business Forum, he categorically declared that if elected president his government would not deviate in any way from the IMFs program. Dissanayake also denounced the UNP and the SJB from the right for promising big increases in state employees wages and declared that these parties did not understand the severity of economic crisis. Any small step [in increasing wages] is deadly, he said. In previous presidential elections, the Tamil nationalist parties based in the North and East of the country usually backed whichever party they considered would provide the best deal for the Tamil elite. While they campaign around the devolution of powers and democratic rights for the masses in these regions, the manoeuvres of the Tamil parties have nothing to do with alleviating the social plight of the Tamil masses. In this years presidential election, the Tamil National Forum, PLOTE, EPRLF and TELO have formed a joint front and fielded former MP P. Ariyanethiran as a common presidential candidate. The main Tamil bourgeois party, Illankai Arasu Kachchi, has split, with one faction backing the SJB leader and the other supporting Wickremesinghe. The Tamil National Peoples Front is calling for a boycott of the election. In line with their perfidious history, the differences between the Tamil parties are purely tactical and centre on how best to secure a deal with Colombo. The Tamil elites, moreover, fully support the geopolitical aims and interests in the region of American imperialism and its partner, India. In this explosive political situation, the SEP, and its presidential candidate Pani Wijesiriwardena, provides the only way forward for Sri Lankan workers, youth and the rural masses. In opposition to all the bourgeois parliamentary parties and the fake-left Jana Aragala Sandhanaya or Peoples Struggle Alliance (PSA), the SEP insists that there is no national solution and no solution within capitalism to Sri Lankas economic crisis. The purpose of the PSA, an alliance that includes the Frontline Socialist Party, Maoists and other middle-class elements is to keep any mass movement of the working class tied to the bourgeois parliamentary framework. While making proclamations about socialism in the abstract, the PSA has not even called for the repudiation of all foreign debts. The SEP is the only party fighting for the mobilisation of the Sri Lankan working class on a revolutionary socialist and internationalist program against the capitalist profit system. This requires the building of action committees of workers in every workplace, neighbourhood and among the rural masses independent of all the capitalist parties and trade union bureaucracies. The SEP calls for the convening of a Democratic and Socialist Congress of Workers and the Rural Masses based on delegates from action committees to discuss and develop a revolutionary program to defend all social and democratic rights under attack from the capitalist class. We call for the repudiation of all foreign debts and the nationalisation of the banks, big companies and the plantations under democratic workers control. These socialist policies require the bringing to power of a workers and peasants government, as part of the struggle for international socialism. We urge you to vote for Pani Wijesiriwardena and join the SEP to take forward the fight for this perspective. TUNIS, Sept. 14 (Xinhua) -- The Tunisian Minister of Foreign Affairs, Migration and Tunisians Abroad Mohamed Ali Nafti on Friday reiterated Tunisia's commitment to continue supporting the United Nations (UN) efforts in Libya and the mandate of the UN mission. Nafti made the remarks during a meeting with visiting Deputy Special Representative for Political Affairs for Libya in the United Nations Support Mission in Libya (UNSMIL) Stephanie Koury in Tunis. The Tunisian minister expressed Tunisia's full readiness to contribute to what will support dialogue, consensus and reconciliation efforts to reach a permanent political solution in Libya. For her part, Koury expressed her appreciation for Tunisia's continued support for the UN mediation in Libya and her deep gratitude for Tunisia's fruitful cooperation, support for UNSMIL and all the facilities provided to enable UNSMIL to carry out its tasks. Libya has struggled with fragmentation since the fall of former leader Muammar Gaddafi in 2011, with the oil-rich country essentially divided between a UN-recognized western-based government in Tripoli, supported by the Libyan Presidential Council, and an eastern-based rival administration in Benghazi, led by self-styled Libyan National Army General Khalifa Haftar and backed by the House of Representatives. Suspects are seen at a military court in Kinshasa, the Democratic Republic of the Congo (DRC), Sept. 13, 2024. Thirty-seven alleged coup plotters, including three Americans, were convicted and sentenced to death Friday by a Kinshasa military court in a trial against the failed coup of May 19 in the Democratic Republic of the Congo (DRC). (Str/Xinhua) KINSHASA, Sept. 13 (Xinhua) -- Thirty-seven alleged coup plotters, including three Americans, were convicted and sentenced to death Friday by a Kinshasa military court in a trial against the failed coup of May 19 in the Democratic Republic of the Congo (DRC). A total of 51 people were tried by a military court in Kinshasa, the capital of DRC, with the hearings broadcast on national television. The three Americans sentenced to death were convicted for the offenses of criminal association, attack and terrorism, including Marcel Malanga, son of Christian Malanga, the alleged leader of the attempted coup. According to the DRC army, an "attempted coup" led by little-known opponent Christian Malanga was "nipped in the bud" early May 19. Six people, including Christian Malanga himself, were killed. The putschists attacked the residence of Vital Kamerhe, then deputy prime minister, before intruding the Palais de la Nation, where the offices of DRC President Felix Tshisekedi are located. The attackers, dressed in military uniforms and displaying the Zaire flag, claimed in video clips circulating on social media that they wanted to "change things in the management of the Republic." Zaire, officially the Republic of Zaire, was the name of the DRC from 1971 to 1997. Christian Malanga formed the United Congolese Party in 2010 and advocated for the return of Zaire. In 2017, He created a government in exile in Brussels, Belgium, giving birth to the so-called "New Zaire." Marcel Malanga, who was born in Utah, United States, and two other Americans, stood trial Friday. It is immediately known whether they would appeal their case. Suspects are seen at a military court in Kinshasa, the Democratic Republic of the Congo (DRC), Sept. 13, 2024. Thirty-seven alleged coup plotters, including three Americans, were convicted and sentenced to death Friday by a Kinshasa military court in a trial against the failed coup of May 19 in the Democratic Republic of the Congo (DRC). (Str/Xinhua) Suspects are seen at a military court in Kinshasa, the Democratic Republic of the Congo (DRC), Sept. 13, 2024. Thirty-seven alleged coup plotters, including three Americans, were convicted and sentenced to death Friday by a Kinshasa military court in a trial against the failed coup of May 19 in the Democratic Republic of the Congo (DRC). (Str/Xinhua) BARCELONA, Spain, Sept. 14 (Xinhua) -- Spanish Prime Minister Pedro Sanchez's recent visit to China could be a "positive" step toward calming trade frictions between the European Union (EU) and China, a Spanish political expert told Xinhua. The prime minister's meetings with Chinese leaders and high-ranking officials could be interpreted as a positive gesture in efforts to help calm tensions, said Just Castillo, professor of East Asian Studies at the Autonomous University of Barcelona. Sanchez said at a press briefing before concluding his visit to China on Wednesday that Spain is reconsidering its position on the EU's import tariffs on Chinese-made electric vehicles (EVs). He called on the EU member states and the European Commission to reconsider their positions, stressing that Brussels and Beijing need to find a compromise that avoids a trade war and seeks solutions. "As always, it is a matter of talking about it and seeking solutions within the framework of EU-China bilateral relations," the professor said. Castillo said Sanchez's visit "offers opportunities for dialogue, for negotiation, and for the direct exchange of points of view without intermediaries." On Thursday, Chinese Foreign Ministry spokesperson Mao Ning said, "We need to work together and jointly face challenges, which will benefit our companies and consumers and turbocharge the green transition in China, Europe, and even the world." Castillo stated that China views Spain as a crucial economy within the EU and a significant EU member state. Sanchez's visit on Sept. 8-11 should be seen "mainly in economic terms," pointing to the prime minister's participation in the China-Spain Business Forum and his meetings with China's main EV manufacturers, he added. "These visits can provide certain visibility to Spanish companies in that market or in a particular city," the professor said. The European Commission's plan to impose hefty tariffs on Chinese-made EVs has sparked concerns among industry experts and business insiders across Europe. Critics argue that the move may worsen the competitiveness issues of the EU, hinder the region's green transition and escalate trade tensions with China, instead of protecting the European auto industry as intended. A cause of death has been confirmed for former child star Benji Gregory three months after he was discovered dead in his car in Arizona. The 46-year-old actor known for his role on 80s sitcom ALF died an accidental death due to environmental heat exposure, according to the Maricopa County Medical Examiner. Gregory died in the setting of hepatic cirrhosis, per the report, indicating long-term damage had caused scarring on his liver. Its unclear what contributed to the cirrhosis. Gregorys death was announced by his sister Rebecca Hertzberg-Pfaffinger in a July 10 Facebook post, which said the actor was found dead in his car on June 13, along with his beloved service dog Hans. Gregory had gone to a Chase Bank in Peoria to deposit residual checks, but seemingly fell asleep in his car in the stifling heat and never woke up. At the time, Arizona was reportedly enduring its hottest June on record, with temperatures in nearby Phoenix averaging 97 degrees, according to the National Weather Service. According to his sister, the Back to the Future voice actor had a number of other health issues, including a sleep disorder that kept him awake for days at a time. He also suffered from bipolar disorder and depression, she told TMZ. Born on May 26, 1978, Gregory began acting at the age of 5, booking roles on shows such as Fantasy Island, The A-Team, T.J. Hooker and The Twilight Zone. Most famously, he played middle son Brian Tanner in 101 episodes of Alf from 1986 to 1990. Gregory was 15 when he opted to end his onscreen acting career, but continued to do occasional voiceovers for various animated projects. He joined the U.S. Navy in 2004, becoming an Aerographers Mate, the Navy equivalent of a meteorologist, according to his biography on IMDbPro. What Happened to Aundria Bowman? The Heartbreaking True Story of Netflix's Into the Fire: The Lost Daughter Netflix's 'Into the Fire: The Lost Daughter' tells the true story of Cathy Terkanian's fight to find her daughter, Aundria Bowman Courtesy of Netflix Cathy Terkanian and Edward Terkanian in 'Into the Fire: The Lost Daughter' Aundria Bowman, born Alexis Badger, went missing on March 11, 1989. At the time of her disappearance, her adoptive father, Dennis Bowman, told the police that the 14-year-old had run away, alleging that her jacket and some money were missing from their house in Hamilton, Mich. Aundrias disappearance went unsolved for more than 30 years until her her biological mother, Cathy Terkanian, learned of the cold case in 2010 and began searching for the daughter shed given up for adoption as a teenager. Related: The Best True Crime Documentaries to Stream Now For 10 years, she investigated her daughter's disappearance and similar cases in the local area and felt a conviction that Dennis was Aundrias killer. Terkanians suspicions were confirmed when Dennis finally confessed to the crime in 2019. Terkanians relentless quest for justice is chronicled in Netflixs 2024 documentary Into the Fire: The Lost Daughter, directed by Ryan White. Heres everything to know about Aundria Bowmans murder case, including Dennis Bowmans haunting confession and where Cathy Terkanian is now. Who is Cathy Terkanian? Courtesy of Netflix Cathy Terkanian Cathy Terkanian, a retired nurse, is Aundria Bowmans biological mother. She was 16 when she gave birth to Aundria in 1974 and put her up for adoption in the state of Virginia at the urging of her parents. My fantasy was she had the best life, Terkanian told PEOPLE in 2020. Aundria had a closed adoption, but in 2010, Terkanian received a letter from Social Services asking her to get in touch. I thought I was going to meet her, she recalled. Instead, she learned that Aundria had been missing since 1989. Social Services couldnt share the names of the adoptive parents but they did tell Terkanian that her daughter was living in Michigan at the time of her disappearance. Terkanian and her husband, Edward, spent the next decade digging for answers putting pressure on local government and even using a drone to scour the Bowmans property for evidence. Who are Dennis and Brenda Bowman? Netflix Dennis Bowman, Brenda Bowman and Aundria Bowman Dennis Bowman, a former Navy reservist, and his wife, Brenda Bowman, are Aundrias adoptive parents. The couple met in high school and share one biological daughter, Vanessa, who was only 1 year old when Aundria went missing. Vanessas birth was a surprise as Dennis and Brenda didnt believe that they could conceive a child. Into the Fire depicts Brenda as a devoted wife and she has never been charged in relation to her husbands crimes. The Netflix documentary quotes Brenda saying, "I haven't forgotten what he did but I have forgiven... I take my marriage vows seriously." What happened to Aundria Bowman? Courtesy of Netflix Aundria Bowman Prior to her disappearance, Aundria told a friend and her adoptive mother that Dennis was molesting her, per The Holland Sentinel. I looked at her and told her, 'That's a lie, and you know it, Brenda said on the witness stand at Dennis' trial. His confession revealed that on March 11, 1989, he found Aundria packing a bag. She was allegedly planning to run away and report her adoptive father to the police for abuse. The last thing Dennis said to her was, No, you are not, before striking her. The blow caused Aundria to fall down a steep set of stairs and break her neck. Dennis then took her body out to a barn on the familys property, covered it with a tarp and burned her clothes and bag. Days later, he used an axe to cut off her legs so that he could fit Aundrias body in a barrel which he buried. When Dennis and his family moved to another home in Hamilton, he reburied the barrel at their new residence. "I have confessed to the death of Aundria," he wrote in a confession letter in 2019. I have kept it to myself these many years so I could live with Brenda and watch Vanessa grow into a successful young lady. Please with all love I ask you to support Brenda because I have caused her hurt and left her desolate." How did Dennis Bowman get caught? Courtesy of Netflix Dennis Bowman mugshot Before confessing to Aundrias death, Dennis was found guilty of another similar crime. In November 2019, according to The Holland Sentinel, police arrested Dennis for the rape and murder of Kathleen Doyle, 25, which occurred in 1980. A judge handed down two life sentences after Dennis DNA was found on Doyles bedspread. That same year, while being held in the Allegan County Jail in Norfolk, Va., Dennis confessed to Aundrias death and shared the location of her remains during a recorded phone call with Brenda. In 2021, police told News 8 that Dennis also confessed to the 1979 rape and assault of a 27-year-old woman from Holland. Metta McLeod, who appears in Netflixs Into the Fire, also suspects that Dennis is the man who allegedly lured her into his red pick-up truck and attempted to harm her in September 1989 just five months after Aundria disappeared. Dennis wrap sheet also includes a conviction of assault with intent to commit criminal sexual conduct in 1981, as well as a felony breaking and entering in 1998. Where is Dennis Bowman now? Allegan County Sheriff's office via AP Dennis Bowman mugshot In February 2022, Dennis pleaded no contest and was sentenced to 35 to 50 years in prison for Aundrias murder, on top of his previous life sentences for the rape and murder of Doyle and 20 years for the burglary of her home. He is currently incarcerated at the River North Correctional Center, according to the Virginia Department of Corrections. Dennis is 75. Where is Cathy Terkanian now? Netflix Cathy Terkanian in 'Into the Fire: The Lost Daughter' Terkanian, who lives with her husband in Massachusetts, is still fighting for her daughter. She wants Aundrias adoption annulled and her name changed back to Alexis Badger. Ive got to get that monster's name off of my daughters birth certificate, Terkanian said in Into the Fire. Imagine having to fight that system. But Im going to do it, and Im going to use this [documentary] as the teeth and take it right to the governor. She also wants full custody of Aundrias remains. "I want her body back," Terkanian said during an interview with The Holland Sentinel in February 2022. "Brenda has half of it, she's going to give me half of my child's mutilated body? Somehow that just doesn't give me any peace. She doesn't belong with those people." Dennis will be behind bars for the rest of his life, but that brings Terkanian no comfort. In the final minutes of Into the Fire, she said: Im relieved, but Im still angry. I thought the angry would go away. For more People news, make sure to sign up for our newsletter! Read the original article on People. People attend a seminar themed "Strengthening Sino-African Relations: Reflections on Two Decades of FOCAC" at the University of Nairobi in Nairobi, Kenya, on Sept. 13, 2024. The seminar was held here Friday to assess milestones achieved since the inception of the Forum on China-Africa Cooperation (FOCAC) more than two decades ago. (Xinhua/Wang Guansen) NAIROBI, Sept. 13 (Xinhua) -- A seminar was held Friday in Nairobi, the Kenyan capital to assess milestones achieved since the inception of the Forum on China-Africa Cooperation (FOCAC) more than two decades ago. Senior policymakers, diplomats, scholars and students attended the half-day seminar, themed "Strengthening Sino-African Relations: Reflections on Two Decades of FOCAC." The seminar was organized by the Africa Center for the Study of China, domiciled at the University of Nairobi, Kenya's oldest university. Martin Owuor, assistant director of the Asia and Pacific Directorate in the Ministry of Foreign Affairs, said that under the FOCAC, Kenya has gained immensely from bilateral ties with China. "Kenya and China have enjoyed warm, cordial relations over six decades," Owuor said. "China has contributed to Kenya's socioeconomic transformation through investments in infrastructure, capacity building, food security, renewable energy and ICT." Owuor noted that Kenya is a major beneficiary of China's proposed Belt and Road Initiative (BRI) even as the East African nation aligns itself with the three initiatives proposed by China covering global development, security and civilization. Zhang Zhizhong, charge d'affaires of the Chinese Embassy in Kenya, said this year's FOCAC summit elevated China-Africa friendship and cooperation to new heights, adding that China committed to advancing modernization in Africa during the three-day summit. The modernization drive, according to Zhang, will in the next three years cover diverse areas such as trade, industrial chain cooperation, connectivity, health, agriculture, green development and security. Patrick Maluki, chairman of the Department of Diplomacy and International Studies at the University of Nairobi, said that since 2000, the FOCAC has evolved to become a vehicle for promoting bilateral trade, investments, education and people-to-people exchanges between Africa and China. According to Maluki, as one of the outcomes of the 2024 Beijing Summit of FOCAC, enhancing Sino-Africa collaboration in education and research will be key to addressing threats posed by the climate crisis, epidemics and unregulated use of modern technologies. Peter Kagwanja, chief executive officer of the Africa Policy Institute, a Nairobi-based think tank, observed that the FOCAC's enduring appeal to the Global South, including Africa, has not faded despite geopolitical shifts. The 2024 FOCAC summit, according to Kagwanja, was unique, impactful and incomparable to forums organized by other major powers that have strategic interests in Africa. People attend a seminar themed "Strengthening Sino-African Relations: Reflections on Two Decades of FOCAC" at the University of Nairobi in Nairobi, Kenya, on Sept. 13, 2024. The seminar was held here Friday to assess milestones achieved since the inception of the Forum on China-Africa Cooperation (FOCAC) more than two decades ago. (Xinhua/Wang Guansen) People attend a seminar themed "Strengthening Sino-African Relations: Reflections on Two Decades of FOCAC" at the University of Nairobi in Nairobi, Kenya, on Sept. 13, 2024. The seminar was held here Friday to assess milestones achieved since the inception of the Forum on China-Africa Cooperation (FOCAC) more than two decades ago. (Xinhua/Wang Guansen) Michaela Mabinty DePrince, the inspirational ballerina who was orphaned in Sierra Leone before being adopted by a New Jersey couple and soaring to the top of the dance world, has died at the age of 29. Her sister, Mia DePrince, announced the death, which comes just days after the death of their adoptive mother, Elaine Mamma E DePrince. I am truly in a state of shock and deep sadness. My beautiful sister is no longer here. From the very beginning of our story back in Africa, sleeping on a shared mat in the orphanage, Michaela (Mabinty) and I used to make up our own musical theater plays and act them out. We created our own ballets. She would choreograph, and I was the composer and conductor, she said. When we got adopted, our parents quickly poured into our dreams and arose the beautiful, gracefully strong ballerina that so many of you knew her as today. She was an inspiration. No cause of death was provided. Ballet dancer Michaela DePrince poses on July 12, 2012, in Johannesburg, South Africa. Gallo Images She attended the American Ballet Theatres Jacqueline Kennedy Onassis School, became a principal dancer at the Dance Theatre of Harlem and then danced with the Dutch National Ballet before joining the Boston Ballet in 2021. At just 16 years old, Michaela appeared as one of the main dancers in the documentary First Position featuring students vying for a spot at an elite ballet school and then at 17 was featured on Dancing With the Stars. Michaela DePrince and Ade Chike Torbert on Dancing With the Stars. Adam Taylor She would go on to appear in Beyonces Lemonade music video. Michaela also had a big role in Brandi Carliles music video for her song The Joke. She also wrote a memoir called Taking Flight: From War Orphan to Star Ballerina as well as a childrens book, Ballerina Dreams, to inspire the next generation of dancers. Ballet icon Misty Copeland, who became the first African American woman to be named principal dancer at the American Ballet Theatre in 2015, said she was devastated by this news. In her 2021 book Black Ballerinas, Copeland, 42, recalled taking the young dancer under her wing at ABTs Jacqueline Kennedy Onassis School. She was a prodigious talent and the first darker-skinned dancer I witnessed come through the program, Copeland wrote. Colorism is without a doubt an issue in the professional ballet world, and her vitiligo differentiated her aesthetic even further. On Michaelas official social media pages, a spokesperson described her short life as one that was one defined by grace, purpose, and strength, and someone whose artistry touched countless hearts and whose spirit inspired many, leaving an indelible mark on the world of ballet, and beyond. DePrince was also a dedicated humanitarian, advocating for children affected by conflict and violence. She served as an Ambassador for War Child Holland and hosted her gala, Dare to Dream, dedicated to promoting the well-being and mental health of children living in war zones, the spokesperson said. The Boston Ballet also remembered DePrince. Were sending our love and support to the family of Michaela Mabinty DePrince at this time of loss, the ballet said, dedicating an Instagram post to her memory. We were so fortunate to know Mabinty; she was a beautiful person, a wonderful dancer, and she will be greatly missed by us all. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Prince Harry is set to inherit millions on his 40th birthday. Here's how he and Meghan Markle make and spend their money. Prince Harry is set to inherit millions on his 40th birthday. Here's how he and Meghan Markle make and spend their money. Prince Harry and Meghan Markle left their royal roles in 2020 to become "financially independent." Later that year, they signed a deal with Netflix reportedly worth $100 million. Prince Harry is set to inherit millions from the Queen Mother when he turns 40 on Sunday. Prince Harry will reportedly inherit millions from a trust fund set up by the Queen Mother when he turns 40 on Sunday. However, that's just the tip of the iceberg when it comes to the fortune he and his wife, Meghan Markle, have amassed since their decision to become financially independent from the royal family in 2020. From lucrative deals with Netflix and Spotify to both of their book deals, the couple have made a fortune profiting from public interest in their post-royal lives. However, they have also run into controversy over the years. In June 2023, reports emerged that Markle and Harry had ended their partnership with Spotify and that they would not receive the full $20 million payout from their Spotify deal. Here's a look at Prince Harry and Meghan Markle's combined net worth, and how they spend their money. In January 2020, Prince Harry and Meghan Markle shared their decision to be "financially independent" from the royal family. Prince Harry and Meghan Markle. Phil Noble/Pool/Getty Images "We intend to step back as 'senior' members of the Royal Family and work to become financially independent, while continuing to fully support Her Majesty The Queen," their January 2020 statement read. "We now plan to balance our time between the United Kingdom and North America, continuing to honor our duty to The Queen, the Commonwealth, and our patronages." In the couple's interview with Oprah Winfrey in March 2021, Prince Harry said the primary reasons for their leaving their royal roles was a "lack of support and lack of understanding" from both the media and the royal family. Harry told Oprah Winfrey in March 2021 that the royal family cut him off financially after he and Meghan "stepped back" from being senior royals. Prince Harry and Meghan Markle spoke with Oprah Winfrey for their first sit-down interview since leaving their royal duties. Handout/Getty Images Harry said he stopped receiving any financial support from his family in "the first quarter of 2020," the same time that the couple released their statement that they were working toward becoming financially independent. According to King Charles III's financial report from 2021, the offices of Prince William and Kate Middleton continued to be paid for from His Royal Highness's private income. A senior Clarence House spokesperson said that Harry and Meghan received a "substantial sum" from Charles to make their transition to financial independence easier. Meghan Markle, King Charles III, and Prince Harry attended Trooping the Colour in 2018. Samir Hussein/Samir Hussein/WireImage/Getty Images However, the spokesperson also told the BBC that the couple officially stopped receiving funding in the summer of 2020. There's no officially recognized figure for Prince Harry and Meghan Markle's worth in 2024. Business Insider reported in 2020 that the couple was worth at least $30 million, citing 2018 estimates from Money.com, which were made before the couple inked their Netflix deal. In February 2021, several months after the Netflix deal was announced, Forbes put their estimated net worth at $10 million. Harry and Meghan have also relied on inheritance from his mother's estate. Princess Diana, Prince William, and Prince Harry visited Thorpe Park in 1993. Julian Parker/UK Press via Getty Images Prince Harry inherited an estimated $10 million from Princess Diana's estate after her death on August 31, 1997, Forbes reported. "I've got what my mum left me and without that, we wouldn't have been able to do this," Harry told Winfrey in March 2021. "She saw it coming, and I certainly felt her presence throughout this whole process." Harry will also reportedly receive millions from a trust fund when he turns 40 on September 15, 2024. Prince Harry was included in a trust fund set up by the Queen Mother. KOLA SULAIMON/AFP via Getty Images The Times reported that when Harry turns 40, he will receive 8 million, or about $10.5 million, from a trust fund created by the Queen Mother in 1994 for her great-grandchildren. The Queen Mother, Queen Elizabeth II's mother, died in 2002 at the age of 101. Prince William also received an inheritance when he turned 40, though it was reportedly less than his brother's because of William's position as heir to the throne and his income from the Duchy of Cornwall. The Times reported that William received 23.6 million from the estate in 2023. When the fund was created by the Queen Mother, it was believed to be worth about 19 million. All inheritances will be distributed as a tax-free lump sum. "It was a way in which the Queen Mother could set aside money for when her great-grandchildren were older and a way of passing a slice of her estate down in a tax-efficient way," a spokesperson said of the fund, The Times reported. "It was a way in which some of her estate could be ring-fenced for them." He earned around $50,000 a year from his job as a captain in the British Army. Prince William and Prince Harry both served in the military. Chris Jackson/Getty Images Prince Harry served in the Army for 10 years, including service in Afghanistan. Forbes estimated that his salary as a helicopter pilot was between $50,000 and $53,000. Before she left acting to join the royal family, Meghan Markle had an estimated net worth of $5 million. Meghan Markle was an actor before joining the royal family. Samir Hussein/WireImage/Getty Images Town & Country reported that the actor earned $50,000 for each episode of "Suits" and a combined $360,000 from her roles in the 2010 movies "Remember Me" and "The Candidate." She also ran the successful lifestyle blog The Tig, which she shut down after getting engaged to Harry. Meghan Markle acted on "Suits." Ian Watson/USA Network/NBCU Photo Bank/NBCUniversal/Getty Images She made around $80,000 a year from sponsorships and endorsement deals. Meghan Markle is also a published New York Times bestselling children's book author. Meghan Markle wrote "The Bench" based on a poem she gave Harry for Father's Day. Facundo Arrizabalaga - Pool/Getty Images, Penguin Random House "The Bench," inspired by a Father's Day poem she wrote for Prince Harry, was published on June 8, 2021. The children's book spoke about the relationship between a father and son from the perspective of a mother. It featured illustrations by Christian Robinson and became a New York Times bestseller within a week of its release. The Sun reported that Markle potentially got a $700,000 advance for "The Bench." Prince Harry's autobiography, "Spare," was released in January 2023. He reportedly received a $20 million advance for the book. Prince Harry released his memoir, "Spare," in 2023. Ben A. Pruchnie/Getty Images, Penguin Random House The book's title refers to the "spare" title he received as the brother of the heir to the British throne and the fact that he will likely never become king. In the book, Harry opened up about his complicated relationship with William, calling him both his "beloved brother" and "arch nemesis." The New York Times reported that Harry received a $20 million advance for "Spare" as part of a multi-book deal, according to sources close to the negotiations. Forbes reported that Harry pledged to donate proceeds from the book's sales to charity and donated $1.5 million to Sentebale, a charity that supports children and young people affected by HIV and AIDS. In September 2020, Meghan and Prince Harry founded their own production company and signed a deal with Netflix reportedly worth $100 million. Prince Harry and Meghan struck a deal with Netflix in 2020. Photo by Britta Pedersen/picture alliance via Getty Images "Our focus will be on creating content that informs but also gives hope," the couple said in a statement. "As new parents, making inspirational family programming is also important to us." Their Netflix documentary series, "Harry & Meghan," which premiered in 2022, follows the couple as they navigate post-royal life. They shared intimate details of their life together and new photographs in the series. Meghan and Harry also received $30 million for a three-year podcasting deal with Spotify. Prince Harry and Meghan Markle also signed a deal with Spotify. Kirsty Wigglesworth/Pool/Getty Images Forbes reported that the couple did not produce any content for the platform in 2021, which led Spotify producers to step in. Meghan Markle later released her own Spotify podcast, "Archetypes." "Archetypes is the podcast where we explore and subvert the labels that try to hold women back. Here's where we dismantle them with action," the podcast's description reads. However, in June 2023, reports emerged that Meghan and Harry were no longer working with Spotify and that there wouldn't be a second season of "Archetypes" on the platform. It was also reported that Meghan and Harry would not receive the full $20 million payout from their Spotify deal. "The podcast will no longer be produced at Spotify as we are mutually parting ways," a spokesperson for Archewell Audio, the couple's production company, told Business Insider. In February 2024, Meghan announced that she had signed a new partnership with Lemonada Media, Deadline reported. Meghan announced in March that she would be launching her own lifestyle and alcohol brand, American Riviera Orchard. Meghan Markle announced her brand, American Riviera Orchard, in 2024. Mark Cuthbert/Getty Images The New York Post reported that the brand will sell tableware, drinkware, kitchen linens, jams, marmalade, cookbooks, and other products, according to a trademark application filed by Meghan's lawyers and reviewed by the outlet. Tatler also reported in June that the first product available for purchase by the public will be rose wine, adding Meghan to the list of celebrities who have their own alcohol brands. Harry and Meghan's charity organization Archewell was temporarily deemed "delinquent" in 2024 before the matter was resolved. Prince Harry and Meghan Markle attended a volleyball match in Nigeria in May 2024. Emmanuel Osodi/Anadolu via Getty Images On May 13, NBC reported that the Archewell Foundation, the charity the couple founded in 2021, had been found delinquent in California and had to pause fundraising efforts. The state claimed that the organization had not paid its annual registration fees or submitted an annual report. NBC reported that a source close to Archewell responded that the "group's initial check was lost in the mail" but that they had resubmitted payment and the issue would soon be resolved. On May 14, NBC reported that the charity was no longer delinquent. "We have diligently investigated the situation and can confirm that The Archewell Foundation remains fully compliant and in good standing," a spokesman for Archewell said in a statement, NBC reported. "Due payments were made promptly and in accordance with the IRS's processes and procedures. Furthermore, all necessary paperwork had been filed by the Foundation without error or wrongdoing." After stepping back from their roles as senior royals, Meghan and Harry repaid the 2.4 million, or $2.9 million, cost of renovating their home, Frogmore Cottage in Windsor. Meghan and Harry previously lived in Frogmore Cottage. zz/KGC-09/STAR MAX/IPx 2019/AP The cost of renovating the home was originally paid for by British taxpayers through the Sovereign Grant, according to the BBC. The couple paid back the money in 2022 after signing the deal with Netflix. They purchased their first home together, an estate in Santa Barbara, California, in July 2020 for $14.65 million. The couple later purchased property in Santa Barbara, California. Jordan Siemens/Getty Images Vogue reported in 2020 that the pair settled in the private and exclusive neighborhood of Montecito. The publication also reported that, according to property records, the royal couple bought their 14,500-square-foot home for $14.65 million. They put down $5 million, leaving them with a $10 million mortgage, Forbes reported. "The Duke and Duchess of Sussex moved into their family home in July of this year," a spokesperson for the couple told Business Insider in August 2020. "They have settled into the quiet privacy of their community since their arrival and hope that this will be respected for their neighbors, as well as for them as a family." Meghan and Harry have received criticism for their use of private jets to travel. Prince Harry and Meghan were married in 2018. Steve Parsons/pool photo via AP In December 2022, The Independent reported that Markle traveled by private jet for the second time in a week to attend an awards ceremony with Prince Harry in New York. She was previously seen boarding a private jet in Indianapolis after appearing at a female empowerment event in the city, The Independent reported. Their use of private jets has raised questions about environmental impact, The Times reported. When asked about his family's use of private jets at an event in 2019, Harry said, "I spend 99% of my life traveling the world by commercial. Occasionally there needs to be an opportunity based on a unique circumstance to ensure my family are safe, and it's genuinely as simple as that." He added, "For me, it's about balance." Prince Harry and Meghan Markle also pay for their own security. Meghan Markle and Prince Harry have fought for the UK to pay for their security costs while in the country. Rosa Woods - Pool/Getty Images However, in 2022, the couple were fighting for official police protection while in the United Kingdom. "The Duke and Duchess of Sussex personally fund a private security team for their family, yet that security cannot replicate the necessary police protection needed whilst in the UK," according to a statement released in January 2022, NBC reported. Meghan and Harry appeared to receive official royal security protection when they traveled to the UK for Prince Philip's and later Queen Elizabeth II's funerals, Fox News reported. Read the original article on Business Insider When you buy through links on our articles, Future and its syndication partners may earn a commission. Tamzin Outhwaite, Jo Joyner and Angela Griffin star in Channel 5 drama The Wives. | Credit: Channel 5 Get ready to immerse yourselves in a world of sun, sea, sand and secrets in Channel 5's scintillating new six-part thriller, The Wives. Running over three consecutive nights from Monday, starting this week and continuing next week and set in sun-kissed Malta, it stars TV favourites Angela Griffin (Waterloo Road), Tamzin Outhwaite (The Tower) and Jo Joyner (Shakespeare & Hathaway) as three sisters-in-law whose lives unravel as they return to their usual island bolthole where a fourth "wife" mysteriously disappeared a year earlier. Married to the Morgan brothers, Sylvie, Natasha, Beth and Annabelle and their families have been holidaying together at their lavish apartments in Malta every summer for the past 15 years. Sylvie (Tamzin) was always happily married, Natasha (Angela) was rich, while Beth (Jo) and Annabelle (In The Clubs Christine Bottomley) were thick as thieves. As they reunite this year, however, things have changed. Sylvies single and ready to mingle, Natashas in financial dire straits, Beths lost her identity and Annabelles missing and presumed dead! So when Annabelles widower Charlie (Strike Backs Jamie Bamber) arrives with his new girlfriend Jade (Grace's Katie Clarkson-Hill) who looks just like Annabelle the wives are determined to find out what really happened last summer. Angela Griffin Jo Joyner Tamzin Outhwaite star in The Wives "Its a real feminine feast of friendships and fatalities," teases Angela, as we catch up with the 48-year-old actor alongside Tamzin, 53, and Jo, 47, to get the lowdown on this Maltese mystery What do we learn about the wives at the centre of the drama, Sylvie, Natasha and Beth? Tamzin: "Sylvie, Natasha and Beth who have been thrown together through being married to the Morgan brothers are at a real crossroads. My character, Sylvie, is telling everyone shes having a midlife crisis. I actually think that, post-divorce, she's having a rebirth; shes a 50-something woman who knows what she wants and this is the beginning of her new life." Angela: "These three women are all quite peacocky and showy about how brilliant life is but, underneath, each one of them has a secret. When we meet Natasha, her daughter's gone off to university, and she and her husband Sean (Catastrophes Jonathan Forbes) are, financially, not in as comfortable a position as they've been previously. But, as the saying goes, you never know whats really going on behind closed doors." Jo: "Whats lovely about The Wives is the characters are all so different theyre almost like middle-aged Spice Girls! Beths the most traditional of the three. When we meet Beth shes already feeling lost. As she hits middle age, and her sons are heading off into the world, shes struggling with what her place is within the family. Also, shes really missing Annabelle; its becoming her obsession in that something doesn't feel right about her death..." Natasha (Angela Griffin), Sylvie (Tamzin Outhwaite) and Beth (Jo Joyner) in The Wives Are there suggestions that Beth is perhaps a little 'unhinged'? Jo: "In the beginning, she's definitely on her own with the belief theres more to Annabelles disappearance but then theres a pivotal event for the three women where a discovery is a bit too close to home. At that point, Natasha and Sylvie, realising that Beth might have been onto something, pick up the baton and run with it!" Tamzin: "Thats right. As revelations come to light, Sylvie and Tash take on more of a detective-y role. What starts off as a relationship drama takes a thriller turn." The wives enjoy lots of boozy nights together were those scenes fun to film? Jo: "Ah yes. Any of the scenes with the three of us drinking wine and shouting were my favourite. I seem to be alright at drunk acting I have no idea how that could be!" Tamzin: "Basically, these women are clinging onto their youth by coming to Malta year after year and having a whole summer of continuous drinking. They probably can't do what they used to do - but they're having a really good go at it!" Jo Joyner plays Beth Morgan in The Wives What was it about this drama and the characters that appealed and that you could relate to? Tamzin: "Firstly, the fact that its called The Wives because I feel that the majority of people watching TV are women of a certain age but we don't often see ourselves on TV. The fact that Sylvie is dating much younger men and being confident with it was a really lovely thing to play. People don't ask female actors in their Forties and Fifties to film scenes of intimacy very often and Im not prudish, so I found that quite liberating." Angela: "Yes, like Tamz, I recognised all of the women. I recognised the stages they were at in their life; what happens to women when all of a sudden you've got to redefine who you are as a woman, in the world, with your friends and when you're not being called mum. What you do as a woman once your kids have flown the nest is something I really wanted to dig into. And, of course, the idea of spending three months working in Malta was very appealing." Angela Griffin as Tasha Morgan Tamzin Outhwaite as Sylvie Morgan What was it like filming in Malta? Did you get to do any sightseeing? Jo: "I filmed Channel 5s For Her Sins in Malta, so it has a special place in my heart. I love the people, the vibe, the wonderful mix of Mediterranean and Arabic food, the culture, and how safe the capital city of Valletta feels on a night out. So far, Malta doesnt feel as if its been taken over by tourists - so I should probably keep my mouth shut!" Angela: "It was hard work! We shot a lot in a short period of time. It was really windy, it wasn't quite summer, and there were lots of obstacles, but it was so worth it. We didn't see half as much of Malta as I would have liked as Tamz, Jo and I were in scenes quite a lot but when we weren't in, we were out out. Outside of filming, wed go down to the local fishing village, enjoy some incredible dinners and we went into Mdina, also known as the Silent City. So we were really getting around while drinking LOTS of tequila!" The Wives starts on Monday, September 16, showing over three consecutive nights, at 9 pm on Channel 5. Continues the following week. 2024 fall foliage predictions: These maps show when to see peak fall colors in NH Fall is almost here in New England, which means New Hampshire is about to erupt in fall colors. According to the Old Farmer's Almanac, leaves can change their color from as early as mid-September all the way through early November. However, the exact timing of fall colors, as well as how vivid they are, depends on the location and weather of the year. Many weather factors can affect the fall color show, including drought, precipitation and warmer temperatures. The Climate Prediction Center predicts a warmer-than-average fall for nearly the entire U.S., which can lower the intensity of autumn colors, but the Old Farmer's Almanac still predicts a great year for fall foliage in New England, recommending people take a trip to the White Mountains for the show. And they're not the only ones. Here's a look at two of the other key forecasts. Where and when leaves are predicted to change Here's a breakdown of how the 2024 foliage is predicted to change across the U.S., according to maps from the Smoky Mountains website: As seen in these maps, the vibrant fall hues which New Hampshire is so well-known for are expected to peak in the first half of October. The region's colorful foliage displays bring in about ten million leafpeepers each year. Here is a map from AccuWeather showing the best month for autumn colors across the country: Like the Smoky Mountains, AccuWeather predicts that New England's best month for foliage will be October, with most of the region peaking in the early or middle parts of the month. According to this map, northern corners of Vermont, New Hampshire and Maine could see an earlier peak in late September, while Rhode Island and southeastern Massachusetts will peak later in October. More: Visit these six Eastern Mass. parks and trails to view great foliage this fall How leaves change color in the fall As plants receive less and less sunlight in the shortened days of fall, the production of chlorophyll, a substance which makes the leaves appear green by saturating them through turning sunlight into glucose, slows down. Without chlorophyll, the "true" color of leaves comes through, according to the Smoky Mountains website. Changed leaves then begin to fall off their branches as the trees close off their veins in preparation for protection in winter, meaning the leaves no longer receive nutrients and therefore weaken at the stem. SOURCE: The National Forest Service, SmokyMountains.com, ExploreFall.com Doyle Rice and Janet Loehrke of USA Today contributed to this report. This article originally appeared on The Patriot Ledger: Fall foliage 2024 NH: Maps show when and where for peak color Rotisserie chicken can make up a filling meal that comes together quickly on busy weeknights. Perhaps most importantly of all, the rotisserie chicken generally doesn't have surprises. Especially when it comes to Costco's rotisserie chicken -- sold at the low price of $4.99 -- customers typically know what to expect. They may even know useful tips for picking out the best one. However, Costco shoppers in Taiwan may notice that rotisserie chicken appears slightly different. Available in a plastic food bag similar to the one offered in the United States, but with a flame motif, the Taiwanese Costco rotisserie chicken comes with the head still attached. Whether chicken comes with or without its head, it seems that consumers worldwide can't get enough. Costco sold 137 million rotisserie chickens in 2023, the company's CEO, Ron Vachris, revealed in a shareholder meeting. Meanwhile, Taiwanese people are consuming record highs of poultry, primarily chicken. While a rotisserie chicken with an intact head may be a shock to U.S. customers, it could indicate freshness to others. Either way, Costco's presentation goes to show how it adapts its offerings to different regions. In fact, learning about how different ingredients are used across the globe is a great way to appreciate culinary traditions from near and far. Read more: A Guide To Buying Salmon At The Grocery Store Cultural Symbolism Of Chickens In Taiwan Kirkland rotisserie chickens in plastic bags - Macky Albor/Shutterstock While the average American shopper may be wondering why Costco's rotisserie chickens are pulled from shelves after two hours, they may also be curious to learn about the roots behind the Taiwanese practice of keeping the head on a chicken. The tradition is rich in cultural symbolism, especially around the holiday season, and Costco has seemingly taken note. For one, serving the entire chicken indicates a sense of togetherness and family unity. Specifically when it comes to the Lunar New Year, which is celebrated in Taiwan, a whole chicken can be seen as a harbinger of luck in the coming year. This belief is similar to a tradition of the American South, in which collard greens, beans, and rice are seen as symbols of luck for the New Year. On the opposite end of the spectrum, the removal of a chicken's head is also a known ritual in Taiwan. After being accused of wrongdoing, a person would take an oath and then behead a chicken. The beheading of the chicken offered an opportunity for the accused to advocate for their innocence while providing a chance for all involved parties to discuss the conflict. Benefits Of Eating The Whole Chicken Whole chicken in a pot of soup. - Whitewish/Getty Images If you're looking to be more environmentally conscientious in the kitchen without giving up on meat, eating the whole chicken may be the right move. Food waste plays a significant role in creating harmful greenhouse gasses, but if you are eating the bird whole, fewer leftovers will be thrown out. To get comfortable with whole chicken recipes, you could try cooking a whole poached chicken. Served with an effortless dipping sauce made with raw ginger scallion, the Cantonese dish taps into the chicken's natural flavor. If you're looking to try something more advanced, consider the black silkie chicken soup. Though the skin of a silkie chicken is dark, its flavor remains familiar. Black chicken soup is thought to contain medicinal properties thanks to Chinese herbs, ginger, and goji berries and is traditionally served to new mothers after giving birth. Dishes like black chicken soup have nutritional benefits since chicken heads are packed with glucosamine and chondroitin, known to be beneficial for joint and hip health. Though eating a chicken head may seem daunting to some, cooking the head kills bacteria and renders the practice of eating the head safe. Whether you're looking to cut down on environmental waste or are hoping to try out new recipes, the practice of eating whole chicken could be worth introducing into your culinary routine. Read the original article on Chowhound. Based on the headlines this week, it seems too many Americans dont have any Haitian friends - and certainly dont understand their rich food culture. I say this because of the nonsensical, bigoted and tired trope about immigrants eating people's cats and dogs that former President Donald Trump regurgitated during Tuesday's presidential debate with Vice President Kamala Harris. This time, the trope sprang from a social media post about Haitian immigrants in Springfield, Ohio. It's not just mean and stupid: It's the kind of far-right dog whistle that can also be dangerous. Anyone lucky enough to have Haitian friends and food in their lives knows about the complex flavors of soup joumou, a Sunday-only squash and beef soup, or the famed citrus-marinated pork shoulder, griot. They know about the spicy deliciousness of the condiment of condiments: pikliz. And they surely know the queen of all rums: Haiti's famed Rhum Barbancourt. "Po diab pou yo." Too bad for them. Not one to miss an opportunity to talk about food, I reached out to Miami-based, award-winning chef Alain Lemaire, who has appeared on the "Luda Can't Cook" series on Discovery+ with rapper, actor and restauranteur Chris "Ludacris" Bridges. We talked Haitian food, Haitian families, and what cooking and eating together means to Haitian people. Chef Lemaire even blessed this column with a recipe at the end. This interview has been edited for length and clarity. The opinions above are those of Carli Pierson and do not reflect the opinions of Chef Alain Lemaire. What made you get into cooking and why Haitian food? It was a necessity to cook for myself: What I wanted to eat and when I wanted to eat. I was born and raised in Haiti. Growing up in the Caribbean in the '80s and '90s, there was a strict policy of "Whatever there is to eat at the house, you have to eat it." Alain Lemaire in Pembroke Pines, Florida, in 2024. I paid attention to how they cooked the dishes I loved. So, when my mom was at work, I would go back in the kitchen and recreate them for myself. What were your favorite dishes as a kid? This is going to sound ridiculous but we eat spaghetti for breakfast. So, there were two meals I loved cooking. One was spaghetti and the the other was bulgur wheat with beef and sauce: It's called "ble." I mastered these growing up. Immigrants aren't eating pets: Tell Trump I have 'proof' Vance ate my cat Where in Haiti did you grow up? Port-au-Prince, in Delmas. Opinion alerts: Get columns from your favorite columnists + expert analysis on top issues, delivered straight to your device through the USA TODAY app. Don't have the app? Download it for free from your app store. When did you decide to make a career of cooking? After graduating high school in 2000, I came to Miami. While I was back home in Haiti, I was trying to decide what I wanted to pursue. In Miami, I had a friend who was going to a culinary program at Johnson & Wales, and when I came I fell in love with the program right then and there. What kinds of reactions do you see from people trying Haitian food for the first time? Chef Alain Lemaire holds a plate of salmon, mussels and cherry tomatoes The shocked expressions when they taste our dishes. It's not a unilateral landscape of flavors: It's layers of flavors. Especially if the food is well seasoned. Also, our Haitian cuisine is a mix of African, French, Spanish and even some Middle Eastern flavors. When you talk about the slave trade, the spice trade, migration from Arab countries: Everyone brought their spices and flavors with them. This is what Haitian cuisine is all about. What is your favorite dish to cook now if you had to pick one? That's difficult. It would have to be lalo: It's more dominant in the central part of Haiti. It's a dish made with jute leaves and some people add crabs and beef, also. Traditionally, it's served with white rice and "sos pwa" (pepper sauce). Trump lies about immigrants hide truth: They pay their share of taxes What would you like people to know about Haitian food and Haitian people? Haitian food is very rich, flavorful; it's bold, fresh and in your face. It is the heart of what we represent because food for us is not only about nutrition, but it brings everybody together. Every celebration involves food. It's about unity and strength. Our food also comes out of struggle, the fight we have to endure and out of making the best of what we have available. Chef Lemaire's creole chicken with cashews recipe Chef Alain Lemaire's creole chicken with cashews. Photo taken on January 10, 2020. Yield: 4 servings Prep time: 4 hours Cooking time: 45 minutes Total time: 4 hours and 45 minutes Ingredients: 4 chicken leg quarters, cut in two 6 ounces tomato paste 1-quart chicken stock or broth 6 ounces epis: Blended thyme, garlic, parsley, scotch bonnet peppers, salt, sometimes oil or citrus, onions and sweet peppers 1/2 cup cashew halves, toasted 2 tablespoons finely ground cashews 1 bouquet garni (wrapped up in a cheesecloth): 3 thyme sprigs, a bunch of parsley stems, 2 heads of scallions, 1 habanero pepper, 5 whole peppercorns, 2 whole cloves and 3 heads of garlic 1/2 green bell pepper, julienne 1/2 yellow onion, julienne canola oil for cooking salt and pepper to taste Method of preparation: Season chicken with epis, salt and pepper. Place in a container and let marinate overnight or for 3 to 4 hours. Heat a dense pot, add some oil and sear chicken in small batches until golden brown on each side. Remove and set aside. In same pan, add tomato paste and cook on low heat until well dissolved. About 2 minutes. Pour in chicken stock/broth then mix in cashew powder until well dissolved. Add chicken pieces, and bouquet garni. Make sure chicken is at least three-quarters submerged. Adjust seasoning, bring to a boil then let simmer. Once chicken has reached an internal temperature of 165F, and sauce is silky, add cashew halves, onions and peppers. Let cook for an additional 7 minutes. Serving suggestions: Serve with white rice and avocados. Carli Pierson is a Voices/Opinion editor at USA TODAY. You can read diverse opinions from our USA TODAY columnists and other writers on the Opinion front page, on X, formerly Twitter, @usatodayopinion and in our Opinion newsletter. This article originally appeared on USA TODAY: What is traditional Haitian food? It's about strength and spice McDonalds Is Giving Out 50-Cent Double Cheeseburgers for National Cheeseburger Day Plus More Deals The fast food chain also announced that the $5 Meal Deal will also remain on the menu through December McDonald's, Lauren DeCicca/Getty McDonald's Double Cheeseburger; French Fries McDonald's has a fun deal to celebrate National Cheeseburger Day. On Wednesday, Sept. 18, the chain restaurant will sell double cheeseburgers for only 50 cents in celebration of the foodie holiday. Customers can take advantage of this deal by ordering through the McDonald's app. This isn't the only discount McDonald's is boasting. The $5 Meal Deal will also remain on the menu through December. The popular offer includes a McDouble or McChicken sandwich, fries, chicken McNuggets and a soft drink. At the time, McDonald's president said in a statement that they heard their fans "loud and clear." "Theyre looking for even more great value from us, and this summer thats exactly what theyll get, McDonald's McDonald's Double Cheeseburger Related: McDonalds Is Changing the McFlurry Cups and Introducing a Mini McFlurry McDonald's summer deals are also here to stay. In June, the chain announced that they would be giving out free french fries every Friday for the remainder of 2024. Free Fries Friday, which officially started in July, has become a fan-favorite deal, where customers can redeem a medium Fries with a minimum purchase of $1. Never miss a story sign up for PEOPLE's free daily newsletter to stay up-to-date on the best of what PEOPLE has to offer, from celebrity news to compelling human interest stories. McDonald's, Lauren DeCicca/Getty McDonald's Double Cheeseburger; French Fries The company has seen lots of changes in recent months. On Sept. 4, McDonald's announced they would give the McFlurry a makeover and create a miniature version of the frozen treat. The sustainable containers began their rollouts in restaurants across the country on Sept. 10, along with Mini McFlurrys, which are reportedly a third in size of the original McFlurry. Lauren DeCicca/Getty McDonald's French Fries Related: McDonalds New Collectors Meal Comes with 1 of 6 Cups Decorated with Beanie Babies, Hello Kitty and More Wendy's is also dishing out a competitive National Cheeseburger Day deal for the holiday. The chain's Jr. Bacon Cheeseburger will cost only 1 cent with any purchase from Sept. 18 to 22. Burger King will also be giving out free Cheeseburgers with any purchase on Sept. 18. For more People news, make sure to sign up for our newsletter! Read the original article on People. One person has died and two others are injured after a house exploded in northern Ohio on Friday. [DOWNLOAD: Free WHIO-TV News app for alerts as news breaks] TRENDING STORIES: The explosion was reported around 1:30 p.m. on Hook Road in Springfield Township, which is in Richland County, according to WOIO in Cleveland. When first responders got to the scene, they found the home to be leveled and the basement on fire. A man and woman were inside the home. The woman died from her injuries, WEWS reported. The man was taken to an area hospital and his condition is currently unknown. There was also a woman outside the home when it exploded. She was also taken to the hospital with injuries. Her condition is unknown at this time. The State Fire Marshal was asked to assist the Springfield Township Fire Department in investigating the cause of the explosion. [SIGN UP: WHIO-TV Daily Headlines Newsletter] A suspect remains at large after a shooting left a man hospitalized in L.As Vermont Square neighborhood Friday night. Officers responded to reports of a shooting near Normandie Avenue and 49th Street at around 7:30 p.m., according to the Los Angeles Police Department. Arriving officers located a victim with gunshot wounds at the scene. He was described as a man in his 30s and was found unconscious but still breathing. He was rushed to the hospital where he remains in unknown condition Friday night. A gunman remains at large after a shooting left a man hospitalized in the Vermont Square neighborhood of Los Angeles on September 13, 2024. (Citizen) A gunman remains at large after a shooting left a man hospitalized in the Vermont Square neighborhood of Los Angeles on September 13, 2024. (Citizen) A gunman remains at large after a shooting left a man hospitalized in the Vermont Square neighborhood of Los Angeles on September 13, 2024. (Citizen) A gunman remains at large after a shooting left a man hospitalized in the Vermont Square neighborhood of Los Angeles on September 13, 2024. (Citizen) A gunman remains at large after a shooting left a man hospitalized in the Vermont Square neighborhood of Los Angeles on September 13, 2024. (Citizen) A gunman remains at large after a shooting left a man hospitalized in the Vermont Square neighborhood of Los Angeles on September 13, 2024. (Citizen) The suspect fled the scene in an older model Lincoln sedan. He is described by police as a Black man around 30 to 40 years old. The weapon used by the shooter was a semiautomatic handgun, LAPD confirmed. No further details on the suspect were released. The circumstances leading up to the shooting remain under investigation. Anyone with information is asked to call LAPD at 1-877-527-3247. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTLA. 1 killed, 1 hurt after pickup truck allegedly runs through fence onto I-65 in Warren County BOWLING GREEN, Ky. (FOX 56) A Bowling Green man has died, and an Ohio man has been taken to the hospital after a crash on I-65 at the 22-mile marker on Friday. According to the Kentucky State Police Department (KSP), troopers were called around 7 p.m. on Friday about a crash on I-65. Search continues for suspected I-75 shooter Joseph Couch in rugged Kentucky terrain A preliminary investigation by law enforcement showed that Manuel Garcia, 37, of Bowling Green was driving a 1997 Ford F-150 on Cumberland Trace road when he allegedly drove off the road, ran through a fence, and entered I-65 heading north. When Garcias F-150 entered the interstate, he allegedly crashed into a truck driven by Abdiaziz Shirdon, 35, of Columbus, Ohio, KSP said in a news release. LATEST KENTUCKY NEWS: Garcia was pronounced dead at the scene by the Warren County Coroners Office, authorities said. Shirdon was taken to the Bowling Green Medical Center where he was treated for his injuries. The investigation into the crash remains ongoing. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 56 News. BEIJING, Sept. 14 (Xinhua) -- A Chinese defense spokesperson on Saturday expressed firm opposition to other countries' actions aimed at provoking China or harming China's sovereignty on the pretext of "freedom of navigation." Wu Qian, spokesperson for China's Ministry of National Defense, made the remarks in response to a question about two German warships sailing through the Taiwan Strait on Friday, citing "freedom of navigation." Naval and air force troops of the People's Liberation Army Eastern Theater Command monitored the warships throughout the transit, Wu said, noting that freedom of navigation does not equal willful trespassing, nor should it be used to provoke China or harm China's sovereignty and security. The Chinese military remains on high alert and will take all necessary measures to resolutely defend China's national sovereignty and territorial integrity, and firmly safeguard peace and stability across the Taiwan Strait, he said. These 11 Sacramento coffee shops are now serving customers Sacramentos burgeoning coffee scene continues to expand, with new spots featuring global brews, unique breakfast bites, and community-driven atmospheres. Highlights include Sips & Scoops offering classic Italian treats, Anchor & Tree Coffee Roasting Co.s educational roasting experiences, and Zoe Coffee and Tacos traditional breakfast tacos. For more food and drink news, subscribe to our newsletter. The summary above was drafted with the help of AI tools and edited by journalists in our News division. All stories below were reported, written and edited by McClatchy journalists. Iced coffe with vanilla ice cream called affogato in Italy on a rustic wooden table No. 1: New Sacramento-area cafe serves up coffee, ice cream. Heres the scoop A new cafe is serving up coffee and ice cream at a Sacramento-area mall. | Published June 25, 2024 | Read Full Story by Hanh Truong Anchor and Tree Coffee Roasting Co. storefront in midtown, Sacramento on Tuesday, Feb. 13. No. 2: Sacramento coffee shop opened in midtown at old yoga studio. Whats on the menu? A locally-owned coffee roaster recently opened its first coffee shop in midtown Sacramento. | Published Feb. 13, 2024 | Read Full Story by Jacqueling Pinedo Terra Forte co-owners Miriam Ponce (left) and Francisco Quintero (right) pose for a photo behind their coffee bar at 1125 Second St. on Friday, March 1, 2024. The coffee shop opened on Saturday, Feb. 24, 2024. No. 3: Want a cup of joe from Mexico? Colombia? This Sacramento coffee shop has you covered A new coffee shop opened in Sacramento with a mission it hopes will set it apart from competitors. | Published March 2, 2024 | Read Full Story by Brianna Taylor No. 4: This new Latin American-inspired cafe opened in Sacramento. See where and the prices The cafe is open from 7 a.m. to 4 p.m., seven days a week. | Published Jan. 30, 2024 | Read Full Story by Jacqueline Pinedo No. 5: Sacramentos newest coffee shop serves breakfast tacos on homemade tortillas. Where is it? The menu includes pupusas and cornmeal jalapeno and cheddar scones. | Published June 3, 2024 | Read Full Story by Jacqueline Pinedo No. 6: New coffee shop opens in Elk Grove with drinks and bites. Its been amazing, owner says Tule Coffeehouse in Elk Grove opened its doors on April 30. | Published May 10, 2024 | Read Full Story by Brianna Taylor Persimmon trees planted many years ago by Moinca Guillens father, Bonifacio Ulatan, now shade the patio and are used in some of the dishes prepared at the Tree House Cafe in West Sacramento on Wednesday, Aug. 11, 2021. No. 7: Magical West Sacramento cafe embodies farm-to-fork with fruit grown on site. What I ate This coffee destination opened in 2019, but our reporter Brianna Taylor recently checked it out with a $25 budget and her new favorite book. Heres what happened. | Published July 27, 2024 | Read Full Story by Brianna Taylor No. 8: New juice, tea and coffee bar now open in this Sacramento County city. It has a specialty The spot sells fruit tea, smoothies and coffee. | Published March 27, 2024 | Read Full Story by Hanh Truong No. 9: New coffee shop opens in this Sacramento neighborhood. Whats on the menu? The cafe specializes in Yemeni-style coffee and small bites. | Published April 29, 2024 | Read Full Story by Angela Rodriguez No. 10: Brazilian coffee shop returns to midtown Sacramento with a second location. See where The local coffee shop chain moved its flagship store to downtown in 2023. | Published Feb. 7, 2024 | Read Full Story by Hanh Truong Purple Tree Cafe employee Tone Martinez rings up a customers order on Thursday, June 6, 2024, at the cafe, which is housed inside the MIND Institute at the UC Davis Medical Center in Sacramento. Martinez, who is on the autism spectrum, works up to nine hours a week at the cafe. Many of the cafes employees have mental, physical and/or developmental disabilities No. 11: At this Sacramento cafe, you can support people with disabilities by buying vegan pastries Most pastries are wheat-free in addition to being vegan, such as peanut butter-chocolate chip cookies and coconut macaroons, and all cost less than $4. | Published June 13, 2024 | Read Full Story by Benjy Egel This report was produced with the help of AI tools, which summarized previous stories reported and written by McClatchy journalists. It was edited by journalists in our News division. JACKSONVILLE, Ill. (WCIA) A 13-year-old is facing legal trouble in Jacksonville after police said the teen implied they had a gun at Jacksonville Middle School. Police officials said the Investigative Division was made aware that on Thursday, the teen made verbal statements to others saying he had a gun. These statements were made outside the school building at the end of the school day. After speaking to all of the parties involved, officials said they determined no gun had ever been displayed or seen. They also determined that the teen did not have a gun at the time of the incident and did they have access to guns at their home. Nonetheless, the teen was still placed under arrest for disorderly conduct and was later released to a family member. The case will be turned over to the Morgan County States Attorneys Office and the Morgan County Juvenile Probation Department. More Central IL school districts address threat trend This incident came amidst a nationwide surge in threats toward schools this week. The day before investigating the teenagers statements, Jacksonville Police also investigated potential threats toward the school district. Those threats were deemed not credible, officials said, and they were not connected to the statements the teenager made. The Jacksonville Police Department, along with Jacksonville School District 117, are committed to the safety and security of the students and faculty, department officials said. We encourage anyone with information regarding online or in-person threats at our schools to report them immediately. The Jacksonville Police Department wishes to thank all of those parents, faculty, and students who quickly reported all of the events that transpired this week. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WCIA.com. BRANDON, Miss. (WJTV) Brandon police are investigating after an 18-year-old motorcyclist died due to a collision with another vehicle. The crash occurred on Highway 18 at West Sunset Drive around 4:30 p.m. on Friday, September 13. Inmate dies after being found unresponsive at federal prison in Mississippi Brandon police said a green 2024 Kawasaki motorcycle and a gray 2005 Chevrolet Tahoe collided at the intersection. The motorcyclist was identified as Greyson Huff, 18, of Brandon. Huff was treated at the scene by Brandon Fire Department and transported by Pafford Ambulance to Merit Health Rankin. He died at the hospital, according to police. The Mississippi Highway Patrol (MHP) Accident Reconstruction Team responded to assist in the investigation of the crash. Police said this is an ongoing investigation, and criminal charges are possible. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJTV. AUSTIN (KXAN) A nearly $3 million grant from the Texas Education Agency is helping expand STEM educational access to Texas students statewide. Since 2020, Learning Undefeated has operated a mobile STEM lab that travels around Texas to bring hands-on learning opportunities to students. With the one mobile STEM lab, Learning Undefeated serves approximately 30 schools each year; following the grant award, the organization will expand its fleet to nine mobile labs, allowing connections with hundreds of schools annually. Janee Pelletier, Learning Undefeateds chief marketing officer, said hundreds of teachers request visits from the mobile labs. Now, she said the organization will be able to meet more of that demand and offer robust STEM learning for students in kindergarten through eighth grade. Its hard for us to get hundreds of requests and only have one mobile lab to serve the entire state, she said. So were very excited to be building a Texas-sized program for this big state of ours. And with the new program, well be able to see almost 300 schools every year. Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Students participating in lessons in Learning Undefeateds mobile STEM labs (Courtesy Learning Undefeated) Learning Undefeated offers 16 different activities on the mobile STEM labs, incorporating math, reading, engineering and scientific methods into the lessons. The various lessons cater to different age groups, Pelletier said, such as kindergarteners learning about how to build a better house to withstand hurricane-level winds from none other than the Three Little Pigs. Students are challenged with problems, so they design something, they build it, test it, try again and then ultimately come to a solution, she said. While Learning Undefeated serves at least one school campus in each of Texas educational regions, Pelletier said the organization does laser in on rural communities that might not have the same field trip opportunities or hands-on learning access that more urban areas do. TEXAS STEM NEWS: UT launches nations first undergrad robotics program that allows freshman applicants Right now, two additional mobile labs are being manufactured. Its sort of like building the shell of a bus or a camper, she said. They build the outside first, and then it gets all of its wiring and all of the bells and whistles. Those two labs are projected to roll out in January, with a complete nine-lab fleet expected to be operational by August 2025. From there, Pelletier said theyll operate regionally to maximize the time spent on school campuses and minimize time spent on traveling across the state. That time spent in classrooms is critical, she added, noting reaching students at an early age can instill in them not only the skillset needed to thrive in the STEM-based fields but also the curiosity, perseverance, grit and resilience to serve them in life. By reaching these students at an early age, they will develop the confidence that they need to understand that there is a place in science, technology, engineering and math for them, Pelletier said. So whether or not these students choose a STEM career, and hopefully they will, but even if they dont, were hoping that were developing humans with the capacity to be innovative and to be creative and resilient to help move us forward into the many years to come and invent amazing new things. Learning Undefeated outlines resources online for Texas teachers interested in requesting a mobile STEM lab visit. More details on the organization are available online. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KXAN Austin. BOISE, Idaho (ABC4) An explosion at an Idaho gas station on Wednesday left two dead and two others critically injured, according to the Idaho Dept. of Insurance. The explosion occurred at a gas station in Cardiff, Idaho, Wednesday afternoon. Two were taken to the hospital with severe burns and it was believed there were other victims. Investigators from the Idaho State Fire Marshals Office sifted through the debris of the fire Thursday morning. The focus Thursday morning was the difficult task of locating any victims. Unfortunately, two victims were located and turned over to the custody of the Clearwater County Coroners Office, the department stated in a press release. The State Fire Marshals Office offers its heartfelt condolences to the family of these two victims and hopes for a speedy recovery to the others injured in this devastating incident. Family of Utahn sentenced to death in Congo speaks out Courtesy of Clearwater County The amount of fuel around the scene due to the explosion proved to be an obstacle for investigators, officials said. An investigation team from the National Transportation Safety Board (NTSB) and the Occupational Safety and Health Administration (OSHA) is assisting with the investigation into the cause of the explosion. It is still way too early to even speculate the cause of this tragic event, Idaho State Fire Marshal Knute Sandahl said. Piecing together the events leading up to the explosion and fire could take several weeks. We must be methodical and thorough. This is an evolving, time-consuming, and complex investigation. Collaboration and cooperation with everyone involved in this investigation is paramount. We ask for patience while we piece together the events leading up to incident, Sandahl said. The identities of the deceased have not been released at this time. No further information is currently available. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. ROCHESTER, N.Y. (WROC) Two people from Rochester are accused of robbing a postal worker of his keys and stealing mail last year. Shyasia Kelis McCullough, 23 and Malik Shameer McCray, 24, face a number of charges in the case, including unlawful possession of USPS mailbox keys, mail theft, robbery of a USPS letter carrier and conspiracy. According to prosecutors, June 5, 2023 the pair worked together to rob a letter carrier of his keys on Lake Avenue in Rochester. Its alleged McCray ran up, stole the keys off the carrier quickly without speaking, then took off into a nearby vehicle (identified as a 4Runner) which was initially parked on Birr Street. The letter carrier sustained a minor scratch during the incident, according to court paperwork. According to investigators, the texts above show McCullough (or Eskay S.K for Shyasia Kelis) encouraging McCray to rob the carrier, writing U [McCray] gotta scare him [the carrier] and make sure he dont see u again bro. Jus get up on him when he least expectedIt 100,000 [allegedly the cash reward for the crime] on the line take a deep breath and jus go for itHe not stronger then you. (Photos provided). The two were later arrested in Gates on June 9, 2023 after the vehicle they were allegedly driving was spotted by a Gates Police officer. Around midnight that day, the officer pulled the driver of the 4Runner over. According to prosecutors, McCray was driving, and McCullough was his passenger. McCray was initially arrested at the scene for traffic violations, and McCullough was taken into custody to be interviewed by investigators when she allegedly failed to provide officers with documentation of why she had restrictions on her license. That same day, officers executed a federal search warrant on the 4Runner where they said they found numerous pieces of evidence, including numerous cell phones, ski masks, blank check stock paper, invoices, and portions of what appeared to be invoice remittance slips, bank credit/debit cards and identifications in names other than MCCULLOUGH and MCCRAY. A few days later on June 11, 2023, an officer found what appeared to be the stolen keys in his patrol car on the ground; the same vehicle that was reportedly used to transport McCullough on June 9. This image was sent to a contact in McCrays iPhone June 5, 2023, the same day the robbery occurred, according to investigators. (Photo provided). Investigators recovered numerous messages between McCullough, McCray and at least one other person allegedly from before, during and after the robbery. (Note: no one else mentioned in the complaint (ie: Roy, as pictured below) was reportedly charged in this incident, its unclear what their full role was, if anything). Investigators said they believe this text (from June 6, 2023, the day after the robbery) shows McCullough suggesting that McCray gets paid $100 for every piece [they] hit in exchange for his role in the robbery. (Photo provided). Note: News 8 did censor vulgar language visible in this photo. Days later on June 8, 2023, its alleged McCullough (named Lokdin in this chat) started a new conversation. Investigators claim the conspiracy often stole from USPS collection boxes on Friday, Saturdays and Sundays in the area of East Avenue and University Avenue. (Photos provided). Note: News 8 did censor vulgar language visible in this photo. The charges the suspects are facing carry a maximum sentence of ten years in prison. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to RochesterFirst. 20-year-old gang member sentenced to 30 years in prison in 2022 Fort Worth fatal shooting A 20-year-old former street gang member was sentenced to 30 years in prison in connection to a 2022 shooting that killed a man, according to the Tarrant County District Attorneys Office. Ivan Powes sentence comes as he pleaded guilty to charges of murder, engaging in organized criminal activity, and aggravated assault with a deadly weapon, court documents show. The District Attorneys Office said Powe shot into a crowd of people on Nov. 30, 2022, leading to the death of 32-year-old Cortney Deshun Guy. When Fort Worth police responded to the shooting call that day in the 1500 block of East Robert Street, they found both Guy and a 45-year-old woman shot. Guy died and the woman was critically injured, police said. Officers arrested the first suspect that day, 26-year-old Jerome Hall, when he attempted to flee the scene in a vehicle, ensuing a chase, according to police. Hall was charged in Dec. 2022 with evading arrest and was later charged with murder. Court documents show Hall is also facing charges of aggravated assault with a deadly weapon and engaging in organized criminal activity. He faces anywhere from 15 years to life in prison if convicted. His plea bargain requests 25 years in prison, according to court documents. 23andMe is close to settling a proposed class action lawsuit filed against the company over a data breach that compromised 6.9 million users' information. According to the preliminary settlement filing, the DNA testing company has agreed to pay $30 million to affected customers, as well as to conduct annual computer scans and cybersecurity audits for three years. A website will be built to notify people eligible to a portion of the settlement fund and to facilitate payments. Affected users will also be sent a link where they can delete all their information from the service, and they'll be able to enroll to a three-year Privacy & Medical Shield + Genetic Monitoring program for free. A judge still has to approve those terms. In October 2023, the company admitted that the DNA Relatives profile information of roughly 5.5 million customers and the Family Tree profile information of 1.4 million DNA Relative participants had been leaked. It later revealed in a legal filing that the bad actors started breaking into customer accounts in late April 2023 and that they had access to its systems until September that year. It said that the hackers used a technique called credential stuffing, which uses previously compromised login credentials to access customer accounts. The breach led to several class action lawsuits filed against the company, including one that accused 23andMe of failing to notify the plaintiffs that they were specifically targeted for having Chinese and Ashkenazi Jewish heritage. In the settlement agreement [PDF] for the consolidated lawsuit, 23andMe noted that it "denies the claims and allegations set forth in the Complaint" and that it "denies that it failed to properly protect the Personal Information of its consumers and users." According to Reuters, 23andMe describes its financial condition as "extremely uncertain." In its financial report for the 2024 fiscal year, it revealed that it earned a total revenue of $220 million, down 27 percent from a $299 million revenue the year before. A huge chunk of the settlement money will come from cyber insurance, though, which the company expects to cover $25 million out of the $30 million total. Three Americans were sentenced to death by a military tribunal in Kinshasa on Friday, along with 34 other participants in a failed coup this summer in the Democratic Republic of Congo. 21-year-old Marcel Malanga, 36-year-old Benjamin Reuben Zalman-Polun, and 21-year-old Tyler Thompson were among 50 suspects for whom prosecutors sought the death penalty, though 14 were acquitted. Malanga is the son of coup architect Christian Malanga. At the time of the attempted overthrow of DRC President Felix Tshisekedi in May, the American DRC Ambassador Lucy Tamlyn condemned the coup attempt and promised U.S. cooperation. We are cooperating with authorities in DRC to the fullest extent possible, as they investigate these criminal acts and hold accountable any American citizen involved, she wrote in a post to X. State Department spokesperson Matt Miller told NBC News that the U.S. would continue to monitor proceedings as the men appealed, noting that the U.S. wouldnt pass judgment on the threes guilt. We understand that the legal process in the DRC allows for defendants to appeal the courts decision," Miller said. The U.S. has not declared the three men wrongfully convicted. The May 19 coup attempt targeted Economy Minister Vital Kamerhe and other Congolese officials after President Tshisekedi postponed a parliamentary election. It was quickly foiled by security forces, leaving six dead, including four coup participants. The central African country went through decades of civil wars and internal strife for decades before ratifying its constitution in 2006 and holding its first multi-party, free elections since 1960. Minor skirmishes between political factions have continued in the decades since. Tshisekedi was elected to the presidency in 2018 and again in 2023, though detractors have refused to accept the latter election's results. 3 arrested in murder of Bedford man who was shot, burned inside his own car, officials say Three suspects, including a juvenile, have been arrested in connection with the shooting death of a 20-year-old Bedford man whose body was discovered inside a burning car in East Texas, officials said. On Sept. 1, the Rusk County Sheriffs Office and fire units responded to a vehicle fire. Human remains were discovered inside the car, according to a social media post from the sheriffs office. Investigators suspected foul play and sent the body to Dallas for identification. The victim, Kameron Montre Anderson of Bedford, was found to have been shot several times, officials said. Smith County Sheriff Larry Smith said at a Sept. 7 press conference that they believe Anderson was lured to the area through social media and was shot at a Smith County residence. His body was placed inside the trunk of his own vehicle, which was driven to neighboring Rusk County and set on fire. Rusk County Sheriff Johnwayne Valdez told reporters at the press conference that investigators have been in touch with Andersons parents and are trying to piece together his life. What kind of guy was he? What did he do? What were his hobbies? Those things are crucial in this investigation, Valdez said. Because thats the only way were going to find out what could have possibly made this case start to evolve to the point to where he lost his life and was burned up in his own car. Andersons parents have described their son as your normal, everyday kid, according to Valdez. The victim lived with his mother, and theres been nothing to indicate Anderson was involved in something that could have led to his murder. He was somewhat of a gamer, he just loved life, loved being around his family, his friends, Valdez said. The two sheriffs offices, along with the Texas Rangers, are cooperating on the investigation. Eighteen-year-old Nathaniel Hall from Troup was identified as a potential suspect, and a warrant was issued for his arrest. On Sept. 4, a police officer in Tyler initiated a traffic stop on a vehicle with five people, and one of them turned out to be Hall. He had an AR-15 rifle and a 9 mm handgun in his possession that were found to be stolen. The 9 mm handgun has been submitted for testing, but Smith and Valdez declined to share information about what type of weapon was used to kill Anderson and how many times he was shot, citing the ongoing investigation. Hall has been booked into the Smith County Jail on a capital murder charge. His bond was set at $2 million, according to officials. Investigators interviewed Halls mother and sister, and learned he was hanging out with 21-year-old Jasmine Williams of Tyler and a 16-year-old friend the night of the murder. According to Smith, a witness overheard them talking about doing a rip, which means to rob someone in street terminology. The 16-year-old, who hasnt been identified because of their age, was located at a Tyler apartment complex. The juvenile attempted to flee, but was eventually arrested by Tyler police and booked into the Smith County Juvenile Detention Center. Williams was surrendered to the Smith County Sheriffs Office by her mother on Sept. 8 and is currently being held in the Smith County Jail on a $1 million bond, officials said. Theres no indication that Anderson knew any of the suspects. Officials arent looking for any other suspects, and said there is no ongoing threat to the community. Investigators are working to get information from the victims home computer, which is how they believe Anderson was contacted and lured to East Texas. They are also trying to get information from the cloud connected with the victims phone. This is gonna be a case thats going to last a while, Valdez said. When youre dealing with a capital murder suspects, you want to make sure that everything is done the way it should be done. More top stories from our newsroom: See how your school did in Fort Worth ISD A-F scores Public calls for firing of officer who shot driver after hit-and-run Candidates threaten to sue Tarrant County over voter access [Get our breaking news alerts.] China's rapidly expanding global economic presence is underscored by its ability to host major trade fairs like the China International Fair for Trade in Services (CIFTIS), according to a Turkish scholar. #GLOBALink On Thursday, President Joe Biden celebrated the 30th anniversary of the passage of the Violence Against Women Act. (VAWA). He had reason to celebrate because he, as a U.S. senator then, authored the legislation that forged a new path forward for survivors of gender-based violence. Through the tireless advocacy of tribal leaders, Native advocates each VAWA Reauthorization has improved public safety for tribal communities, as the first comprehensive federal law to focus on preventing and addressing violence against women and to provide justice and support for survivors. Never miss Indian Countrys biggest stories and breaking news. Click here to sign up to get our reporting sent straight to your inbox every weekday morning. The promise of VAWA is being turned into action as the Biden-Harris administration continues to implement the VAWA Reauthorization Act of 2022s expanded protections and services, including for survivors from underserved and marginalized communities, as well as investments in prevention, and new protections against online harassment and abuse. To mark the 30th anniversary of this landmark legislation, today the Biden-Harris administration announced new actions to increase funding to address gender-based violence and support survivors; meet the housing needs of survivors; address online harassment and abuse; support states in using federal funds to keep guns out of the hands of domestic abusers; strengthen enforcement to narrow the boyfriend loophole; and support youth exposed to domestic violence. Democratic National Commitee Native Causus Chair Clara Pratte (Navajo) issued this statement to commemorate the 30th anniversary: Thirty years ago, as a leader in the Senate, Joe Biden brought members of Congress together to pass the historic Violence Against Women Act to address domestic violence, stalking, and sexual assault. Thanks in part to this groundbreaking legislation and its subsequent reauthorizations, instances of domestic violence have declined significantly, dropping 67% from 1993 to 2022. While VAWA was an important step forward in putting an end to domestic violence, it is our duty to continue to fight for a safer country for future generations of women and girls. The Violence Against Women Act Reauthorization Act of 2022, built upon the legacy of this historic law, included much needed expansion of special criminal jurisdiction of Tribal courts to cover non-Native perpetrators of sexual assault, child abuse, stalking, sex trafficking, and assults on Tribal law enforcement officers on Tribal lands. The act also supports the development of a pilot project to enhance access safety for survivors in Alaska Native villages. On this VAWA anniversary, we remain committed to building upon this law to put an end to the epidemic of domestic violence so that all Americans can live their lives safe from abuse and violence. About the Author: "Native News Online is one of the most-read publications covering Indian Country and the news that matters to American Indians, Alaska Natives and other Indigenous people. Reach out to us at editor@nativenewsonline.net. " Contact: news@nativenewsonline.net Four senior ISIS leaders were killed in last month's U.S.-Iraqi military raid in western Iraq including the group's top operations leader in Iraq and its chief bombmaker for whom the United States had offered a $5 million reward, the U.S. military said Friday. The four ISIS leaders were among the 14 ISIS fighters killed in the Aug. 29 raid on four locations in western Iraq. Seven American service members who participated in the raid were injured. PHOTO: The Pentagon seal in the Pentagon Briefing Room in Arlington, Va., on Sept. 1, 2021. (Andrew Harrer/Bloomberg via Getty Images, FILE) "This operation targeted ISIS leaders and served to disrupt and degrade ISIS' ability to plan, organize, and conduct attacks against Iraqi civilians, as well as U.S. citizens, allies, and partners throughout the region and beyond," the United States Central Command (CENTCOM) said in a statement on Friday. MORE: US carries out defensive airstrike in Iraq: Officials "As part of the on-going post-raid assessment, CENTCOM can confirm that four ISIS leaders were killed including: Ahmad Hamid Husayn Abd-al-Jalil al-Ithawi, responsible for all operations in Iraq, Abu Hammam, responsible for overseeing all operations in Western Iraq, Abu-'Ali al-Tunisi, responsible for overseeing technical development, and Shakir Abud Ahmad al-Issawi, responsible for overseeing military operations in Western Iraq," it added. Abu Ali al-Tunisi was described by the Rewards for Justice website as "the leader of manufacturing for ISIS in Iraq." "He has conducted training for ISIS members, including instruction on how to make explosives, suicide vests, and improvised explosive devices (IEDs). Al-Tunisi also provided advanced training on weapons development and the manufacturing of chemical weapons." CENTCOM had said earlier that some of the ISIS militants in the raid were armed with grenades and were wearing explosive suicide belts. 4 ISIS leaders killed in raid that left 7 US service members injured originally appeared on abcnews.go.com 4 killed as heaviest rain in decades hits parts of central and eastern Europe At least four people have died, thousands of homes have been damaged and hundreds have been evacuated after some of the heaviest rain in years hit central and eastern Europe. A slow-moving low pressure system dubbed Storm Boris dumped a months worth of rain onto several of Europes historic capitals, including Vienna, Bratislava and Prague. Four people have died in Romania, where the rainfall left hundreds stranded in flooded areas. Rescue services have been launched in hard-hit counties as authorities warn that they have recorded the heaviest rainfall in 100 years over the past 24 hours. Rescuers assist the locals on a flooded street in Pechea, Romania, on Saturday. - Romanian Emergency Services Galati via AP A car drives on a flooded street in Braunau am Inn, Austria. - Manfred Fesl/APA/AFP/Getty Images The effects were most critical in seven localities, Romanias Interior Minister Catalin Predoiu told CNN affiliate Antena 3. They have already intervened there to save 95 people. Sadly four people have been found dead in their houses or yards. Rivers burst their banks in Poland and the Czech Republic. In Polands south, authorities ordered the evacuation of residences in the town of Glucholazy. The level of the river Biala Glicholaska rose by two meters, or 6.5 feet, overnight into Saturday. After a difficult night and hundreds of incidents reported Polands Interior Minister, Tomasz Siemoniak told TVN24 they were focusing on what the threats will be in the next few hours. Significant flooding is expected to continue in the Czech Republic, where authorities have ordered mandatory evacuations for some areas. Footage released by the Czech Republic Fire and Rescue Service showed flooded streets in the southern Benesove nad Cernou municipality, where two women who didnt follow evacuation orders had to be rescued by boat. Firefighters fill sand bags in Glucholazy, southern Poland. - Sergei Gapon/AFP/Getty Images A flooded house during heavy rain in Mikulovice, Czech Republic. - Gabriel Kuchta/Getty Images The swollen Biala River in Glucholazy, southern Poland. - Sergei Gapon/AFP/Getty Images In Germany, southern and eastern states in particular are preparing for flooding. Flood warnings have been issued for rivers in the state of Saxony. In neighboring Austria, heavy rainfall has caused water levels to rise in several rivers, leading to rescue services being called out to parts of the country overnight. Widespread and significant flooding is expected to continue through the weekend. Red alerts, the highest level of warning, have been issued for portions of Poland, Germany, the Czech Republic, Austria and Slovakia. This level of alert is associated with intense meteorological phenomena and major damage is likely, according to Meteoalarm. CNN meteorologists Taylor Ward, Allison Chinchar, and Elliana Hebert contributed to this report. For more CNN news and newsletters create an account at CNN.com When you buy through links on our articles, Future and its syndication partners may earn a commission. At first archaeologists thought they had unearthed copper wire, but in reality, they found four Viking Age silver bracelets. . | Credit: Volker Demuth, Archaeological Museum, University of Stavanger Archaeologists in Norway have discovered a Viking Age treasure that had remained "untouched" for more than 1,000 years. The four silver bracelets had been buried nearly 8 inches (20 centimeters) in the ground on a mountainside in Ardal, a village in southwestern Norway, according to a translated statement from the University of Stavanger . "This is definitely the biggest thing I have experienced in my career," Volker Demuth , an archaeologist and project manager at the Archaeological Museum at the University of Stavanger, said in the statement. Archaeologists found the bracelets ahead of construction of a new tractor road. Because some of the ninth-century jewelry had twisted designs, researchers initially thought they had unearthed copper wires in the soil. "At first I thought it was a question of some twisted copper wires that you can often find in agricultural land, but [then] I saw that there were several lying next to each other and that they were not copper at all, but silver," Ola Tengesdal Lygre , a staff engineer at the Museum of Archaeology at the University of Stavanger, said in the statement. "I realized that we had found something exciting." Related: 'Spectacular silver treasure' from Viking Age unearthed by college student on farm in Denmark Further exploration revealed that the location once housed a "large and powerful" Viking Age (A.D. 793 to 1066) farm comprising multiple houses for people and animals, according to the statement. The researchers found the buried bracelets within one of the smaller structures, which likely housed enslaved individuals. "This is a unique find, because we very rarely find such objects exactly where they were placed," Demuth said. "As a rule, such valuable objects are discovered on fields that have been plowed, where an object has been completely taken out of its original context. Since the silver hoard has not been moved, it can give us completely new insights into life and society in the Viking Age." In addition to the jewelry, researchers discovered an array of artifacts, including soapstone pots, rivets, knife blades and whetstones for sharpening tools. There's also evidence that the farm had been burned down, which "coincides with a period of great unrest in the Viking Age," according to the statement. RELATED STORIES Viking sword with 'very rare' inscription discovered on family farm in Norway Norwegian family finds 1,200-year-old Viking treasure while searching for lost earring in their yard Viking Age ship burial may be hiding beneath Norwegian farm, iron rivet hints "If people who lived on this farm had to flee from an attack, it would be natural to hide away the valuables you had before escaping to the mountains," Demuth said. "And perhaps in a place where you would not have thought that a treasure was hidden." The researchers noted that Norway didn't have silver mines at that time, so the silver for the bracelets likely came from abroad, likely through trade, gifts or looting. However, they're not the only silver jewelry found in this region; the bracelets' design resembles that of silver necklaces found in Hjelmeland in 1769, according to the statement. The block of earth surrounding the bangles has been X-rayed at the Archaeological Museum. Next, the researchers plan to take soil samples to learn, for instance, whether the bracelets were wrapped in cloth during burial. More than 44 years after 23-year-old Mary Robin Walter was found murdered in her trailer home in Great Bend, one of her former neighbors has been sentenced for killing her. Back in the 1980s, after she was shot to death, the case went cold. Authorities over the years would occasionally revisit it. Dozens of law enforcement officers looked at it. But to no avail. That was until 2022, when a sharp detective convinced the Barton County sheriff to reopen and examine the evidence using contemporary techniques and technology developed long after Walters killing. The sheriff, Brian J. Bellendir, said he was skeptical authorizing manpower and resources for such an old crime. But the sleuthing paid off when evidence pointed to Steven L. Hanks as the culprit. The four-decade wait for justice culminated Thursday in a judge handing down a 10- to 25-year prison sentence to Hanks, now 70. Steven L. Hanks was arrested in 2022 after he confessed to killing Mary Robin Walter in Great Bend in 1980. A neighbor of Walters, he was a suspect early on, but the case went cold. Authorities reopened the case and conducted new interviews - including of him - in 2022. The Kansas Attorney Generals Office said Friday that Hanks confession during a new interview finally solved the case. I was 18 years old and a senior in high school when this homicide occurred. I remember it well, Bellendir wrote in a Friday news release announcing Hanks sentence. By 1982 I had started with the Sheriffs Office as a reserve deputy and have been associated with the Barton County Sheriffs Office ever since. I worked for the four Sheriffs that preceded me and this homicide has haunted all of us. It bothers me that many of the people who were so affected by this tragic crime have since passed away prior to bringing the suspect to justice. I consider myself fortunate that I had the resources and the diligent personnel to close this case. The credit for solving this homicide goes to the dedicated officers that had the tenacity to bring it to a conviction. In all, it took 44 years, 7 months and 19 days from the date of Walters murder until Hanks learned he would spend the next several years locked up. No conclusive evidence Walter, a young wife and mother going to nursing school at Barton County Community College, was shot multiple times at Nelson Trailer Park in Great Bend, where she lived, on Jan. 24, 1980. Police got the call about a homicide there around 6:50 p.m. that day. The trailer park, like many things associated with cases so old, no longer exists. It was adjacent to the site of the Great Bend Municipal Airport, The Eagle previously reported. Officers found the murder weapon, a .22-caliber handgun, at the scene. But exactly who was responsible for such a brutal crime eluded them. Hanks, who was 25 and a neighbor at the time, was among early suspects who were interviewed, but he was never arrested or prosecuted, likely because no conclusive evidence was discovered, according to Bellendirs release and previous reporting by The Eagle. Mary Robin Walter was living in this trailer home in Great Bend when she was murdered in 1980. She was a young wife and mother who was attending nursing classes at the local community college. Despite the investigative efforts of the Great Bend Police Department, the Barton County Sheriffs Office and the Kansas Bureau of Investigation, the case went cold. And many people, including Hanks, moved on. But it wasnt long before Hanks was caught up in another crime, a 1981 Barton County case involving a rape, aggravated burglary, aggravated robbery and aggravated battery that landed him in prison until 1991, when he was released on parole in Cowley County. Fresh eyes, new interviews & a confession When Detective Sgt. Adam Hales decided to put his efforts into the Walter murder case in mid-2022, no one had actively investigated it since at least 1982, Bellendir said previously. Lt. David Paden and Detectives Travis Doze and Brian Volkel put their eyes on the case, too. The fresh look made clear that some information had been initially overlooked and some had been added at a later date that the original investigators hadnt been aware of, Bellendir said in 2022. The officers spent the next stretch consolidating hundreds of documents accumulated over 40 years into an organized case file, indexing items and figuring out what interviews were there and what information was missing. They then submitted DNA for testing (although that didnt lead anywhere) and conducted several interviews, although many of the original witnesses and investigating law enforcement officers had died by then. Eventually, their diligence paid off. By October 2022, new evidence had been uncovered. Sgt. Detective Adam Hales and Lt. David Paden re-interviewed Hanks. ... In his interviews, Hanks admitted to killing Walter, the Kansas Attorney Generals Office said in a news release Friday. It was enough to lead in December 2022 to a warrant and arrest for Hanks, who was still in Cowley County, living in Burden, at the time. Mary Robin Walter was killed at her home at Nelson Trailer Park in Great Bend in 1980. The park no longer exists. Her murder was finally solved after four decades in 2022. Oldest Kansas murder case solved yet The arrest was the oldest linked to a homicide case in Kansas at the time, Bellendir has said. It also closed the last open homicide case that the Sheriffs Office was the lead agency on, he said. We believe this is the oldest cold case in the State of Kansas to be solved and result in a conviction, Bellendir said Friday in his news release. In April of this year, a judge bound Hanks over for trial on one count of second-degree murder following a preliminary haring. In August, Hanks changed his not-guilty plea to guilty, court records show. He was sentenced Thursday, about a month ahead of when he would have been due in court for a jury trial had he not taken a plea, according to court records. Because the crime happened in 1980, Hanks was sentenced under the state law in effect at that time, which called for a 5- to 25-year sentence and not under current statute, Bellendir said. But Barton County District Judge Steve Johnson departed from Hanks plea agreement and doubled the minimum amount of time he must serve. Johnson ruled Hanks must spend at least 10 but not more than 25 years in prison for the killing, Bellendir said. I am grateful for the diligence of Sgt. Det. Hales and Lt. Paden and the Barton County Sheriffs Office for providing Robins family with closure after all this time, Associate Deputy Attorney General Jessica Domme, who prosecuted the case, said in a statement included in the attorneys generals news release. Robins killer was finally brought to justice because of their dedication and commitment to this cold case. Contributing: Michael Stavola of The Wichita Eagle Cold case: Kansas man arrested 42 years after a woman was fatally shot in Great Bend 1992 murders at bridal shop unsolved but not forgotten by womens families Young kids rarely vanish. But its happened in Kansas at least six times since 1977 Letter: Authorities think missing Wichita infant is dead PORTLAND, Ore. (KOIN) Five people have been sentenced to prison for their roles in an execution-style killing of a Bend man in 2021, Gresham Police Department announced Friday. After months of hearings, officials said final plea deals were reached in the case for the murder of 34-year-old Andrew Sherrell, who was found dead in Gresham with no shoes, no wallet, no phone, no ID, and broken zip ties found near his arms. According to police, the incident happened the night of Sept. 24, 2021, when Gresham police responded to a report of a deceased person, later identified as Sherrell, near Northeast 189th Avenue and Northeast Portal Way. Abandoned, derelict pirate boats still a headache on Willamette River Based on Sherrells positioning and injuries, police determined he was killed by a single gunshot while kneeling on a curb. During the investigation, detectives learned Sherrell was a low-level drug dealer who had outstanding debt to his dealer, identified as Cesar Estrada Nava. According to police, Estrada Nava was also under pressure for substantial drug debt to his own dealer. Suspected kidnapper shot by Salem officers early Friday morning Authorities discovered that Estrada Nava enlisted help from four others identified as Kassandra Kitchens, DSean Baker, Christian Joshua CJ Wobbe, and Andrew Bushnell, in a plot that killed Sherrell. The day of the murder, Sherrell and a friend drove from central Oregon to Portlands Lloyd District, where Kitchens who was Sherrells romantic interest lured him to a parking lot for discount fentanyl, according to Gresham police. Once Sherrell was inside Kitchens SUV, she drove him a block down the street to Estrada Nava, Baker, Wobbe, and Bushnell. Sherrell was then assaulted and forced into the back of an SUV and driven to a second location in Gresham while Sherrells hands, arms, legs, and feet were zip-tied together. COVID lockdowns prematurely aged teen brains, University of Washington finds Officials said Sherrell was assaulted, and pistol whipped while in the backseat before he was placed on a dark sidewalk where he was shot and killed. In the early morning of Dec. 13, 2021, multiple search and arrest warrants were completed by four SWAT teams which led to the arrest of four of the five suspects who were arrested and charged with murder. The fifth suspect, Kitchens, was found and arrested after a pursuit in early 2022. Authorities announced Friday that Estrada Nava and Baker were sentenced to 20 years in prison, while Kitchens was sentenced to nine and half years in prison, Bushnell was sentenced to eight years in prison and Wobbe was sentenced to seven and a half years in prison. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. Around 780,000 pensioners in England and Wales are set to lose their winter fuel allowance because they are not expected to apply for benefits they are entitled to, according to the government's own analysis. The Department for Work and Pensions (DWP) estimates were released under freedom of information laws on Friday. The "equalities analyses" predicted many people entitled to pension credit - and therefore eligible for fuel payments under the new rules - will not submit a claim, and therefore lose the subsidy altogether. The disclosure comes after the government told opposition parties it had not carried out a full assessment of the policy's impact, amid calls for analysis to be released. No 10 has previously said it was not legally obliged to produce a full impact assessment on the decision to means test the benefit. Under the changes, winter fuel allowance will still be available to those claiming pension credit and some other benefits, but around 10 million people are set to be stripped of the payment. A Treasury spokesman told the BBC that more than a million pensioners would still receive the winter fuel payment, and the government is encouraging people eligible for pension credit to apply. However, the DWP's internal analysis confirms the government is expecting hundreds of thousands of eligible people to miss out. The government estimates around 100,000 more people could be persuaded to claim pension credit, but more than three quarters of a million pensioners who are eligible are still not expected to submit a claim, the document confirmed. The analysis also suggested that nine in 10 pensioners aged between 66 and 79 would lose their allowance, and eight in 10 over 80s would do so. Since those over 80 receive a higher payment - 300 as opposed to 200 - they would take the greatest financial hit, it said. The analysis also found that while those with a disability would be most likely to retain the payment, around 71% will still lose their entitlement. Conservative Party chairman Richard Fuller called on Labour to "immediately" conduct and publish a full impact assessment of "this harmful policy", accusing the government of having "sneaked out" the analysis. Previously, the Liberal Democrats said not carrying out an impact assessment before cutting support was "absolutely unthinkable". Speaking on Thursday, Sir Keir Starmer said the decision to cut winter fuel payments was necessary to stabilise the economy, and that the government was putting "mitigations in place". The DWP said the document - which is more limited than a full impact assessment - was not "routinely published alongside secondary legislation" after it was published in response to a Freedom of Information request. More on this story SIOUX CITY, Iowa (KCAU) The latest drought monitor update from the USDA (US Department of Agriculture), NOAA (National Oceanic and Atmospheric Administration), CPC (Climate Prediction Center), and the NDMC (National Drought Mitigation Center) is in. Continuing to see the trend of no rainfall in Siouxland through the past week. As a result, seeing abnormally dry conditions expanding across the area with the latest update to the Drought Monitor. Also seeing expansion of moderate drought in NE Nebraska, SE South Dakota, and W Iowa. As well as severe drought in Nebraska and portions of western Iowa. Find your latest forecast from the KCAU 9 Weather Team here Drought Monitor (As of 10/03/2024) Most of NE Nebraska and SE South Dakota, as well as southern NW Iowa are now in moderate drought, with northern Antelope and southwestern Knox counties in severe drought now. The rest of Siouxland has now been categorized as Abnormally Dry, including the Sioux City metro. Severe drought continue to expand in the region. All the latest weather news And in South Dakota, 41% of the state is in some stage of drought, with 35% in Moderate, 12.7% in Severe, and 1.85% in Extreme drought category. An additional 87% of the state, including all of SE South Dakota is considered abnormally dry. This comes as most areas in Siouxland have seen less than 40% of their normal rainfall over the past 30 days, including in those areas in moderate drought, which have only seen 20-40% of their normal 30-day rainfall. In Sioux City, only 44% of the average 30-day rainfall has been measured. Some areas have even see less thana quarter of their normal 30-day rain. Most areas in Siouxland though have seen over 100% of their normal rainfall over the past year which is helping mitigate drought conditions for most, despite the dry stretch of weather weve been in since the end of August and throughout the month of September. However, continuing to see these dry conditions chip away at that surplus of rain weve seen this year. For the latest weather updates visit the KCAU 9 Weather page Unfortunately, not likely to see much, if any relief anytime soon as the Climate Prediction Center 6-10 day precipitation outlook leaning towards drier than normal as we open October. This looks to further exacerbate drought conditions across Siouxland. The next update to the Drought Monitor will be next Thursday so be sure to check back for the latest drought conditions and drought forecast! Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to SiouxlandProud | Sioux City, IA | News, Weather, and Sports. In 2023, Consumer Reports found traces of lead in dark chocolate from such popular brands as Trader Joe's, Lindt, Hershey's, Dove, and more. Now, the nonprofit product testing organization has just released new troubling findings that affect another grocery staple: There might be lead in your cinnamon. But, some brands are better options than others for steering clear of contaminants. According to the findings published by Consumer Reports on Thursday, the safest grocery store cinnamon brand for avoiding lead is Whole Foods Market, specifically 365 Whole Foods Market Ground Cinnamon and 365 Whole Foods Market Organic Ground Cinnamon, which exhibited nearly zero traces of lead contamination (0.12 and 0.02 parts per million respectively). Scientists determined this by testing 36 different ground cinnamon spice brands (including blends that include cinnamon like garam masala) purchased from 17 grocery stores across Connecticut, New Jersey, New York, and online. If you don't happen to live near a Whole Foods Market, there's no need to swear off ground cinnamon or switch to cinnamon sticks forever. Per the report, the cinnamon brands that demonstrated the next-lowest levels of lead were Loisa Organic Cinnamon, Morton & Bassett San Francisco Organic Ground Cinnamon, Sadaf Cinnamon Powder, and Sadaf Seven Spice blend. These findings are especially timely with fall on the way and seasonal foodies turning to cinnamon as a staple autumnal flavor. The test was prompted by a mass outbreak of lead poisoning last fall discovered to be tied to cinnamon applesauce pouches. It impacted more than 500 children. Read more: 23 Whole Foods Baked Goods, Ranked Whole Foods Cinnamon Ranked The Safest 365 Whole Foods Market Organic Ground Cinnamon - Whole Foods Market To be safe, consumers should steer clear of the 12 cinnamon brands with the highest level of lead, led by Paras, which exhibited 3.52 parts per million (ppm). This main offender was followed by ground cinnamon from EGN (2.91 ppm), Mimi's Products ground cinnamon, ShopRite Bowl & Basket ground cinnamon, Rani Brand ground cinnamon, Zara Foods cinnamon powder, Three Rivers cinnamon stick powder, Yu Yee Brand five spice powder, BaiLiFeng five spice powder, Spicy King five spices powder, Badia cinnamon powder, and Deep cinnamon powder. For reference, in the state of New York, levels of lead above 1 ppm are "grounds" (pun intended) for a recall. Following the published findings, Paras and EGN have notified Consumer Reports that they are pulling their cinnamon from store shelves. The next-highest offenders responded to CNN's request for comment, and essentially denied that there was anything wrong with their products at all. ShopRite Bowl & Basket told the outlet "our cinnamon meets all safety and quality standards." Badia Spices said, "Trace amounts of lead is found in nearly every food product grown around the world." It was also noted that the FDA hasn't imposed an official limit for heavy metals in spices. Although, the FDA released a comprehensive list of cinnamon brands that were considered unsafe due to lead content earlier this year on July 30. Now, that list has been expanded upon, and the implications are unsettling, to say the least. Read the original article on Tasting Table. Plumes of smoke from Southern California's fires blew across Big Bear on Sept. 11, causing local air quality meters to return off-the-chart readings for particulate pollution. Officials report air quality on a color-coded scale, in which green indicates "good" and maroon denotes "hazardous" conditions. An air quality index above 150 is considered unhealthy for everyone. Above 300 is considered hazardous. On Wednesday, Big Bear's AQI for fine particulate matter, or PM2.5, reached a breathtaking daily average of 593. The reading was the third-highest AQI measured in Southern California since at least 1999, according to data from the U.S. Environmental Protection Agency. The first- and second-worst air quality days were recorded in Ventura County during the 2017 Thomas fire, the state's largest wildfire on record. On Dec. 6 and 8, the Ojai monitoring station recorded daily average AQIs of 961 and 906, respectively. Read more: Southern California is suddenly besieged by fire. Experts say fall will be worse Weeks of sweltering heat primed Southern California's hills and mountains to burn. Between Sept. 5 and 9, three wildfires erupted: The Line fire in San Bernardino County, the Bridge fires in San Bernardino and Los Angeles counties and the Airport fire in Orange County. The Line fire began on Sept. 5 in Highland and soon spread through the mountains toward Big Bear. A 34-year-old Norco man has been arrested on suspicion of igniting the blaze. As of Friday, more than 38,000 acres of the San Bernardino Mountains had been consumed by the flames. While the fire hasn't reached the resort areas, the ash particles and haze enveloped the skies of the mountain communities. High winds carried plumes of smoke throughout Big Bear Valley, closing ski resorts and most local businesses. Much of the area is still under an evacuation warning, with parts of the town ordered to leave. I think every agency is doing everything in their power to control this fire so many communities dont get destroyed, said Big Bear Lake City Manager Erik Sund. Particulate pollution, including from wildfire smoke, is dangerous to almost everyone: pregnant women, young children, older people and adults with underlying conditions. Fine particulate matter can be the more damaging to peoples health than other pollutants, such as ozone. The tiny particles, roughly one-thirtieth the width of a human hair, can easily penetrate the lining of the lungs and infiltrate the blood stream. A 2023 study linked long-term PM2.5 exposure to an increased risk of dementia. I worry about the differential impact of wildfire smoke. Even if the affluent get exposed to the same smoke as low-income communities, the low-income communities have less ability to protect themselves, said Dr. John Balmes, a professor of medicine at UC San Francisco and a member of the California Air Resources Board. Where the plume of smoke is going is where the biggest effect will be. In August, Balmes and Jason G. Su, an environmental health sciences researcher at the UC Berkeley School of Public Health, published a paper that found communities of color are exposed to higher levels of air pollution than other communities. During wildfire season, experts recommend Californians keep tabs on local air quality monitoring reports, available from the U.S. EPA's AirNow.gov or services such as PurpleAir. If the least you can do is stay inside and close all your windows and doors, that will substantially reduce your exposure, said Suzanne Paulson, a professor of atmospheric and oceanic sciences at UCLA. "If youre trying to exercise, try to get out of that dark orange, red and that sort of horrifying dark purple-brown color thats used for the really high AQIs. This story originally appeared in Los Angeles Times. BEIRUT, Sept. 14 (Xinhua) -- Israeli military targeted a three-story building north of the city of Nabatieh in southern Lebanon late Friday night, injuring 13 people, according to Lebanese military sources. The military sources said that the Israeli army carried out seven airstrikes on five villages and towns and shelled seven villages and towns with about 35 shells in southern Lebanon on Saturday. In response to the attacks, Hezbollah attacked several Israeli military headquarters and sites with drones and missiles. Lebanese military sources said that army positions have monitored the launch of approximately 70 Katyusha rockets and several drones from Lebanon toward northern Israel. Tensions along the Lebanon-Israel border escalated on Oct. 8, 2023, following a barrage of rockets launched by the Lebanese armed group Hezbollah toward Israel in solidarity with Hamas' attack on Israel the day before. Israel then retaliated by firing heavy artillery toward southeastern Lebanon. Firefighters braced for another day battling the massive Airport Fire on Saturday in Orange and Riverside counties. 23,494 acres have been charred, and containment remains at 9%. "The weather has been great with cooler temperatures, the marine layer, and the higher relative humidity," Orange County Fire Authority Capt. Steve Concialdi told City News Service on Saturday. Officials said crews had "notable success in increasing containment" in areas such as Lake Elsinore, Decker Canyon Road, and Lakeland Village. Cal Fire said 107 residential, commercial, and other structures were destroyed, and another 12 were damaged. No additional injuries were reported. Earlier in the week, officials said 11 firefighters had suffered minor injuries fighting the blaze, many of them heat-related, while two civilians were also injured, one with an unknown medical condition and the other suffering burn injuries. "Weather conditions are expected to remain favorable for firefighting efforts, with a thickening marine layer, mild temperatures, high humidity, and southwest winds," fire officials said. "However, there is a risk of smoke and fog forming in lower drainages in the afternoon, potentially impacting air quality and visibility." The Airport Fire began at about 1 p.m. Monday near Trabuco Canyon Road in the area of the remote-controlled airplane airport, OCFA Capt. Sean Doran said. Officials said the fire was sparked by a county public works crew using heavy equipment. The cause was deemed accidental. By Tuesday morning, the fire had raced up the canyons and hillsides and crested into Riverside County, burning toward the El Cariso and Lake Elsinore areas, where evacuation warnings and orders were issued. Some 1,949 personnel were involved in the firefight, including 36 crews, 253 engines, 31 dozers, 15 water tenders and 10 helicopters. The Airport Fire was one of three large wildfires burning in Southern California this weekend. By Saturday morning, the Line Fire had burned 38,074 acres in San Bernardino County and was 25% contained, while the Bridge Fire in Los Angeles and San Bernardino counties had burned 52,960 acres and was at 3% containment. Related: Why are there so many fires in California? See the wildfire predictions through the end of 2024 The South Coast Air Quality Management District extended an air quality advisory until at least 11 p.m. Sunday for most of the region due to smoke from all three major wildfires. Cal Fire officials said Gov. Gavin Newsom has secured a grant from the Federal Emergency Management Agency "to help ensure the availability of vital resources to suppressing the Airport Fire." Evacuation shelters In Riverside County, the following changes have been made to evacuation shelters as of Saturday morning: Care and reception centers: Santiago High School is closing due to low or no population Temescal Canyon High School shelter will close and be moved to Ortega High School, located at 520 Chaney St. in Lake Elsinore Animal sheltering: Murrieta Equestrian Center will close due to no large or small animals Perris Fairgrounds Animal Shelter will close due to no large or small animals Jurupa Valley and San Jacinto campuses will remain open. An evacuation center that had been established at RSM Bell Tower Community Center, 22-232 El Paseo in Rancho Santa Margarita, was closed Friday, and a new shelter was established at Foothill Ranch Library, 27-002 Cabriole Way. Pets were also being accepted at that location. Large animals were being housed at the Orange County Fairgrounds, Los Alamitos Race Course and Nohl Ranch Saddle Club at 6352 E. Nohl Ranch Road in Anaheim. Road closures The following road closures were in effect in Orange County: Trabuco Canyon Road and Trabuco Creek Road El Toro Road and Live Oak Canyon Road Ortega Highway at Nichols Institute. Highway 74 remains closed on the Riverside County side. A series of other "soft closures" remained in place, allowing access for residents. Full details on closures were available at fire.ca.gov/incidents/2024/9/9/airport-fire Evacuation updates #AIRPORTFIRE [UPDATE]: EVACUATION UPDATES WARNINGS are being lifted in the following zones: Southeast side (La Cresta area) - RVC 2000 - RVC 2075 - RVC 2144 - RVC 2197 - RVC 2243 South - Wildomar Truck Trail East - South Main Divide, Hacienda Drive, Calle Paramo, Ainsley Ct, pic.twitter.com/YFTHntZHQq CAL FIRE/Riverside County Fire Department (@CALFIRERRU) September 13, 2024 Here are the latest evacuation updates as of Friday evening. Warnings are being lifted in the following zones: Southeast side (La Cresta area) RVC 2000 RVC 2075 RVC 2144 RVC 2197 RVC 2243 South: Wildomar Truck Trail East: South Main Divide, Hacienda Drive, Calle Paramo, Ainsley Ct, Tenaja Road West: Via Majorca, Avenida la Cresta, Avenida Bonita, Calle Bandido, Corona Cala Camino North: San Diego/Riverside County Line An interactive map can be viewed at https://go.genasys.com/5wqfag The following zones are being downgraded from an order to a warning: RVC 1804 LSN 1803 LSN 1799 RVC 1801 RVC 1729 RVC 1726 RVC 1728 RVC 2074 South of Ortega Highway, east of Ortega Highway, West Grand, and north of Park Avenue and Magnolia Street Rancho Carrillo: RVC 2074 South: Fox Springs Road/Rimpau Road East: Orange County/Riverside County line West: Verdugo Truck Trail/Oak Flats Area North: Quail Springs Road North: RVC 1724 A South: Patrick Court West: Grand Avenue East: Orange County/Riverside County line North: El Cariso Trail RVC 1935: North: Trabuco Road South: South of South Main Divide East: Arroyo Road West: Rodeo Road/Los Pinos Road An interactive map can be viewed at https://go.genasys.com/nxhqi9 In Orange County, people in Caspers Regional Park, San Juan Springs, Blue Jay campground were ordered to evacuate. Evacuation warnings were in place in Orange County for portions of Ortega Highway, Robinson Ranch HOA, Trabuco Highlands HOA, Trabuco Highland Apartment Complex, the East Side of Coto de Caza, Silverado Canyon, Modjeska Canyon, Rose Canyon Road, Trabuco Creek Road, Trabuco Canyon Road, Trabuco Oaks Drive, Joplin Loop, Cook's Corner, Dove Canyon and El Cariso. Residents can visit https://go.genasys.com/11hh53 to determine if their homes are impacted by evacuation orders or warnings. City News Service contributed to this report. Ema Sasic covers entertainment and health in the Coachella Valley. Reach her at ema.sasic@desertsun.com or on Twitter @ema_sasic. This article originally appeared on Palm Springs Desert Sun: Airport Fire: Blaze grows to 23,494 acres, 9% contained on Saturday Garrett Keene sits on the front steps of his home that was destroyed in the Airport fire in El Cariso Village. Keene evacuated with his wife, four children and 46 animals. Garrett Keene watched as the Airport fire grew closer to his neighborhood above Lake Elsinore this week until the afternoon he was suddenly warned to flee. As ash rained down, Keene and his wife packed up their three young daughters, their infant son and their familys most prized possessions a menagerie of dogs, cats, ducks, chickens, birds, tortoises and pigs, 46 animals in all and raced out of their neighborhood down Ortega Highway as 100-foot-tall flames encroached. When the 33-year-old father returned, he found the three-bedroom, 2,000-square-foot ranch-style home that he'd built from scratch reduced to a pile of ash and rubble. As the toll of the fire seeped in, Keene questioned why the evacuation order arrived so late and only by loudspeaker, and why resources from the air hadnt been deployed to his neighborhood when areas in Orange County were swarmed. For 24 hours, we did not get a single plane over here, a single helicopter, a single fire truck. We saw nobody until 45 minutes to an hour before this was happening, he said of his familys narrow escape on Tuesday. A car is crushed by a fallen tree in front of a home destroyed by the Airport fire in El Cariso Village. The El Cariso Village a Riverside County mountain community of about 250 people in the Santa Ana Mountains was devastated during the fire. Keene said that the firespotting app Watch Duty indicated the fire was growing and headed their way so he alerted neighbors to prepare for the inevitable before any official had told them to. We were five minutes from absolute nightmare, Keene said. Read more: Containment, hotshots, evacuation orders: Understanding key wildfire terms On Friday, Orange County Fire Authority Capt. Steve Concialdi said "there were a number of helicopters, air support and firefighters everyone was battling the fire to protect lives and properties." But he did not have specifics on when or where exactly helicopters and planes were when the fire raced into Keene's neighborhood. "Our hearts go out to anybody that sustained damage to their homes or cars," Concialdi said. "Fortunately, theres only been two minor injuries to civilians and 10 injuries to firefighters and everyone got out of there alive." Robert Lucas looks across a ridge after part of his corral caught fire during the Airport fire off Ortega Highway. "The flames were as far as I could see. Do I stay or should I go?" Lucas said. All of his animals survived the fire. Days after the fire had passed through, scorched trees were still smoking with heat, and broken glass, nails and downed power lines littered the ground. At a neighboring property that Keene rents to a mother of two, the fire had blown out the windows and front door. An abandoned jigsaw puzzle was unfinished at the dining room table, and children's drawings and a note in red crayon wishing for a wonderful life clung to the refrigerator. Steve Mangino, 63, also questioned why the neighborhood wasn't warned earlier and instead was left to scramble. Mangino, a neighbor who also rented from Keene, returned to the area Thursday. As he opened the door to his red barn, he braced himself for what may be inside. Lets see if my reason for living is still standing, he said. His two prized motorcycles were undisturbed by the fire a relief, and a sign, he said, to get a lottery ticket. An unfinished jigsaw puzzle remains on a dining room table after the Airport fire destroyed the door to a home in El Cariso Village.. The Airport fire started Monday in Trabuco Canyon and was caused by a spark from heavy equipment that workers were using to move large boulders. It has burned more than 23,000 acres. Multiple homes in the El Cariso village were destroyed and heaps of burned vehicles and old collectible cars were scattered throughout the neighborhood. The evacuated area was quiet and mostly empty Thursday, aside from firefighters patrolling and the occasional resident who had returned to survey the aftermath. Read more: How do wildfires start and spread? Stephen Cuculic, 70, said that he had never seen a fire like this in the 48 years he lived in the El Cariso Village. The back of his home was licked by flames, but the rest remained untouched. Cuculic, a former fire captain, said that he was lucky; other properties didnt fare as well. Down the street from his home, a stairway and two entrance lamps was all that remained of a home once overlooking a small vista. Nearby, a 5.5-acre property was hollowed out; a pool and waterslide remained intact, but the area that once housed a large family room and kitchen was gutted. Garrett Keene stands in the rubble of his home after the Airport fire in El Cariso Village. Keene believes the community will rebuild thats his plan, at least. As he walked through the rubble of his home, he pointed to what once was the master bedroom, the couch, the kitchen where a now-deformed concrete island sat, the space where one of his daughters wheeled her tricycle. Hes grateful that his family and animals made it out safely. But anger and questions remain. We were neglected," he said. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. Allies Starmer and Biden meet in White House to talk future. It might all change in months With Donald Trump looming as one of two possible occupants of the White House come January, the stakes for Ukraines future could not have been higher as US President Joe Biden and UK Prime Minister Sir Keir Starmer met in Washington for the second time in the last three months. It added a new level of intrigue to Fridays face-to-face between Starmer and Biden. The two allies gathered at the White House to talk about Ukraine policy, but in just a few months, Starmer will have to work with - or oppose - a new US leader. Biden, who announced nearly two months ago that he would stand down from his bid for re-election, will be leaving office on January 20. The person who replaces him might be Trump, who has all but declared that his approach to Russias war on Ukraine will be to cut off American aide, let Russia win, and perhaps go as far as pulling America out of the NATO alliance. Democrat nominee and Vice President Kamala Harris, meanwhile, has adopted the polar opposite stance, and reaffirmed American commitment to Ukraine if she is elected. Starmer wont know who he is dealing with until November at the earliest, and they wont take the office until January. In Washington, at Bidens invitation, the Prime Minister has found himself at a crossroads as Kyiv pushes to be permitted to use its British-built Storm Shadow missiles to attack targets deep in Russias interior as a way of pushing back on the continued war Vladimir Putins army is waging. Its a decision that Starmer cant make on his own. Biden and Starmer meet at the White House as questions over Ukraines use of long-range missiles grow. While they met, Starmer will be face-to-face with a new US leader in just a few months. (Getty Images) Though Starmer could conceivably authorize the use of the British missiles for attacks on Russian targets on the far side of the countrys border with Ukraine, he cant give assent to using the targeting data that would allow such strikes because it is controlled by the US Department of Defense. US Secretary of State Antony Blinken has suggested that America would be amenable to changing the policy that has so far kept Kyiv from striking deep within Russian territory with Western-provided missiles. White House spokesperson John Kirby on Thursday said no decision to reverse the policy was expected during the meeting between Biden and Starmer. Yet during the portion of their sit-down that was open to the press, the Prime Minister hinted that such discussions were on the agenda, telling Biden: that the next few weeks and months could be crucial, very, very important for Ukraines defense in what he called this vital war of freedom. I think that historically, weve shown the strength of our relationship, that we are strategically aligned. We have common cause on these global issues, and therefore its very important for us to have this opportunity to talk them through, not just as a matter of fact it but also the wider strategy that underpins them so thank you for the invitation, he said. As Starmer plots a course of Ukraine, he will be dealing with Donald Trump or Kamala Harris in months - and they will have their own thoughts on Russian policy. (Getty Images) A White House readout of their meeting stated that Biden and Starmer had an in-depth discussion on a range of foreign policy issues of mutual interest and reaffirmed their unwavering support for Ukraine as it continues to defend against Russias aggression. But a decision on letting Kyiv use longer-range weapons wasnt forthcoming. Speaking to reporters after the meeting wrapped, the Prime Minister said the sit-down hadnt been about a particular decision and said the discussions would resume when the two meet again on the sidelines of the UN General Assembly in New York later this month, along with what he described as a wider group of individuals. Still, all of it could be moot should Trump top Harris in Novembers election, since the once and perhaps future president has made clear his intent to cut off support in favor of Moscow. Yet Starmer wasnt willing to discuss any contingencies for such a result or much else when pressed by reporters before he boarded his motorcade. Theres only one reason were having these discussions, and that is that Putin has illegally invaded Ukraine, and the biggest way to resolve this obviously lies through what Putin actually does, because its manipulating this, he said.But we are having a discussion, we stood with Ukraine. Ukraine has a right to self-defense, and weve stood united, not just with allies here in the US, but across with our NATO allies. Ed Catmull doesnt have the intense presence one might expect from a man with his resume. Not only has Catmull won five Academy Awards, hes also received an ACM A.M. Turing Award considered the Nobel Prize of computing has rubbed shoulders with George Lucas and Steve Jobs, co-founded Pixar and co-created the first computer-animated film (and the technology that made it possible). But when Catmull arrived at The Leonardo Museum in Salt Lake City earlier this week, he was wearing a pair of worn-in jeans, a gray T-shirt and unbranded sneakers modest garb for a 79-year-old multi-award-winner. Catmull is the 2024 winner of The Leonardo Award, an award that seeks to honor individuals who have made contributions (that) exemplify the blend of art and science, per The Leonardo. To receive his Leonardo Award, Catmull returned to Salt Lake City the very place his impressive career started. (Catmull) credits the atmosphere and the work that he did at the University of Utah with some of his early success, Virginia Pearce, director of the Utah Film Commission, said during Thursday nights ceremony. We are so proud about your start in Utah and the deeply grateful for the mark that youve made on (the film industry) industry and beyond. It was amazing: How the University of Utah shaped Catmulls career As a kid, Catmull balanced his interests in both art and science. He never saw the subjects as being inharmonious. Growing up, I didnt know that (science and art) were considered to be not compatible with each other. Nobody told me that, Catmull said Thursday night at The Leonardo Museum. By the time Catmull graduated from Granite High School in Salt Lake City, hed experienced success in both subjects. He was nominated for a state scholarship for art, but didnt consider his talents good enough to pursue it exclusively. Animation fascinated him, but there was no college for it. So when he started his Bachelors degree at University of Utah, he fell back on science. There were no tools for it, for animation, so I switched over into physics when I went to college, Catmull said. This revelation prompted laughter from the audience how can the man who co-founded Pixar be a physicist? Its as if somehow these things are incongruous. But thats a problem, Catmull said in response to the audiences laughter. And this makes no sense to me. I think theres a bias of people thinking that art programs are about learning to draw. Its not. Its about learning to see. And in which of the professions is it not important to be able to see? After earning two bachelors degrees from the University of Utah one in physics, the other in computer science Catmull was accepted to a graduate program, also at the University of Utah, studying computer science. When Catmull took his first class in computer graphics he recalled thinking, Whoa, you can use this to make art. In 1972, while still a student at the University of Utah, Catmull and fellow student Fred Parke, created the first computer-animated short film, A Computer Animated Hand. It was added to the National Film Registry of the Library of Congress in 2011, as the vanguard of a technological and creative trajectory for a film industry increasingly founded on CGI and visual effects. More than two decades later, Pixar used RenderMan, a software co-created by Catmull, to make the first-ever full-length animated movie, Toy Story. Catmull credited the University of Utah for fostering a curious and supportive educational environment. It was probably one of the greatest things I got here. It was amazing, fortuitous, Catmull said. The whole place was extremely supportive. He continued, When I left, I knew that I loved the experience. I love the people. I love, their attitude, the way they work, where they support each other, and I was determined that I wanted to experience that kind of environment for the rest of my life. Ed Catmull is honored with The Leonardo award On Thursday night, Catmull accepted with The Leonardo Award in Salt Lake City. The award was presented to Catmull by John Price, a graduate from University of Utahs College of Engineering. In 2023, the college was renamed the John and Maria Price College of Engineering at the University of Utah. This award recognizes those whose careers and lives embody and inspire creativity and innovation. I cannot think of a person more deserving of this honor than Ed Catmull, said Price. Price said he hopes the University of Utahs college of engineering, will continue to produce the level of talent represented by Dr. Catmull and his example will also inspire many of Utahs young children to discover their own passions. Bones from an Amazon pink river dolphin are embedded in dried mud along the banks of Lake Tefe in Tefe, Brazil, on Oct. 31, 2023. More than 200 river dolphins died in the 2023 drought that sent water levels to new lows and water temperatures to record highs. When dolphins were dying in the highest numbers, the water topped 100 degrees Fahrenheit. Jason Gulley for HuffPost TEFE, Brazil Each morning for the last several weeks, researcher Miriam Marmontel has gazed out at Lake Tefe and the Amazon River, through a thick curtain of smoke from thousands of wildfires raging throughout the region, with a sense of dread and deja vu. Brazil is in the grip of severe drought for the second time in as many years this one already topping 2023 as the worst, most widespread drought in Brazils recorded history. Major rivers throughout the Amazon Basin have plummeted to record low levels, and water temperatures are approaching those that triggered mass die-offs of two species of endangered river dolphins this time last summer. A year ago, drought drove water temperatures in Lake Tefe, in the northern Brazilian state of Amazonas, to as high as 105 degrees Fahrenheit the upper threshold of a hot tub. By late September, the pink and gray carcasses of freshwater dolphins began washing ashore in large numbers. It was really horrible to see. We saw them dying in front of us, recalled Marmontel, an aquatic mammal expert at the Tefe-based Mamiraua Institute for Sustainable Development. Miriam Marmontel, an aquatic mammal expert at the Mamiraua Institute in Tefe, Brazil, stands on the banks of a tributary to Lake Tefe during a health assessment of the lake's pink river dolphin population in August. Last year, Marmontel and her team responded to river dolphin die-offs that killed more than 200 in Lake Tefe and 120 near Coari. She and her team are worried this year may be even worse. Jason Gulley for HuffPost Now she and a team of researchers at the Mamiraua Institute are bracing for what they fear could be even more extreme and deadly conditions. Drought arrived in the Amazon a month earlier than usual this year. In Tefe, water levels have been dropping by as much as 1 foot per day, turning areas that were recently covered in water into bone-dry expanses of sand. As of Thursday, water levels on the lake were only 8 to 12 inches above last years record lows, according to Ayan Fleischmann, a hydrologist at the Mamiraua Institute. Upstream of Tefe, water levels on many rivers have already smashed record lows set last year. A decomposing tucuxi river dolphin floats below the surface of the Rio Solimoes, just downstream of the Brazilian city of Coari, on Nov. 15, 2023. Jason Gulley for HuffPost Earlier this week, water temperatures in Lake Tefe spiked to 98 degrees before clouds, bouts of rain and thick smoke from uncontrolled, often intentionally set wildfires moved in. Thats keeping the heat down a little bit, Marmontel said of the smoke and rain. Thats whats saving us, I think, us and the dolphins. The Amazon Basin is home to two unique species of freshwater dolphins, the Amazon river dolphin, also known as the boto or pink river dolphin, and the tucuxi, a smaller, gray-bodied species. These remarkable mammals are endemic to the Amazon region, and their populations have been in severe decline due to myriad threats, including pollution, habitat loss, hunting and the mounting effects of climate change. Marmontel and her team fear that a few straight days of intense sun is all it will take to trigger the next die-off of dolphins. I can almost see that its going to happen again in a matter of a few days, Marmontel said. Several dolphin carcasses have already turned up. Biologists, veterinarians and field technicians working with the Mamiraua Institute return a pink river dolphin to a tributary of Lake Tefe after a health examination. The team conducted health assessments to gauge the health of Lake Tefe's population of the endangered dolphins over two weeks in August before a drought sent water levels plunging to what hydrologists are predicting may be new record lows. Jason Gulley for HuffPost To better understand how climate change-fueled drought is affecting populations of freshwater dolphins in the Amazon, Marmontel and her team have been conducting in-depth health assessments. Last year, with the help of local fishermen, Marmontel successfully captured and released 20 animals in protected reserves near Tefe. Overall, the dolphins looked healthy. But not long after she returned from that trip, her organization received reports of 19 dolphin carcasses floating or washed ashore on Lake Tefe. One or two dead animals per month is not unusual, but 19 in a single day signaled something was terribly wrong, she said. Five days later, 70 more dead dolphins were recovered. The mass mortality event was as unexpected as it was unprecedented. Initially, biologists at the Mamiraua Institute, which led the investigation, worried the deaths might have resulted from pollution or disease. In grueling heat and wearing masks to protect themselves from unknown pathogens, they collected dozens of carcasses in various stages of decomposition and conducted thorough necropsies. What they would later conclude is it wasnt a contagious disease or pollution that killed the animals. Instead, researchers are confident that for the first time on record, dolphins in the Amazon died because the water they live in became too hot. In the end, more than 200 endangered dolphins perished in the waters around Tefe a loss of approximately 20% of the lakes population of Amazon pink river dolphins and 7% of tucuxi. An additional 120 dolphins were found dead in waters near Coari, a city about 120 miles downriver. Marmontel expects other dolphin populations throughout the Amazon also got hit, although there isnt data on the full scale. I cant believe just Tefe and Coari were blasted with this thing, she said. One of the reasons those die-offs garnered immediate attention is because the cities are home to research institutes and universities. Fishermen working with the Mamiraua Institute capture a pink river dolphin for a team of biologists and veterinarians waiting on the river bank nearby on Aug. 19, 2024. Jason Gulley for HuffPost This year, the Mamiraua Institute wanted to conduct health assessments on another 20 dolphins, part of a much broader response that includes monitoring the depth and temperature of rivers and lakes, assessing the animals behavior and using acoustic recorders to detect any changes in their vocalizations, and estimating local populations. The captures themselves are complex operations. Working quietly in the dark of night late last month, local fishermen hired by the Mamiraua Institute spooled a net across a tributary of Lake Tefe. Hours after sunrise, two pink bodies a mother and juvenile male calf finally approached the net. The fishermen moved in quickly, using another net to close the channel behind the animals and trap them. Then, working from boats and in the water, the team used a third net to create a U shape around the dolphins and slowly pull them to shore, where a small army of biologists and veterinarians was waiting. Dr. Jenny Meegan, a veterinarian and dolphin expert with the U.S.-based National Marine Mammal Foundation, examines an endangered pink river dolphin with an ultrasound machine on Aug. 20, 2024. Meegan was part of an international team working with the Mamiraua Institute to conduct health assessments of Lake Tefe's pink river dolphins over two weeks in August. Jason Gulley for HuffPost Once the dolphins reached shallow water, Marmontel and her team got to work. Leaving the juvenile in the water, they used a stretcher to bring the mother on shore. They bound the animals mouth shut with cloth and restrained it to keep it from injuring itself or any scientists. Her eyes were covered, and scientists spoke in soft whispers in an effort to keep her from becoming stressed, which could prove deadly. The team monitored the dolphins breathing, body temperature and heart rate. If any vital sign became abnormal, the veterinarians would have rushed the dolphin back to the water. They swabbed her mouth, blowhole, genitals and anus for future microbial analysis. They took a blood sample from her tail, which was later tested for oxygen levels, pathogens, contaminants and parasites. An ultrasound was used to check for pregnancy and stomach contents. Biopsies were taken of any skin lesions, and the animal was put on a hanging scale to measure its weight. Scientists attached an identification tag and tracking device to the dorsal fin. The whole ordeal lasted about 30 minutes. Throughout it, the mother chattered loudly but showed no signs of major stress. Once released, the dolphin typically recovers quickly. A dolphin expert working with Mamiraua Institute uses a digital thermometer to check a pink river dolphin's temperature on the banks of a tributary to Lake Tefe on Aug. 19, 2024. Jason Gulley for HuffPost That female was one of just two dolphins that Marmontel and her team managed to get their hands on. As severe drought conditions set in and quickly dried up waterways, the safest sites to capture dolphins became inaccessible. In late August, they called off the rest of their planned assessments. Since then, Marmontel and her team have found themselves responding to a steady stream of dolphin fatalities. Though dolphin populations in Lake Tefe appear healthy overall, five carcasses have turned up since late August each one sparking renewed fears that another die-off has begun. Biologist Daiana Guedes da Costa documents a dead pink river dolphin she found floating during a routine monitoring trip on Aug. 28. Jason Gulley for HuffPost Daiana Guedes da Costa, a biologist at Mamiraua Institute, discovered the corpse of one pink river dolphin washed up near a home on Lake Tefe on Aug. 28. Two more were found on Sept. 7, another on Sept. 10 and another on Sept. 13. As biologists headed out by boat to recover one of the carcasses and determine the cause of death earlier this week, pods of pink river dolphins and tucuxi frolicked among schools of fish. The climate is changing, it has already changed, and we are seeing weird things in the Amazon, Fleischmann said. Scientists concluded that the 2023 drought in the Amazon was supercharged by fossil fuel-driven climate change, and climate experts warn that future events will be more frequent and extreme. Daiana Guedes da Costa examines a dry river bed during a trip to monitor dolphin behavior in the waters around Tefe on Aug. 28. Just one week before, this channel had enough water for canoes and other small boats to pass. Jason Gulley for HuffPost The Amazon and its approximately 1,100 tributaries are the lifeblood of the worlds largest rainforest and the 3 million species and more than 30 million people who live there. When rivers shrivel in times of intense drought, dead fish can pile up, water can become contaminated and hard to access, health problems can spike, and trade routes and entire communities can be cut off. Fishermen in remote villages of the Brazilian Amazon claim they were once able to predict the onset of the dry and rainy seasons within a week or so. Today, the end of the dry season has become more of a guessing game. The longer the dry conditions stretch on, the less time there is for water levels to rebound when the rains return. For dolphins, low water levels can cause cascading effects. As water gets shallower, it becomes darker and more turbid as the flow stirs up mud from the river bottom. Like a black T-shirt on a sunny summer day, the water absorbs incoming solar radiation and quickly heats up, sometimes by as much as several degrees per day. Low water levels can also increase negative interactions with humans. Although extreme water temperature is believed to have been the primary culprit in the dolphin die-off in Tefe last year, many of the dead dolphins found near Coari showed signs of being slaughtered by fishermen. As waters recede, fishermen and dolphins, which prey on fish, are forced into smaller and smaller areas and end up competing for the same resources. Pink river dolphins are particularly bold and are known to damage fishing nets to get to an easy meal, according to Marmontel. Many of the dolphin carcasses recovered in Coari had machete and harpoon wounds. Others had fins cut off. Weve documented interactions over the 30 years that Ive been here, but its increasing, Marmontel said. Its a problem thats always happening. Its known throughout the Amazon. The thing is, with this climatic situation, its going to be exacerbated even further. Biologists and veterinarians working with the Mamiraua Institute hike up the dry bed of a branch of the Solimoes River near the community of Barroso to check on seven river dolphins that were reportedly trapped when the drought cut the waterway off from the main river channel at both ends on Aug. 25. As the drought causes rivers and lakes across the Amazon to shrivel, river dolphins have become trapped in several locations. The seven dolphins they checked on were lucky: The team found that the section of river they were trapped in has deep pools that should retain water through the drought. The dolphins did not have be relocated. Jason Gulley for HuffPost Three of the five dead dolphins Marmontel and her team recovered in recent weeks showed signs of human contact. One carcass had marks from a fishing net. Another had no head. And the third had no tail and deep lacerations along its dorsal fin, injuries that Marmontel said are consistent with being struck by a boat. It is the first time Marmontel has encountered an Amazon dolphin that was killed by a boat. Its so dry, and everybodys using that channel, the dolphins, too, she said. The Mamiraua Institute is doing all it can to prepare for what, right now, feels like an inevitable. Theyve been practicing simulated dolphin rescues and secured funds for a giant tank where they would be able to keep and rehabilitate up to three dolphins at a time. They have support from the Brazilian Army and several private organizations. Miriam Marmontel scans a tributary to Lake Tefe during a health assessment for the lake's pink river dolphins in August. Jason Gulley for HuffPost We have better teams, better supplies and everything, but eventually its going to happen, Marmontel said of a mass die-off. And then, of course, its not just this year. Its going to happen next year again. If not next year, the next. Its going to be the new normal. And I dont know how long these populations are going to survive because they are already endangered, both species. Studying dolphins, manatees and other aquatic mammals in the Amazon is Marmontels lifes work. For her, dolphins are a symbol of the Amazon region unique, primitive and intelligent species that adapted perfectly to this environment over millions of years. But, most important, they are sentinel species that provide humans warning of dangers to the larger environment and human health. That became very clear last year because they were the first that were hit, Marmontel said. I keep saying that dolphins are us in the water, living all the time in the water. The Amazonian people depend completely on the water, for fun, for water, for transportation, for anything. Whatever happens to [the dolphins], its going to happen to us, she added. Maybe a little later, but it is. Related... Ambulance group looking for new chair A new chair is needed to oversee two Guernsey organisations [BBC] Recruitment is taking place to find someone to oversee a first aid charity and the ambulance and rescue service in Guernsey. The Commandery of St John in Guernsey is in charge of the St John Guernsey charity and Ambulance and Rescue Guernsey. The organisation is seeking a new chair, known as the knight or dame commander, to be the "leading figure and principal public face". It follows the retirement of the former knight John Hollis who stood down recently. St John Guernsey is a charity which gives islanders first aid, training and youth work. St John provides the ambulance service on behalf of the States of Guernsey through a grant from the committee for health & social care. The service provides emergency medical and major incident response for the Bailiwick. The ambulance service and the charity said the voluntary role involved "leading the organisation, providing strategic oversight and chairing the Commandery of St John in the Bailiwick of Guernsey". Nicola Hoyland-Birch who is leading the appointment process, said she was looking for an "inspirational leader" who can "support and develop the growth of the organisation as a whole". She said: "The role requires someone who is an experienced and professional chair person, with excellent communication skills and who is able to engage a wide range of stakeholders." Follow BBC Guernsey on X (formerly Twitter) and Facebook. Send your story ideas to channel.islands@bbc.co.uk. More on this story Related internet links American Red Cross issues warning about unexpected factor impacting US blood supply here's why it's concerning A hotter planet could impact the outcome of your next doctor's visit. Rising global temperatures are causing unexpected ripple effects in our health care system, including strains on the nation's blood supply. What's happening? This summer's scorching temperatures and extreme weather events led to a drop in blood donations across the United States, according to the Guardian. The American Red Cross reported that July's heat affected turnout at nearly 100 blood drives when over 130 million Americans were under heat advisories. As a result, the national blood inventory plummeted by more than 25% in just one month. Why is a smaller blood supply concerning? A stable blood supply ensures Americans get life-saving care. "Every two seconds, someone in the U.S. needs a blood transfusion," says Rodney Wilson, senior biomedical communications specialist for the American Red Cross. "Blood is an essential part of medical treatments for many different kinds of patients. "It's your father who needs open heart surgery, it's your best friend who's getting cancer treatment, it's your sister who is giving birth to her child and hemorrhages." When extreme weather disrupts blood drives, it threatens these life-saving procedures. These disruptions are more frequent and severe thanks to the climate crisis. Last year, the American Red Cross responded to nearly twice as many large-scale disasters as it did a decade ago. These events are no longer confined to specific seasons, from hurricanes to wildfires. They're happening year-round, complicating efforts to maintain a steady blood supply. What's being done about our blood supply? The American Red Cross is working hard to adapt to new climate realities. It is planning ahead to ensure hospitals in areas expecting extreme weather are well-stocked with blood. It is also getting creative with donor incentives offering Amazon gift cards to encourage participation, for example. Ordinary people like you can help by reducing your carbon footprint. Simple actions such as using energy-efficient appliances, reducing meat consumption, or opting for public transportation can make a real difference. If you can, consider donating blood. It can help your community and save a life. Plus, many donation centers are air-conditioned, making them a cool refuge on a hot day. Join our free newsletter for weekly updates on the latest innovations improving our lives and shaping our future, and don't miss this cool list of easy ways to help yourself while helping the planet. Sign up for CNNs Wonder Theory science newsletter. Explore the universe with news on fascinating discoveries, scientific advancements and more. Rapa Nui, also known as Easter Island, never experienced a ruinous population collapse, according to an analysis of ancient DNA from 15 former inhabitants of the remote island in the Pacific Ocean. The analysis also suggested that inhabitants of the island, which lies about 3,700 kilometers (2,300 miles) from the South American mainland, reached the Americas in the 1300s long before Christopher Columbus 1492 landing in the New World. Settled by Polynesian seafarers 800 years ago, Rapa Nui, today part of Chile, has hundreds of monumental stone heads that echo of the past. The island has long been a place of intrigue. Some experts, such as geographer Jared Diamond in his 2005 book, Collapse, used Easter Island as a cautionary tale of how the exploitation of limited resources can result in catastrophic population decline, ecological devastation and the destruction of a society through infighting. But that theory remains contentious, and other archaeological evidence suggests that Rapa Nui was home to a small but sustainable society. The new analysis marks the first time scientists have used ancient DNA to address the question of whether Easter Island saw a self-inflicted societal collapse, helping to shed light on its mysterious past. Easter Island genomes To investigate Rapa Nuis history further, researchers sequenced the genomes of 15 former residents who lived on the island during the past 400 years. The remains are stored at the Musee de lHomme, or Museum of Mankind, in Paris, which is part of the French National Museum of Natural History. The researchers found no evidence of a genetic bottleneck corresponding to a steep drop in population, according to the study that published Wednesday in the scientific journal Nature. Instead, the island was home to a small population that steadily increased in size until the 1860s, the analysis suggested. At this point, the study noted, slave raiders from Peru forcibly removed one-third of the islands population. There is definitely not a strong population collapse, like it has been argued, a population collapse where 80% of the population or 90% of the population died, said study coauthor J. Victor Moreno-Mayar, an assistant professor of geogenetics at the University of Copenhagens Globe Institute in Denmark. Rapa Nui is today a part of Chile and has long been a source of a fascination. An engraving depicts the giant statues, or moai, at the volcanic crater Rano Raraku. - J. L. Charmet/De Agostini/Getty Images The genomes also revealed that the Easter Islanders had exchanged genes with a Native American population, suggesting that the inhabitants crossed the ocean to South America somewhere between 1250 and 1430, ahead of Columbus arrival in the Americas and well before Europeans reached Rapa Nui in 1722. Around 6% to 11% of the individuals genomes can be traced to coastal South American ancestors, the study found, and the teams analysis provided information about when these two groups met and had offspring. The authors estimated it took place 15 to 17 generations before that of the individuals studied. Polynesian seafarers The finding is not totally surprising. Oral histories and analysis of the DNA of present-day islanders suggested such ancestry, and remains of sweet potato, an import from South America, have been found on the island predating European contact, Moreno-Mayar said. Some experts, and the wider public, have been reluctant to let go of cataclysmic stories about Easter Island, said Lisa Matisoo-Smith, a professor of biological anthropology at New Zealands University of Otago. But the ancient genomes add to a growing body of evidence that the idea of a self-inflicted population collapse on Easter Island is a false narrative, said Matisoo-Smith, who wasnt involved in the study. We know that the original Polynesian voyagers who discovered and settled Rapa Nui at least 800 years ago were among the greatest navigators and voyagers in the world, she said in a statement shared by New Zealands Science Media Centre. Their ancestors had spent at least 3000 years living in an Oceanic environment. They sailed eastwards across thousands of kilometres of open ocean and found almost all habitable islands across the vast Pacific. It would be more surprising if they had not reached the coast of South America. These results do provide some intriguing evidence of the timing of that contact. Matisoo-Smith noted that scholars based in Pacific regions had questioned the narrative of ecocide and society collapse based on a range of archaeological evidence. But now, we finally have ancient DNA evidence that directly addresses these two questions and perhaps will allow us to focus on a more realistic narrative of the history of this intriguing, yet actually rather typical, Polynesian island, she said. A study published in June, based on satellite imagery of land once used to grow food, reached a similar conclusion. DNA analysis of human remains The human remains used in the new DNA analysis had been collected by French scholar Alphonse Pinart in 1877 and Swiss anthropologist Alfred Metraux in 1935, according to the latest study, which cited museum archives. Under what circumstances the remains were taken isnt clear, the study said, but they were part of a wider trend of collecting from colonized regions during the late 19th and early 20th centuries. The research team worked with Rapa Nui communities and government institutions to obtain consent for the study. The scientists said they hoped the results would help facilitate repatriation of the remains so that the individuals could be laid to rest on the island. For more CNN news and newsletters create an account at CNN.com Andrade leads after day one at Sanford International SIOUX FALLS, S.D. (KELO) Billy Andrade carded a seven-under during the first day of the Sanford International at Minnehaha Country Club. After managing just one birdie on the front nine, the American registered six on the back-nine, including five straight from 14 to 18. There is a four-way tie at second place between three-time champion Steve Stricker, Steve Allan, Ken Tanigawa and Michael Wright at 3-under. Sioux Falls Ryan Jansa shot a 3-over 73. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KELOLAND.com. ANDREWS, Texas (KMID/KPEJ) The Andrews Police Department has released a joint press release with the Andrews County Sheriffs Office, and Fire Marshalls Office regarding the officer-involved shooting that occurred Friday evening. According to the release, on Friday, September 13, officers attempted to serve a felony arrest warrant for indecency with a child. The suspect, identified as 31-year-old Ricardo Infante Jr, was located at a residence in the 900 block of West 5th Street. Officers contacted Infante inside the residence and attempted to take him into custody. During the struggle, a knife was used to stab one Andrews County Sheriffs Deputy and one Fire Marshalls Officer. One Andrews Police Department officer responded by firing their weapon, hitting Infante. First aid was rendered to both injured officers and Infante. Infante was pronounced dead on the scene. There were no other reports of injuries during the incident. The injured deputy was flown to an area hospital for emergency surgery. The surgery was successful and the deputy is in stable condition. The Fire Marshalls officer was treated and released from Permian Regional Medical Center. RELATED: Two deputies, one Fire Marshall officer hurt during officer-involved shooting The other Sheriffs Deputy and Andrews Police Officer were also treated for minor injuries. They have been reported as being ok as their ballistic vests protected them from more serious injuries. The investigation has been turned over to the Texas Rangers, per standard protocol. Involved deputies and officers will be on administrative leave during the investigation. This case remains an active investigation by the Texas Rangers. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Yourbasin. GAZA, Sept. 14 (Xinhua) -- At least five Palestinians were killed in an Israeli airstrike on a school housing displaced people southeast of Gaza City, according to Palestinian and Israeli sources on Saturday. Palestinian security sources told Xinhua that Israeli warplanes attacked the Shuhada' al-Zaytun school in the Al-Zaytun neighborhood. Medical sources said that the airstrike killed five Palestinians, including children and women, and left several others injured, who were then transported to hospital. The Israeli military confirmed that its air force conducted a precise and intelligence-guided strike on a group operating within a "command and control complex" formerly used as the Shuhada' al-Zaytun school in Gaza City. The Israeli military statement said Hamas operatives used the complex to plan and execute operations against Israel. Israel launched a large-scale offensive against Hamas in the Gaza Strip to retaliate against a Hamas rampage through the southern Israeli border on Oct. 7, 2023, during which about 1,200 people were killed and 250 others taken hostage. The Palestinian death toll from ongoing Israeli attacks in the Gaza Strip has risen to 41,182, Gaza-based health authorities said in a statement on Saturday. Another Texan and George W. Bush Republican backs Harris over Trump. Will it matter? | Opinion The most surprising presidential endorsement of the week was not from Taylor Swift, Americas favorite childless cat lady, or her beloved Ragdoll cat, Benjamin. The shock of the week or maybe not came from Texan Alberto Gonzales, a Houston Republican and one of the highest-ranking Hispanic officials in American history. Gonzales rose from a family of nine packed into a two-bedroom house to become Texas secretary of state, a Texas Supreme Court justice and U.S. attorney general, all under George W. Bush. When Donald Trump first ran for president in 2016, Gonzales wrote a commentary in The Washington Post defending Trumps harsh comments about judges. But at some point after the Jan. 6, 2021, U.S. Capitol riot maybe before Gonzales had seen enough. He now has endorsed Kamala Harris for president. Gonzales joined former Vice President Dick Cheney in the trickle of Republicans with Texas and Bush administration roots crossing over to support Harris, not Trump. This talk about the United States being in decline, becoming a Third World country that were a disgrace was an embarrassment, Gonzales told CNN anchor John Berman, who was almost incredulous at the news. In a year when Republicans hope to flip U.S. and state House seats in South Texas, Gonzales wrote in a Politico commentary that the Democratic nominee is the best suited, able and committed to unite us in a manner consistent with the rule of law. Texas Republicans now boo and hiss former Bush administration officials and nearly every Republican who came before Trump. So, even if its not a surprise that Gonzales sides with Harris, its a surprise that he is so emphatic. I cant sit quietly as Donald Trump perhaps the most serious threat to the rule of law in a generation eyes a return to the White House, Gonzales wrote in Politico. Gonzales wrote that Trumps rhetoric and conduct show little evidence that he has the integrity and character to responsibly wield the power of the presidency within the limits of the law. Alberto Gonzales, left, when he was named Texas Secretary of State for then-Texas Gov. George W. Bush on Dec. 2, 1997. More than a year ago, Gonzales was interviewed by the Post in his role as dean and professor at the Belmont University School of Law in Nashville. He called Trumps bashing of grand jurors, prosecutors and judges extremely dangerous and said that the Jan. 6 riot is a perfect example. You know, for the longest time, because there has been sort of crazy rhetoric out there for many, many years surrounding former President Trump, and for the longest time I just sort of ignored it as silly, Gonzales said. But for quite some time now I have come to the conclusion that it is too dangerous to ignore it. Texas political experts who remember Gonzales doubted his backing will make much difference. For one thing, Gonzales isnt well-known among traditional Republicans in the seven telling states that will decide the next president. For another, his support may remind not only Republicans but also anti-police Democrats that Harris is a 27-year prosecutor, district attorney and California attorney general. Then there was Gonzales own record as attorney general. This is one of those endorsements that is quite likely a net-negative, Mark P. Jones wrote by email. He is a political science professor at Gonzales alma mater Rice University and also a chair in Latin American Studies. Democrats who remember Gonzales remember him for defending the waterboarding of detainees under Bush during the Iraq War and the use of the PATRIOT Act to spy on U.S. citizens, Jones wrote. Weatherford College professor Darrell Castillo worked in the White House under President Ronald Reagan. He wrote by email that Gonzales is viewed as a neocon and RINO, referring to a Republican in name only and comparing Gonzales to Republicans who opposed Reagan. Gonzales and Cheneys Harris endorsements are both part of a longstanding split between Bush and Trump supporters. Gonzales endorsement doesnt carry much weight, SMU professor Cal Jillson wrote by email. But it will draw some attention to former President Bushs determined silence on this election, Jillson wrote. Probably busy painting. TCU professor Jim Riddlesperger wrote that endorsements late in the race dont make much difference. But they can spur disinterested voters to get involved. I dont think Gonzales endorsement will have this effect, Riddlesperger wrote. But Taylor Swifts does! If only Gonzales had a cat. Do you have an opinion on this topic? Tell us! We love to hear from Texans with opinions on the news and to publish those views in the Opinion section. Letters should be no more than 150 words. Writers should submit letters only once every 30 days. Include your name, address (including city of residence), phone number and email address, so we can contact you if we have questions. You can submit a letter to the editor two ways: Email letters@star-telegram.com (preferred). Fill out this online form. Please note: Letters will be edited for style and clarity. Publication is not guaranteed. The best letters are focused on one topic. BARROW COUNTY, Ga. - Authorities have released the 911 calls made from Apalachee High School on the day of the shooting, Sept. 4. Colt Gray, 14, is accused of pulling out a high-powered rifle from his backpack a gift from his father, Colin, according to investigators and opening fire, killing four people and injuring nine others. The Barrow County 911 call center was inundated with phone calls around 10:20 a.m., and many people received an automated message explaining there was a "high call volume." An emotional caller, struggling to find the right words, contacted 911 to try to relay information provided by her daughter. Caller: "My daughter calling me crying. Somebody go 'boom, boom, boom, boom'" Barrow 911: "Ma'am we have officers out there, OK?" Caller: "Oh yes, please at Apalachee High School." Some of the calls rolled into neighboring Gwinnett County. Barrow 911: "Barrow County 911, what is your emergency?" Gwinnett 911: "Hey, Barrow, this is Gwinnett, we have a caller on the line who said he got a call from his girlfriend advising of an active shooter at Apalachee High School." Barrow 911: "I have units on the scene there, I cannot confirm or deny what is going on there." The caller stated that he had been texting with his girlfriend, who was inside the school, hiding from the shots. She was eventually evacuated from the school. Nearby Yargo Elementary School and Haymon-Morris Middle School were also on lockdown. Parents there flooded the 911 call center. Caller: "Sir, my daughter goes to school next door to Apalachee. Is there a school shooter?" Barrow 911: "We do have an active situation Apalachee High School right now. We have a lot of calls coming in." The 911 operator explained all the schools are on a hard lockdown. Due to Georgia law, many of the calls will not be released. In a letter to FOX 5, Barrow County Emergency Communications explained that "911 calls that contain the speech or cries of a minor" are not part of Georgias open records, as most of the calls were made from inside the school The Apalachee High School gathered to mourn those killed in Wednesday's attack, pray for those who were injured, and try come to grips with the atrocity at a makeshift memorial in front of the Winder school on Sept. 6, 2024. (FOX 5) RELATED CONTENT: Apalachee High School teachers Cristina Irimie and Richard Aspirnwall, along with 14-year-old students Christian Angulo and Mason Schermerhorn, were killed in the attack. Colt Gray, Colin Gray (Barrow County Sheriff's Office) Both Colt and his father, Colin, now face charges connected to the shooting. Colt Gray was charged with four counts of felony murder. Colin Gray was charged with four separate counts four of involuntary manslaughter, two of second-degree murder, and eight of cruelty to children. Both are being held without bond. The attorney for the father of suspected Apalachee High School shooter Colt Gray has filed a motion to withdraw from the case. Channel 2s Courtney Francisco obtained a copy of Arnold Ragas motion to remove himself as Colin Grays attorney. Colin Gray is charged with second-degree murder, involuntary manslaughter and cruelty to children. If convicted on all counts, he faces a maximum sentence of 180 years. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks] Ragas is Deputy Director of the Georgia Public Defender Council and was appointed to represent Colin Gray. The motion to withdraw is part of the judicial process when a defendant obtains private attorneys. In the court document, Ragas said Grays private attorney filed a motion to take over the case on Wednesday, Sept. 11. Court records now list Jimmy Berry and Brian Hobbs representing the older Gray. Alfonso Kraft Jr. is listed as the teenagers attorney, according to the Barrow County Clerks Office. RELATED STORIES: This comes after Colin Gray filed a motion asking to be separated from all other inmates in order to ensure his personal safety. Colin Gray was arrested after his son, Colt Gray, was accused of shooting and killing four people inside Apalachee High School and wounding nine others. The younger Gray is charged with four counts of felony murder. [SIGN UP: WSB-TV Daily Headlines Newsletter] IN RELATED NEWS: DES MOINES, Iowa (KCAU) The Sierra Club Iowa Chapter has filed an appeal to overturn the Iowa Utilities Commissions decision to grant Summit Carbon Solutions a permit for their CO2 pipeline. The chapter claims that the decision should be reversed for several reasons. According to the lawsuit, Summits claim for having the pipeline was that it would benefit the ethanol industry. The Sierra Club says there was no evidence to support the claim and was based on pure speculation. South Sioux City CSD goes into secure status temporarily The chapter says a court can take away the decision if a judge determines the claim has no substantial evidence. The Iowa Utilities Commission ruled back in June to grant the permit to Summit Carbon Solutions. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to SiouxlandProud | Sioux City, IA | News, Weather, and Sports. A federal appeals court declined to block enforcement of Colorados ban on conversion therapy for minors, saying the law does not restrict free speech but instead legally regulates conduct. House Bill 1129 was enacted in 2019. It bans state-licensed mental health providers from trying to change a minor patients gender identity or same-sex sexual attractions. In a 2-1 decision handed down on Monday, a three-judge panel of the Tenth Circuit Court of Appeals upheld the law and struck down a request for an injunction. Kaley Chiles is a licensed professional counselor in Colorado Springs who sometimes provides counseling on matters of gender and sexual identity. She views counseling as an outgrowth of her Christian beliefs, which condemn same-sex sexual relations as sinful. She filed suit claiming the law infringed upon her free speech rights. Filing briefs supporting the law were One Colorado, the states largest LGBTQ+ advocacy group; the National Center for Lesbian Rights (NCLR), the national LGBTQ+ civil rights legal advocacy group; and Born Perfect, NCLRs survivor-led program to fight conversion therapy. We are extremely pleased with todays decision, which allows Colorado to continue protecting its LGBTQ children and adolescents from the dangers of conversion therapy, Chris Stoll, senior staff attorney at NCLR, said in a statement. These discredited practices, which falsely promise to be able to change a young persons sexual orientation or gender identity, have been rejected as unsafe by every major medical and mental health organization in the country. Born Perfect applauds the Tenth Circuit for ensuring that Colorado can continue to protect youth from the dangers of conversion therapy, Mathew Shurka, Born Perfects co-founder and chief strategist, said in a statement. Advocates and survivors like me are getting the reassurance we need, that every child is Born Perfect. We are delighted that Colorado's youth will remain protected from conversion therapy, Nadine Bridges, executive director of One Colorado, said in a statement. Research has shown that conversion therapy can cause increased rates of depression, anxiety, substance abuse, homelessness, self-harm, and suicide. LGBTQ+ youth are especially at risk, as are families who are misled into believing these dangerous practices can prevent their children from being who they truly are. Chiles filed the suit with the help of the right-wing Alliance Defending Freedom (ADF) legal group. Displeased with the decision, ADF referred to the law as COs Counseling Censorship Law in a post to social media. ADF counsel Cody Barnett also took issue with the rulings finding that counseling is not speech. Counseling is speech, not conduct, and it must be treated as such under the First Amendment, Barnett said following the decision. The government has no business censoring private conversations between clients and counselors, nor should a counselor be used as a tool to impose the governments biased views on her clients. Chiles and ADF vowed to appeal the courts decision. DENVER (KDVR) The Archdiocese of Denver announced Friday that it will close two K-8 schools, including one in just a few months, and consolidate two high schools. Wellspring Catholic Academy of St. Bernadette in Lakewood is set to close on Dec. 20. Guardian Angels Catholic School in Denver will close at the end of the 2024-25 school year. Bishop Machebeuf High School will combine with St. John Paul the Great High School in Denver for the 2025-26 school year. Trump promises mass deportations, starting in Aurora Serious financial challenges have required tens of millions of dollars from the Archdiocese in the last decade, the archdiocese stated in a release on Friday. Additionally, Colorado schools have seen declining enrollment due to ongoing demographic changes. Therefore, the difficult decision to restructure has become necessary to ensure the overall sustainability of the Churchs educational mission. Demographic changes have affected school districts throughout the Denver metro area in recent years. Jefferson County has moved to close 21 public schools, and Denver has closed several schools and is looking to close more. Farther north, the Poudre School District considered but held back on a plan to close a handful of schools there. FOX31 Newsletters: Sign up to get breaking news sent to your inbox The Archdiocese of Denver said it is committed to helping staff find new roles within its school system and supporting students as they move to a new school community. They say the consolidation should strengthen enrollment at several nearby Catholic schools, some of which are just a few miles away from the schools that will close. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX31 Denver. Arizona man indicted for attempting to lure a minor who turned out to be an undercover agent: DOJ PHOENIX - Federal justice officials in California say a grand jury has indicted a West Valley man for allegedly trying to lure a minor online. In a statement released on Sept. 13, prosecutors said 42-year-old Anthony Dalton Wolff of Surprise was indicted on a count of distribution of a visual depiction of a minor engaged in sexually explicit conduct, and a count of attempted coercion and enticement. "Between March 16, 2023, and July 30, 2024, Wolff met and then communicated with an undercover federal agent on a forum on the dark web dedicated to discussion of child sexual abuse. The undercover agent had established a profile for a mother with a seven-year-old daughter," read a portion of the statement. "Wolff contacted the agent and immediately stated that he had a long-standing sexual interest in girls, and he hoped to have a sexual relationship with the agent and her purported daughter." Officials said Wolff later moved communications to Telegram, which they described as a "fully encrypted application that does not cooperate with law enforcement," and "frequently sent videos of himself while viewing and commenting on some of his favorite child exploitation videos." "He planned to meet the agent and her daughter in California and described in graphic detail how he wanted to sexually abuse the girl and to have another child with the mother so he could sexually abuse that child," read a portion of the statement. Per the statement, federal agents served a search warrant at Wolff's home on Aug. 28, where the devices allegedly used in connection with the incident were seized. "A federal magistrate judge in Phoenix, Arizona, ordered Wolff detained as a danger to the community, and he will appear before a magistrate judge in Fresno as soon as he arrives in the Eastern District of California," prosecutors wrote. If convicted on the distribution charge, prosecutors say Wolff faces five to 20 years in prison, with a fine of up to $250,000. As for the attempted coercion charge, Wolff faces 10 years to life in prison if he is convicted, as well as a fine of up to $250,000. "Law enforcement believes there may be additional victims in this case and urges anyone with information to come forward by calling the HSI Tip Line at 877-4-HSI-TIP or through the CyberTipline on the National Center for Missing & Exploited Children's (NCMEC) website," prosecutors wrote. From left: Arkansas Department of Education Chief of Staff Courtney Salas-Ford, Arkansas PBS Executive Director and CEO Courtney Pledger, PBS Chief Financial Officer Jason Kuna and ADE CFO Greg Rogers answer questions from the Legislative Joint Auditing Committee on Friday, September 13, 2024. (Screenshot/Arkansas Legislature) An audit into Arkansas PBS procurements and related processes continued to raise concerns from state lawmakers about the educational television networks business practices at a Friday meeting. The Legislative Joint Auditing Committee sent the audit report to its State Agencies subcommittee to be discussed further next month before the full committee will vote to file it. Auditors have referred the report which examined the networks expenditures, purchasing procedures and internal controls from July 1, 2021 to Dec. 30, 2023 to Attorney General Tim Griffin and 20th Judicial District Prosecuting Attorney Carol Crews. The committee asked Arkansas Legislative Audit to conduct the investigation in November 2023 after the regularly scheduled 2022 audit of the network indicated that officials might have sidestepped state laws related to contract bidding. Purchases of more than $20,000 would have triggered a bidding process, and the network made several purchases just below that threshold from the same company, according to the 2022 audit. Intentionally circumventing procurement law is illegal, according to the audit report. Executive Director and CEO Courtney Pledger told the committee there was no such intention, which she has told lawmakers before. Arkansas PBS has taken steps to ensure the mistakes and errors that drew concern from lawmakers wont happen again, Pledger said. For example, PBS limited the number of employees who touch procurement, hired an experienced procurement manager, created a new fiscal manager role and hired a new controller, accounting coordinator and chief financial officer, she said. The special audits findings included: The networks infrequent use of standard contract documents for procurement, instead using scope statements, or detailed descriptions of projects for vendors; A lack of a clear definition of what constitutes a project for procurement purposes; 44 instances in the review period where PBS paid multiple vendors that were located at the same address; Two instances, totaling $32,750, where the network paid for goods and services before receiving them or before vendors completed them; A $131,843 penalty from the Corporation for Public Broadcasting for filing a financial report late, though CPB has reduced the fine to less than $33,000 and will deduct it from a future grant; $14,265 paid during the review period to an out-of-state law firm that had been on the networks retainer since at least 2014. The Attorney Generals office is responsible for state agencies legal counsel, and auditors could not find documents authorizing the firm to represent the network from 2019 onward. Pledger told lawmakers last year that Arkansas PBS was training its entire staff in state procurement law. Auditors recommended in the report that this training continue. Auditors also recommended that the network comply with procurement laws, submit reports to grantors and oversight organizations in a timely manner, and seek approval for outside legal counsel when necessary. Lawmakers from both parties asked Pledger for reassurance that future audits will not yield the same results. Are we not going to have these bids that are $19,999 that go to the same vendor? said Sen. Linda Chesterfield, D-Little Rock. Sen. Kim Hammer, R-Benton, said he was not convinced the audit findings were mistakes and errors as Pledger said and suggested that perhaps time will tell that some of this stuff was done intentionally. Pledger said the Legislature can count on Arkansas PBS to learn from its errors in judgment. We will not do any of the things listed in here ever again, she said. For the $20,000 benchmark for bids, nothing anywhere near will go through our shop without bids. Special PBS audit of expenditures Sullivans questions The committee voted to file the 2022 and 2023 regular audits, as is standard practice for audits of state agencies. Lawmakers deliberately delayed filing both until the special audit had been completed. Rep. Justin Gonzales, R-Okolona, made the motion to send the special audit report to the State Agencies subcommittee, which will meet Oct. 10, in response to questions from Sen. Dan Sullivan, R-Jonesboro. Sullivan has been the Legislatures most vocal critic of Arkansas PBS. He unsuccessfully tried to reduce the networks spending authority in the 2022 and 2024 fiscal sessions. The governor appointed Sullivans wife to the Arkansas PBS Commission earlier this year. Sen. Dan Sullivan, R-Jonesboro (John Sykes/Arkansas Advocate) Arkansas PBS, formerly known as Arkansas Educational Television Network (AETN), is technically part of the Division of Elementary and Secondary Education within the state Department of Education but acts independently of the two entities. Sullivan asked Arkansas Department of Education officials if the state has any responsibility for ensuring that PBS corrects the issues revealed by the audits. The network is required to submit a corrective action plan to the department before receiving any additional grant funds, department CFO Greg Rogers said. One such grant from the department funded Rise and Shine, a program Arkansas PBS started broadcasting to boost school-aged childrens learning during the COVID-19 pandemic. Sullivan asked Pledger if the network measures increases in students learning, test scores and the quality of Arkansas schools based on the reach of supplementary education programs like Rise and Shine. Pledger said she did not think there was any way to draw that line. Thats reasonable, I get it, but the answer would be no, we dont have the data, Sullivan said. He mentioned that earlier this year, the state withdrew a contract with an Indiana-based education company following pushback from lawmakers on the proposals high cost and the vendors apparent ineffectiveness. Chesterfield, a former teacher, offered a different perspective. Sen. Linda Chesterfield, D-Little Rock Its very difficult when youre dealing with supplemental education to determine that it has a direct impact on whether or not great improvement is taking place, she said. We know that Arkansas students still have a long way to go, and PBS is not the reason. She added that ADE is responsible for measuring the impact of programs while PBS is simply responsible for distributing those programs. I think in this conversation [about audit findings], we lose some of that knowledge about what you do well, Chesterfield told Pledger. In my opinion, we cant just deal with what you do poorly. We must also deal with things that you do well. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX ARLINGTON, Va. (DC News Now) Arlington police were looking for a black shirt and bag Friday after chasing someone down. The Arlington County Police Department (ACPD) said at around 4:10 p.m., officers arrested someone in the Langston Blvd. and N. Adams Street area. Pentagon lockdown lifted after report of armed individual at Transit Center They had run away from a stolen car in the N. Veitch St. and Wilson Blvd area. Before the person was taken into custody, they ran into the area of Custis and N. Barton streets and threw away the items. Police are searching the area for the shirt and bag and are asking residents in the area for help. If anyone finds the items, they should call ACPD at (703) 558-2222. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to DC News Now | Washington, DC. Arrest made in Georgia after threats to schools in NEPA EYEWITNESS NEWS (WBRE/WYOU) Police say they have arrested a juvenile in Georgia who made social media posts threatening violence to schools in northeastern Pennsylvania. According to the Scranton Police Department, in a joint investigation with the Savannah, Georgia Police Department, a juvenile male, no age given, was arrested after police say he made numerous social media posts, on multiple platforms threatening school violence. Officials say the threats identified schools in Lackawanna County and northeastern Pennsylvania. PD: Man facing charges after shots fired in Lycoming County The male is in custody at a detention center in Savannah, Georgia, police note. Further information may be released, officials added. 28/22 News will keep you updated as details become available. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PAhomepage.com. GAZA, Sept. 14 (Xinhua) -- Hamas issued an urgent humanitarian appeal on Saturday to save two million displaced people in the Gaza Strip before winter arrives. "We are issuing an urgent humanitarian appeal to save two million displaced people in the Gaza Strip before it is too late, as winter approaches, weather conditions worsen, and the displaced people's tents deteriorate," the Hamas-run Gaza government media office said in a statement. The statement noted that there are 543 shelter and displacement centers in the Strip due to the Israeli military's "forced displacement by compelling citizens to evacuate their homes and safe residential neighborhoods, which is a violation of international law." According to the statement, 74 percent of the displaced people's tents have become unusable, and 100,000 out of 135,000 tents need immediate replacement. It confirmed that these tents are completely dilapidated as they are made of wood, nylon, and fabric and have deteriorated due to the sun's heat and the climatic conditions in Gaza, becoming completely out of service. The statement urged the international community and relevant organizations to act urgently and provide immediate relief to the displaced people. Israel launched a large-scale offensive against Hamas in the Gaza Strip to retaliate against a Hamas rampage through the southern Israeli border on Oct. 7, 2023, during which about 1,200 people were killed and 250 others taken hostage. Arrest made in murder of Dallas man trying to stop robbery Arrest made in murder of Dallas man trying to stop robbery DALLAS - Police have made an arrest in a murder featured on FOX 4's Trackdown. Forney police arrested 23-year-old Adrion Brown and charged him with the murder of 38-year-old Quentin Gellington in October 2023. Detectives told FOX 4's Shaun Rabb that Gellington intervened in a robbery on Village Fair Drive and tried to defend the victim. The two attempted robbers then turned on Gellington, shooting him. He was then run over by a car. Gellington was pronounced dead at the hospital. An uninvolved woman was also shot. She was treated and released. Police have not released any information about what led them to Brown or his alleged role in the killing. Brown is currently in the Dallas County Jail. Gellington was a father of two, according to police. Allowing Ukraine to use ATACMS to strike targets deep inside Russia is the subject of "intense consultations," U.S. National Security Adviser Jake Sullivan, said on Sept. 14. Speaking at the Yalta European Strategy conference in Kyiv, Sullivan reiterated that no decision had yet been made, a day after President Joe Biden and U.K. Prime Minister Keir Starmer met in Washington to discuss the issue. Ukraine had been hoping for permission to be granted during the talks to use two Western-supplied long-range missiles Storm Shadow and ATACMS to strike military targets such as airfields located deep inside Russian territory. But Starmer left Washington with no such announcement being made, putting Kyiv's hopes on hold once again. "I understand Ukraine's perspective on it," Sullivan said, adding: "But rather than me trying to elaborate on the reasoning one way or the other, this is something that I think is the subject of intense consultation among allies and partners, and will be (discussed) between President (Biden) and President Zelensky." Zelensky is due to travel to New York later this month for the United Nations General Assembly. Speaking at the same conference a day earlier, Zelensky said the U.S.-supplied long-range ATACMS missiles are "pointless" in Ukraine due to their limited quantity and restrictions on strikes deep inside Russia imposed by Western partners. The U.S. began providing Ukraine with Army Tactical Missile Systems (ATACMS), which have a range of up to 300 kilometers (190 miles), in the spring. While these missiles have been used in Russian-occupied Crimea, Washington's restrictions prevent their use on Russian soil. "ATACMS in the form that we have today (in Ukraine) is pointless if you cannot use it on their (Russian) military bases, airfields with helicopters and airplanes. It's pointless," Zelensky said. "If ATACMS has a big restriction on the use of missiles because there are few of them... Unfortunately, it doesn't work." The NYT reported that it's likely a decision will be made about Storm Shadows before one is reached over ATACMS. While Ukraine has been pleading for permission for months, it's understood the stance of Western nations has changed in light of Iran supplying Russia with ballistic missiles. "We've now seen this action of Russia acquiring ballistic missiles from Iran, which will further empower their aggression in Ukraine. So if anyone is taking escalatory action, it would appear to be Mr. Putin and Russia," U.K. Foreign Secretary David Lammy, said while visiting Kyiv earlier this week. Lammy added that Iran supplying ballistic missiles to Russia "clearly changes the debate" around the issue, as it allows the Kremlin to gain "further penetration into Ukraine." Zelensky is also expected to present Biden with a plan for Ukraine's victory against Russia, which will also be presented to Vice President Kamala Harris and ex-President Donald Trump, the two candidates for the upcoming November presidential election. "I do think we need a comprehensive strategy for success in this war and that is what President Zelensky says he's bringing," Sullivan said. "And so we're very much looking forward to sitting down and talking that through, and President Biden is eager for that conversation. "And it's only right that it be President Zelensky who brings that because it is up to the democratically elected government of Ukraine and the people of Ukraine to set out the course of the war, their path to victory." Read also: Russia launches counteroffensive in Kursk can it push Ukraine off its own turf? Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Authorities were actively looking for Georgia shooting suspect after a warning call from his mom the morning of the attack On the morning of the shooting at a Winder, Georgia, high school that left four people dead, authorities were actively looking for the teenage suspect after the school received a warning call from his mom but there was a mix-up and they werent able to get to him fast enough, according to the Barrow County sheriff. Before last weeks mass shooting at Apalachee High School, Colt Gray, 14, apologized to his mother, Marcee Gray, in an alarming, cryptic text that prompted the mother to warn the school that something could be wrong. Im sorry, mom, the text read. The mother then called the school and asked administrators to check on her son. Thats when authorities started searching for Colt Gray, Barrow County Sheriff Jud Smith told CNN affiliate WXIA. She did speak to someone in the school, and we were actively looking for him, Smith said. I am not aware of her saying he is going to do this, or he has planned this, but there were some messages back and forth, the sheriff added. A resource officer went to look for the boy, but there was another student in the same class with almost identically the same name, and both he and Colt Gray werent inside the classroom at the time, according to the sheriff. He went to the bathroom with a student that has the almost same name thats who they think were looking for, Smith said. Smith said the officers thought they had caught up to Colt Gray in time, but they were actually speaking to the other student. As were trying to figure out whats going on, the shooting starts, Smith told WXIA. Authorities allege Colt fired an AR-15-style rifle inside the high school, killing two teachers and two students. Nine others who were injured eight students and one teacher are expected to recover, authorities said. Newly obtained emergency recordings and dispatch records from the Barrow County Sheriffs Office capture the chaos and panic that unfolded both inside the school as an active shooter was reported and outside it as worried parents received panicked texts from their teenagers. The deadly attack on September 4 marked the 45th school shooting in 2024 and the deadliest US school shooting since the March 2023 rampage at The Covenant School in Nashville. Colt Gray, who authorities say confessed to the Winder high school attack, is charged with four counts of felony murder and will be tried as an adult. His attorney, Alfonso Kraft Jr., declined to comment Wednesday when reached by phone. His father, Colin Gray, has been charged with two counts of second-degree murder, four counts of involuntary manslaughter and eight counts of cruelty to children after authorities accused him of knowingly allowing his son to have a weapon, according to the Georgia Bureau of Investigation. CNN has reached out to Colin Grays attorneys. Law enforcement and first responders control traffic after a shooting took place at Apalachee High School in Winder, Georgia, on September 4, 2024. - Christian Monterrosa/AFP/Getty Images We have an active shooter at Apalachee High School On the morning of the shooting, a 10-minute call was placed from Marcee Grays phone to the school at 9:50 a.m. ET, the Washington Post reported. Colt Gray had left his Algebra 1 class around 9:45 a.m. ET, student Lyela Sayarath, who was sitting next to him in class, previously told CNN. She said a person who later came to the class looking for Colt Gray confused him with another student. An administrator comes in asking for the kid that sits next to me but mistakes him for my friend, Lyela said. The first call for the shooting came in from a RapidSOS device at 10:22 a.m. ET, computer-aided dispatch reports released by Barrow County on Friday show. Active shooter! an officer is heard yelling in one audio clip while speaking with a dispatcher, who repeats the phrase back to him. Another officer can be heard responding calmly, Correct. We have an active shooter at Apalachee High School. Two minutes later, authorities had the suspects name as Colt and one student was dead, according to the reports. At 10:30 a.m., the suspect was in custody, not injured, the reports show. Fifteen minutes later, the reports show one person was dead in a hallway and three were dead in another hallway. An officer, sounding slightly out of breath, asks the dispatcher to roll EMS. She is heard confirming emergency medical services were en route to the high school. Im just worried it was him When a woman who identified herself as Colts aunt found out about the text he had sent, she made a tearful 911 call that morning just after 11:45 a.m. ET. Sobbing, she told a Barrow County 911 operator she was afraid her nephew was involved in the school shooting at Apalachee High School, according to a recording released Friday. My mom just called me and said that Colt texted his mom, my sister and his dad that he was sorry, and they called the school and told the counselor to go get him immediately, the woman told the operator. And then she said she saw that theres been a shooting, and Im just worried it was him. The woman then shared her and her sisters phone numbers with the 911 operator, adding that shed prefer they call his mom first because Ive been trying to get through to somebody. Im just so worried whats going to happen, the woman told the operator. Meanwhile, a school counselor had informed Marcee Gray that her son had made references to school shootings, she told ABC News, prompting her and the teens grandfather to travel 200 miles from Fitzgerald to Winder, Georgia. Concerned parents reported their children were in danger Parents called 911 the day of the shooting concerned about the safety of their children, the new recordings reveal. A parent is on the phone with their child, an officer urgently says in one recording. They are in the art room, locked up. A male caller told a dispatcher in another recording that his daughter, a school psychologist, was working with a student in a trailer next to where the shooting was happening. He said his daughter tried to hide behind a desk with the student. I want them to be aware that shes in a trailer and she cant lock the doors and if they can check on the trailers hopefully, they can check and get her out, the man is heard saying. The dispatcher confirmed whether the student was with the psychologist, to which the caller responds, yes, and she didnt want to call, she didnt want to make any noise. CNNs Isabel Rosales, Andy Rose, Lauren Mascarenhas, Celina Tebor, Eric Levenson, Dakin Andone, Meridith Edwards, Sara Smart, Nouran Salahieh, Steve Almasy, Scott Glover, Holly Yan, Jaide Timm-Garcia, Keith Allen, Rebekah Riess, Devon Sayers, Kelly McCleary, Emma Tucker, Alaa Elassar and Taylor Galgano contributed to this report. For more CNN news and newsletters create an account at CNN.com Michaela DePrince performs during her debut as Kitri in the final rehearsals of the production "Don Quixote" at the Joburg Theatre in Johannesburg, South Africa, on March 5, 2013. She died at the age of 29. File Photo courtesy of PixnioFile photo by Kim Ludbrook Sept. 14 (UPI) -- Ballerina Michaela Mabinty DePrince has died at the age of 29, a message posted on her official Instagram page said. The cause of her death has not yet been disclosed. "Rest in Power: Ballerina Michaela Mabinty DePrince. With pain in our hearts, we share the loss of star ballerina Michaela Mabinty DePrince, whose artistry touched countless hearts and whose spirit inspired many, leaving an indelible mark on the world of ballet, and beyond. Her life was one defined by grace, purpose, and strength," the post said Friday. "Her unwavering commitment to her art, her humanitarian efforts, and her courage in overcoming unimaginable challenges will forever inspire us. She stood as a beacon of hope for many, showing that no matter the obstacles, beauty and greatness can rise from the darkest of places. Though her time with us was far too brief, her brilliance and legacy will continue to shine in the hearts of all who were touched by her story, for generations to come. Love and prayers go to her chosen family, friends, and those who loved her." DePrince went from life as an orphan in Sierra Leone to being adopted and brought to the United States as a child. She studied at the American Ballet Theatre's Jacqueline Kennedy Onassis School of Ballet, then joined the Dance Theatre of Harlem, the Dutch National Ballet and Boston Ballet. Her extraordinary journey was chronicled in the 2011 documentary, First Position. "We're sending our love and support to the family of Michaela Mabinty DePrince at this time of loss. We were so fortunate to know Mabinty; she was a beautiful person, a wonderful dancer, and she will be greatly missed by us all," the Boston Ballet said in a statement. Michel Barnier was selected to be Frances prime minister on Sept 5 - JEFF PACHOULD/AFP via GETTY IMAGES Michel Barnier will not last a year as Frances prime minister, Marine Le Pen said just days before the former Brexit negotiator names his government. The National Rally (RN) leader, a kingmaker in Barniers appointment, told her MPs in Paris on Saturday that there would be new legislative elections in just 10 months. She said of Mr Barnier, a member of the centre-Right Republicans: This is a mandate that I personally want to be as short as possible. I think it cant last. He emerged as Emmanuel Macrons surprise choice as prime minister last week after snap elections in July, which left France with a hung parliament. It ended nearly two months of deadlock but infuriated the New Popular Front coalition of Left-wing parties, which won the election but fell short of a majority. National Rally leader Marine Le Pen with party president Jordan Bardella - LUDOVIC MARIN/AFP via GETTY IMAGES Ms Le Pen could collapse Mr Barniers precarious government by calling a vote of no confidence in the 73-year-old conservative, whose party won just 5 per cent of votes in the National Assembly elections. For now RN said they have the prime minister under surveillance and that his government will not make any major decisions without their involvement. RN won the first round of the snap legislative elections but was prevented from seizing power by electoral pacts that saw establishment parties stand down candidates to keep the hard-Right from government. It still ended the elections as the largest single party. Ms Le Pen said: Our great country that is France cannot function like this, cannot be led by a political force that won 5 per cent. I am convinced that there will be new legislative elections at the end of these 10 months, and I hope for them. Ms Le Pens comments were designed to reassure the party faithful that their tacit support of Mr Barnier was temporary. RNs reticence was said to be a tactic to cast themselves as responsible politicians unwilling to jeopardise the French economy before budget negotiations when Paris is under pressure to reduce its deficit. But it warned it would trigger a vote of no confidence if Mr Barnier moved to raise taxes. Jordan Bardella, the partys president and choice for prime minister, said at the Palais Bourbon: We have not changed our objectives, or our ambitions. We are preparing the alternative that the country needs. He called on the gathered deputies to cross a new threshold and become an influential opposition and to snatch victories everywhere in the months ahead Mr Bardella added: We must remain a constructive opposition whose only compass is the interest of the country and the interest of the French. Mr Barnier is likely to come under RN pressure to fulfil two of his promises from his failed campaign to become French president in 2022. The former EU commissioner called for a moratorium on non-EU immigration for three to five years. Kingmaker Marine Le Pen, leader of largest party in the French Assembly - ALEXIS JUMEAU/ABACA PRESS/ALAMY LIVE NEWS He also demanded a constitutional shield that would prevent the European Court of Justice and non-EU European Court of Human Rights overruling French decisions on migration. Ms Le Pen has long argued against immigration and the supremacy of European courts over national law. Mr Barnier faces a tricky balancing act in naming his new government to prevent a vote of no confidence, which could come as early as October from the Left when he presents his policy objectives A trade union chief on Saturday described Mr Barnier as the weakest prime minister in the history of the Fifth Republic. Mr Barnier said earlier this week: I will form the government next week with serious ministers and a government that will be balanced. He vowed to control immigration with rigour and tenacity and humanity. As for the centrist Mr Macron, the president was reported to be willing to accept he had lost control of the government after years characterised by his Jupiterian direct rule. He told a small group of ministers after an election that cost him his majority: I was a president who governed, I will be a president who presides. But other sources suggested Mr Macron was, like Ms Le Pen, simply biding his time and waiting for Mr Barnier to fail. One anonymous politician told Le Figaro: In the coming weeks, you will see Macron distancing himself from Barnier as if he had the plague. The president cannot dissolve the Assembly and call fresh snap elections for a year. The source said: He will say to himself, If Barnier fails, I must not be blamed for it. And so, we may witness an interminable failure that will suit Macron. The latter has made his calculations. He must hold out for a year to regain his power to screw everything up. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. TAMPA, Fla. - A Bay Area civil rights activist is claiming police brutality after his arrest was captured on a Hillsborough County deputy's bodycam. Carl Soto held a press conference on Friday, with Hillsborough deputies calling one immediately after, saying the arresting deputy was found to not have violated any policies. It all started over a relatively minor traffic violation. The deputy pulled him over after noticing the graphic of a comic book character in the middle of the plate, instead of any official DMV Florida logos or vanity plates. Courtesy: Hillsborough County Sheriff's Office So he pulled him over, and it took only seconds for things to go haywire. "I am going to give you about point-two seconds to explain what you pulled me over for," Soto told the deputy, "because I have not committed any traffic violations." After denying the tag is illegal, Soto became even more agitated. Courtesy: Hillsborough County Sheriff's Office "Get your watch commander out here now," he said. "Let me call your sheriff." The deputy cites the Florida statute that he found Soto to be in violation of, and orders him out of the car. He asks for his license a total of 20 times, opening his door amidst a flurry of arguing back and forth. "You have no lawful reason to open this door," Soto said as he held up his license. "You will not take it." Courtesy: Hillsborough County Sheriff's Office That's when the deputy takes his taser out, and orders him out of the car. He tells the deputy he has trouble standing because he just had back surgery. "Your badge will be removed today," he tells the deputy. "I never did anything unlawful to you. Have you lost your mind?" While his wife tells him over speakerphone to comply, he tells her to call a lawyer. A minute after cuffing one arm, the deputy makes the arrest for resisting without violence. READ: School threat against Newsome High prompts campus lockout, large HCSO presence Soto called a press conference Friday, saying the arrest exacerbated his back injury, and insisting the deputy overreacted and racially profiled him. "I was treated like a criminal and thrown on the ground in the middle of a street like I was a criminal over a license plate," he told assembled media. The deputy is heard on bodycam saying he couldn't have known what race he was given his back windows were tinted. Courtesy: Hillsborough County Sheriff's Office Deputies also say they've reviewed the video and found nothing wrong with the stop, the way the deputy interacted or with the manner of arrest. "(He was) not complying with lawful commands, not handing over his license," said HCSO spokesperson Amanda Granit. "And just it all could have been avoided. And that's what I, you know, this didn't have to end this way, but it did because of Mr. Soto's actions." Soto told the deputy that he had been told by the DMV that his Joker license plate was legal, but the state does not produce legal license plates with comic book characters on them. READ: Uber driver found guilty of sexually assaulting college student Soto has promised to file a lawsuit. HCSO says they have no plans to change the employment status of the deputy who made this arrest. Soto faces a charge of resisting arrest without violence, and has pleaded not guilty. STAY CONNECTED WITH FOX 13 TAMPA BAY: BAY COUNTY, Fla. (WMBB) Schools all over the country are reporting a major increase in school threats since last weeks shootings at Apalachee High School in Georgia. Many Florida schools have been targeted. Just Friday morning, there was a threat of violence against Bay High School in Panama City. Local law enforcement increased their presence on campus. While the threat was deemed not credible, they say they are still taking any and all threats seriously. And they are still investigating. School officials said these threats have serious consequences. Threatening schools is not a joke, is taken seriously at every level. With law enforcement and the state attorneys office. We do this because we want to ensure a safe and secure environment for all of our students at all of our schools. I urge students not to simply just share concerning information among themselves and repost unsubstantiated claims but instead report it immediately to the proper authorities, Bay District Superintendent Mark McQueen said. Holmes County authorities are investigating a threat circulating their schools, as well as false reports of an active shooter at Bethlehem school. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to mypanhandle.com. When school started this year for Mikalay in Belarus, the 15-year-old discovered that his teachers and administrators no longer called him by that name. Instead, they referred to him as Nikolai, its Russian equivalent. What's more, classes at his school one of the country's best are now taught in Russian, not Belarusian, which he has spoken for most of his life. Belarusians like Mikalay are experiencing a new wave of Russification as Moscow expands its economic, political and cultural dominance to overtake the identity of its neighbour. This isn't unprecedented. During the czarist and Soviet eras, Russia imposed its language, symbols, and cultural institutions on Belarus. However, after the USSR dissolved in 1991, Belarus began to reclaim its identity. Belarusian briefly became the official language, and the white-red-white national flag replaced the Soviet-era red hammer and sickle. Related The situation shifted in 1994 when Alexander Lukashenko, a former Soviet collective farm official, came to power. He made Russian an official language alongside Belarusian and removed the nationalist symbols. Now, with Lukashenko in power for over three decades, he has permitted Russia to dominate various aspects of life in Belarus, a nation of 9.5 million. Belarusian is rarely heard on the streets of Minsk and other large cities. Official business is conducted in Russian, which also dominates the media. Lukashenko speaks only Russian, and government officials often avoid using Belarusian. The country relies on Russian loans and cheap energy, forming a political and military alliance with Moscow. This alliance allows President Vladimir Putin to station troops and missiles in Belarus, using it as a staging area for the war in Ukraine. Russian President Vladimir Putin, right, and his Belarus counterpart, Alexander Lukashenko, talk at an economic summit in the Kremlin, in Moscow, Russia, on Dec. 23, 2014. - Maxim Shipenkov/AP I understand that our Belarus is occupied. And who is the president there? Not Lukashenko. The president is Putin, said Svetlana Alexievich, the 2015 Nobel Prize in Literature winner, who lives in Germany in effective exile. The nation has been humiliated and it will be very difficult for Belarusians to recover from this. Belarusian cultural figures have faced persecution, and hundreds of nationalist organisations have been shut down. Experts suggest that Moscow aims to implement in Belarus what it intended for Ukraine when the war there began in 2022. It is obvious that our children are being deliberately deprived of their native language, history, and Belarusian identity, but parents have been strongly advised not to ask questions about Russification, said Anatoly, father of a Belarusian student, speaking on condition of anonymity due to fear of retribution. We were informed about the synchronisation of the curriculum with Russia this year and were shown a propaganda film about how the Ukrainian special services are allegedly recruiting our teenagers and forcing them to commit sabotage in Belarus, he added. Only a few schools have managed to retain some paperwork and courses in Belarusian. In recent years, one of these schools saw dozens of its teachers fired, and the Belarusian-language section of its website disappeared. Lukashenko derides his native language, stating, Nothing great can be expressed in Belarusian. There are only two great languages in the world: Russian and English. Belarus was part of the Russian empire for centuries and became one of the 15 Soviet republics after the 1917 Bolshevik Revolution. Daily use of Belarusian decreased and continued only in the country's west, north, and rural areas. In 1994, about 40% of students were taught in Belarusian; now, it's under 9%. Speaking Belarusian is seen as opposition to Lukashenko and a declaration of national identity, which played a key role in the mass protests after the disputed 2020 election that gave Lukashenko a sixth term. In the harsh crackdown that followed, half a million people fled the country. At the same time, more people want to speak Belarusian, which has become one of the symbols of freedom, but they're afraid to do it in public, said Alina Nahornaja, author of Language 404, a book about Belarusians who faced discrimination for speaking their native language. Like Ukrainians, Belarusians desired closer ties with Europe, accompanying their nationalist sentiment, noted Belarusian analyst Valery Karbalevich. But the Kremlin quickly realised the danger and began the process of creeping Russification in Belarus, he added. This led to the emergence of pro-Russian organisations, joint educational programmes, and cultural projects, like mushrooms after the rain against the backdrop of harsh repressions against everything Belarusian, Karbalevich said. Belarusian athletes carry the countrys flag during celebrations marking Independence Day in Minsk, Belarus, on July 3, 2013. - AP/Copyright 2013 The AP. All rights reserved Censorship and bans affect not only contemporary Belarusian literature but also its classics. In 2023, the prosecutors office declared extremist the 19th-century poems of Vincent Dunin-Martsinkyevich, who opposed the Russian Empire. When the Kremlin supported Lukashenko against the anti-government protests in 2020, it ensured his loyalty and received carte blanche in Belarus. Today, Lukashenko is paying Putin with our sovereignty, said exiled opposition leader Sviatlana Tsikhanouskaya. Belarusian national identity, cultures, and language are our strongest weapons against the Russian world and Russification. In February she had posted on X that "being labeled as 'extremist' means loving your country & speaking your language." Four cities in Belarus now host a Russia House to promote Russian culture and influence, offering seminars, film clubs, exhibitions, and competitions. The goal is to plant Russian narratives so that as many Belarusians as possible view Russian as their own, said analyst Alexander Friedman. The Kremlin spares no expense and acts on a grand scale, which could be especially effective and dangerous in a situation where Belarus has found itself in information isolation, and there is almost no one left inside the country to resist the Russian world. Putin published an article denying the existence of an independent Ukraine back in 2021, and even then we understood perfectly well that he was pursuing similar goals in Belarus," Latushka said. TALLINN, Estonia (AP) When school started this year for Mikalay in Belarus, the 15-year-old discovered that his teachers and administrators no longer called him by that name. Instead, they referred to him as Nikolai, its Russian equivalent. What's more, classes at his school one of the country's best are now taught in Russian, not Belarusian, which he has spoken for most of his life. Belarusians like Mikalay are experiencing a new wave of Russification as Moscow expands its economic, political and cultural dominance to overtake the identity of its neighbor. It's not the first time. Russia under the czars and in the era of the Soviet Union imposed its language, symbols and cultural institutions on Belarus. But with the demise of the USSR in 1991, the country began to assert its identity, and Belarusian briefly became the official language, with the white-red-white national flag replacing a version of the red hammer and sickle. But all that changed in 1994, after Alexander Lukashenko, a former Soviet collective farm official, came to power. The authoritarian leader made Russian an official language, alongside Belarusian, and did away with the nationalist symbols. Now, with Lukashenko in control of the country for over three decades, he has allowed Russia to dominate all aspects of life in Belarus, a country of 9.5 million people. Belarusian, which like Russian uses the Cyrillic alphabet, is hardly heard on the streets of Minsk and other large cities anymore. Official business is conducted in Russian, which dominates the majority of the media. Lukashenko speaks only Russian, and government officials often don't use their native tongue. The country depends on Russian loans and cheap energy and has created a political and military alliance with Moscow, allowing President Vladimir Putin to deploy troops and missiles on its soil, which was used as a staging area for the war in Ukraine. I understand that our Belarus is occupied. And who is the president there? Not Lukashenko. The president is Putin, said Svetlana Alexievich, who won the 2015 Nobel Prize for literature and lives in Germany in effective exile. The nation has been humiliated and it will be very difficult for Belarusians to recover from this. Belarusian cultural figures have been persecuted and hundreds of its nationalist organizations have been closed. Experts say Moscow is seeking to implement in Belarus what the Kremlin intended to do in neighboring Ukraine when the war there began in 2022. It is obvious that our children are being deliberately deprived of their native language, history and Belarusian identity, but parents have been strongly advised not to ask questions about Russification, said Mikalays father, Anatoly, who spoke to The Associated Press on condition his last name not be used, for fear of retribution. We were informed about the synchronization of the curriculum with Russia this year and were shown a propaganda film about how the Ukrainian special services are allegedly recruiting our teenagers and forcing them to commit sabotage in Belarus, he said. Mikalay's school was one of the few where paperwork and some courses were conducted in Belarusian. In recent years, however, dozens of teachers were fired and the Belarusian-language section of its website vanished. Human rights advocate Ales Bialiatski, convicted in 2023 on charges stemming from his Nobel Peace Prize-winning work, demanded his trial be conducted in Belarusian. The court rejected it and sentenced him to 10 years. Lukashenko derides his native language, saying nothing great can be expressed in Belarusian. There are only two great languages in the world: Russian and English. Speaking to Russian state media, Lukashenko recounted how Putin once thanked him for making Russian the dominant language in Belarus. I said, Wait, what are you thanking me for? ... The Russian language is my language, we were part of one empire, and we're taking part in (helping) that language develop,' Lukashenko said. Belarus was part of the Russian empire for centuries and became one of 15 Soviet republics after the 1917 Bolshevik Revolution. Daily use of the Belarusian language decreased and continued only in the country's west and north and in rural areas. In 1994, about 40% of students were taught in Belarusian; it's now down to under 9%. Although Belarusian, like Russian, is an eastern Slavic language, its vocabulary is considerably different. In 1517, Belarusian publisher Francysk Skaryna was one of the first in eastern Europe to translate the Bible into his native language. Even speaking Belarusian is seen as a show of opposition to Lukashenko and a declaration of national identity. That played a key role in the mass protests after the disputed 2020 election gave the authoritarian leader a sixth term. In the harsh crackdown that followed, a half-million people fled the country. The Belarusian language is increasingly perceived as a sign of political disloyalty and is being abandoned in favor of Russian in the public administration, education, culture and the mass media, upon orders from the hierarchy or out of fear of discrimination, said Anais Marin, the United Nations special rapporteur for human rights in Belarus. At the same time, more people want to speak Belarusian, which has become one of the symbols of freedom, but they're afraid to do it in public, said Alina Nahornaja, author of Language 404, a book about Belarusians who experienced discrimination for speaking their native language. Like Ukraine, Belarusians had a desire for rapprochement with Europe that accompanied their nationalist sentiment, said Belarusian analyst Valery Karbalevich. But the Kremlin quickly realized the danger and began the process of creeping Russification in Belarus, he added. That prompted pro-Russian organizations, joint educational programs and cultural projects to spring up "like mushrooms after the rain against the backdrop of harsh repressions against everything Belarusian, Karbalevich said. Censorship and bans affect not only contemporary Belarusian literature but also its classics. In 2023, the prosecutors office declared as extremist the 19th-century poems of Vincent Dunin-Martsinkyevich, who opposed the Russian Empire. When the Kremlin began supporting Lukashenko against the anti-government protests in 2020, it ensured his loyalty and received carte blanche in Belarus. Today, Lukashenko is paying Putin with our sovereignty, said exiled opposition leader Sviatlana Tsikhanouskaya. Belarusian national identity, cultures and language are our strongest weapons against the Russian world and Russification. Four cities in Belarus now host a Russia House to promote its culture and influence, offering seminars, film clubs, exhibitions and competitions. The goal is to plant Russian narratives so that as many Belarusians as possible view Russian as their own, said analyst Alexander Friedman. The Kremlin spares no expense and acts on a grand scale, which could be especially effective and dangerous in a situation where Belarus has found itself in information isolation, and there is almost no one left inside the country to resist the Russian world. Almost the entire troupe of the Yanka Kupala Theater, the countrys oldest, fled Belarus amid the political crackdown. Its former director, Pavel Latushka, now an opposition figure abroad, said the new management couldn't recruit enough new actors, and had to invite Russians, but it turned out that no one knew the Belarusian language. Putin published an article denying the existence of an independent Ukraine back in 2021, and even then we understood perfectly well that he was pursuing similar goals in Belarus," Latushka said. The main course was supposed to be Ukraine, he added, with a Russified Belarus "as a dessert. SAVANNAH, Ga. (WSAV) Ben Cohen and Jerry Greenfield, the co-founders of Ben & Jerrys Ice Cream, has partnered with MoveOn Political Action in developing a new and exclusive flavor of ice cream, to celebrate Vice President Kamala Harriss run for office. The new flavor is called Kamalas Coconut Jubilee. Its a coconut ice cream with a caramel ripple and confetti stars. VP Harris says she washed greens in bathtub, shares recipe MoveOn said they are taking an ice cream truck on tour, starting Sept. 16, rolling through swing areas handing out free ice cream to reach and engage voters. The Vote Tour will include stops in more than 20 cities in battleground states, with rallies held in Michigan, Wisconsin, Arizona, and Nevada. The organization is also offering a chance for people to win a pint of Kamalas Coconut Jubilee, autographed by Ben and Jerry, by entering a contest. For official contest rules, click here. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WSAV-TV. ADEN, Yemen, Sept. 14 (Xinhua) -- Ferocious clashes erupted on Saturday between Houthi militants and pro-government forces in the country's southern province of Lahj, a military official told Xinhua. The local official, speaking on condition of anonymity, reported that armed confrontations broke out when Houthi forces attempted to seize control of strategic mountainous positions along the administrative borders of Lahj and Taiz provinces. The official confirmed that two soldiers were killed and five others left injured as a result of the Houthi offensive, and heavy weapons were used in the hours-long confrontations. The Houthis failed to capture new territory, but they established military positions near the contact lines, according to the source. Local media outlets reported that the intensification of hostilities prompted many families in affected areas to evacuate their homes, raising concerns about potential humanitarian consequences. The recent outbreak of violence followed limited engagements between the two sides in the same area last week, which resulted in the killing of a number of people. Yemen has been mired in a civil war since late 2014 when the Houthi group seized several northern provinces, forcing the Yemeni internationally recognized government out of the capital Sanaa. Actress and film producer Marsai Martin delivers remarks during a brunch held to celebrate Black Excellence on the South Lawn of the White House on Sept. 13, 2024 in Washington, D.C. President Biden hosted the brunch during the Congressional Black Caucus Foundations annual Legislative Conference this week to recognize achievements in the Black community. At right is Office of Management and Budget Director Shalanda Young. (Photo by Anna Rose Layden/Getty Images) Actress and film producer Marsai Martin delivers remarks during a brunch held to celebrate Black Excellence on the South Lawn of the White House on Sept. 13, 2024 in Washington, D.C. President Biden hosted the brunch during the Congressional Black Caucus Foundations annual Legislative Conference this week to recognize achievements in the Black community. At right is Office of Management and Budget Director Shalanda Young. (Photo by Anna Rose Layden/Getty Images) WASHINGTON President Joe Biden, in the last months of his four-year term, detailed his administrations efforts in seeking to advance opportunities and equity for Black communities on Friday during the White Houses first-ever brunch in celebration of Black Excellence. The event came as the Congressional Black Caucus Foundation hosted its annual legislative conference this week in Washington, D.C. Today, we honor this simple truth: Black history is American history, Black excellence is American excellence, and folks, we dont erase history like others are trying to we make history, Biden said to a crowd on the South Lawn that included members of the Congressional Black Caucus and other Black leaders. White House press secretary Karine Jean-Pierre; Trell Thomas, founder of Black Excellence Brunch; Marsai Martin, an actress and producer; and Shalanda Young, director of the Office of Management and Budget, gave brief remarks ahead of Biden. I know it because Ive seen it. Ive been vice president to the first Black president in American history, a president to the first Black vice president and God willing, to the first female Black president in American history, Biden added. Biden who originally sought a second term passed the torch to Vice President Kamala Harris in mid-July following his disastrous debate performance in June against the Republican presidential nominee, former President Donald Trump. Today, we honor this simple truth: Black history is American history, Black excellence is American excellence, and folks, we dont erase history like others are trying to we make history. President Joe Biden Harris, the Democratic presidential nominee, now has the chance to become the first woman to serve as president, the first Black woman president, and the first president of South Asian descent. Biden also underscored some of the administrations key efforts in regard to Black communities, such as achieving the lowest Black unemployment rate on record. As of August, the administration has created 2.4 million jobs for Black workers, according to a White House fact sheet. He also emphasized the administrations efforts to ensure that more Black Americans have health care than ever before. The White House says its done so by lowering premium costs by an average of $800 for millions of Americans, increasing Black enrollment in Affordable Care Act coverage by 95%, or over 1.7 million people since 2020, per the fact sheet. Biden added that on this very lawn, in front of the White House built by enslaved people, we hosted the first-ever Juneteenth concert after I made Juneteenth a federal holiday, and on this lawn, we celebrated the first Black woman appointed to the United States Supreme Court, the best decision I made: Justice Ketanji Brown Jackson. He also condemned racism toward Haitian migrants to the U.S., saying the community is under attack in our country right now and calling it simply wrong. Conspiracy theories about migrants and bomb threats continue to rock Springfield, Ohio. Trump at Tuesdays presidential debate hosted by ABC News amplified false claims about Haitian migrants there, saying: In Springfield, theyre eating the dogs, the people that came in, theyre eating the cats, adding that theyre eating the pets of the people that live there. Appearing to allude to Trump, Biden added that theres no place in America. This has to stop, what hes doing. It has to stop. Meanwhile, Biden and Harris are both slated to speak at the Congressional Black Caucus Foundations Phoenix Awards Dinner Saturday in Washington, D.C. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX BIG FLATS, N.Y. (WETM) Big Flats residents are invited to come together for Community Days in less than one week. The 70th Annual Big Flats Community Days returns to Big Flats Community Park (100 Main St.) from Sept. 19 through Sept. 21. The event will be open from 5 p.m. to 10 p.m. on Thursday and Friday and from 1 p.m. to 10 p.m. on Saturday. Festivalgoers can expect to find new carnival rides and games, live music, local vendors, fireworks, and more. Pay-one-price rides will be available until one hour before the end of the event on Thursday and for the entire event on Friday. Rides will be open from 1 p.m. to 5 p.m. and from 6 p.m. to 10 p.m. on Saturday. Craft vendors will be open for business during the whole festival every day, and each day will have special events. Tempers flare at public hearing regarding housing project in Big Flats Visitors can catch the Miss Twin Tiers Pageant at 6 p.m. on Thursday and support competitors aged from newborn to 18. Stone Cold Sober will perform from 6 p.m. until 9 p.m. on Friday, and visitors can get a Big Flats Community Days Famous Fish Fry from 6 p.m. until they run out. Saturday will be packed with the most fun. The Firemans Waterball Contest will start at noon, and a parade will start at 5 p.m. The parade will feature fire trucks, classic cars, floats, school-aged children in costumes, and more. Spencer Creek will take the stage from 6:30 p.m. to 9 p.m., and raffle drawings will start in the food pavilion at 8 p.m. The night will end with fireworks at 9:30 p.m. However, if Saturday nights forecast calls for rain, the fireworks show will be held the day before. Proceeds from Big Flats Community Days are returned to the community through contributions to fire companies, ambulance associations, scout groups, school projects, and community projects. Funds from the event have been used to buy new equipment for Andrew Sperr Memorial Park, lighting for Community Park, landscaping at the Big Flats Community Center, and other town improvement projects. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WETM - MyTwinTiers.com. Republicans have started spreading a shockingly racist conspiracy theory against Haitian immigrants in Ohio falsely accusing them of kidnapping pets and eating them. This includes Donald Trump, who brought it up during the debate against Kamala Harris on Tuesday. Since then, the former president has changed up which animals he says are being abducted, prompting Bill Maher to joke during Fridays Real Time monologue that this is like Noahs Ark, if Noah was a fat ahole. For some background, Springfield, Ohio is home to a growing community of immigrants from Haiti who are here in the United States legally. Late in 2023, an 11-year-old boy was tragically killed when a Haitian immigrant driving in the wrong lane collided with a school bus. That man has since received a 9- to 13-year prison sentence. White supremacists have used this tragedy to stoke racist resentment against the Haitian community, culminating in this conspiracy theory. In response, the boys parents have spoken out in defense of Haitians and demanded people stop using their son to stoke hatred. Unfortunately, their platform is smaller than the lies biggest proponents, which include Trumps running mate JD Vance and even the Republican Attorney General of Ohio, along with Trump himself. As such, the towns problems have only worsened, and on Friday, local schools were forced to close for the second day in a row after bomb threats were made against them. (It should also be noted that Vance is a U.S. senator from Ohio, meaning that like the states attorney general, he is promoting a hate campaign that is directly contributing to a climate that is bringing terroristic threats down upon his constituents.) Back to Maher, who explained this sad situation, adding, How can you top this today? Trump is walking it back. He said, No, Im sorry, not that, not the cats and the dog, its the ducks and the geese. Theyre going to the ponds. Theyre going to the ponds, and theyre taking the geese to eat them. And this is what Trump supporters are doing now, trying to prove that the distinct book, this insanity that hes basing the campaign on, is true. Theyre literally on a wild goose chase. As the list of animals, its growing and growing like Noahs Ark, if Noah was a fat ahole, Maher continued. And where is he getting this from? Well, hes got a new bullst whisperer named Laura Loomer. Of course, thats her name. Laura Loomer, there she is. Hes with her everywhere, Maher added. Now for MAGA people, shes for people who find Marjorie Taylor Greene too intellectual. She believes in a birtherism. Shes a 9/11 truther. Crisis actor, Pizzagate, rigged elections. Youve heard of Florida Man? Shes Florida Woman, Maher went on. Now, theres a big fight within the Republican Party. I love this because, yes, Marjorie Taylor Greene, the voice of sanity, Maher said with disbelief, has come out against Laura Loomer. Says shes too far right. Shes a racist. Now Loomer has accused Marjorie Taylor Greene, she says Marjorie Taylor Greene is an antisemite, and she cheats on her husband, and she said I cant believe I have to report this she said [Greenes] lady parts resemble an Arbys sandwich, which is so offensive, to Arbys. Then Lindsay Graham, the other Real Housewives guest member, got involved, and hes attacking Laura Loomer, [saying] shes toxic. And she posted back, we know youre gay, Lindsay. Lets see David Muir fact -heck that, Maher joked. You can read more about this absurd drama here, and watch the monologue below: The post Bill Maher Says Trumps Racist Haitian Conspiracy Theory Is Like Noahs Ark, if Noah Was a Fat A-hole | Video appeared first on TheWrap. (NewsNation) As former President Donald Trump defended spending time with far-right activist Laura Loomer, Bill OReilly says she doesnt matter. Does it matter to the American people that Laura Loomer exists? No, it doesnt, OReilly said Friday on NewsNations On Balance. Loomer, a self-described investigative journalist and proud Islamophobe, was with Trump during Tuesdays debate, as well as by his side at 9/11 memorial events in New York and Pennsylvania. At a California news conference Friday, Trump said Laura is a supporter. I dont control Laura. Laura has to say what she wants. Shes a free spirit. Who is Laura Loomer? I have no idea why Donald Trump does what he does, OReilly responded to host Leland Vitterts suggestion that Loomers presence is horribly detrimental to his campaign. While acknowledging that Trump being seen with Loomer is a bad look, OReilly countered that it doesnt matter to me as a voter. And I think a lot of voters feel the same way. OReilly also said Trump missed an opportunity to turn the tables on Harris and the ABC moderators during Tuesdays debate. Trump should have narrated that debate looked in the camera and go, Heres why David (Muir) is asking that question. Heres why that question was asked of the vice president that big softball question. Do I get a softball, David? But instead, Trump gets so tied up in his own emotional field. He gets so distracted by crowd size, and this and that. Alan Dershowitz says Kamala Harris needs to earn his vote OReilly also defended Trump invoking the immigration situations in Springfield, Ohio, and other locations. The Biden-Harris administration opened the border, inexplicably. She cant explain why that happened. She actually said the border was secure for two-and-a-half years, and now, all of a sudden, its not secure, he said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to NewsNation. The billionaire mother of Laura Tomlinson is facing a 200,000 lawsuit after an aspiring dressage rider was kicked in the face by a horse. George Day is suing Ursula Bechtolsheimer, claiming he was forced to give up a burgeoning career after suffering serious facial injuries and a traumatic brain injury as a result of the accident on March 5 2021. Mrs Bechtolsheimer, 72, is the only daughter of German retail tycoon Karl-Heinz Kipp, who died in 2017, and the mother of Tomlinson, 39, the London 2012 Olympic gold medallist whose wedding to Mark Tomlinson, the polo player, in 2013, was celebrated by guests including the Prince and Princess of Wales, and the Duke of Sussex. Laura Tomlinson won a gold medal in the team dressage at the London 2012 Olympics - Musall/DeFodi Images/Getty Images Mrs Bechtolsheimer runs a horse breeding stable with her husband at Eastington House in the Cotswolds, Gloucestershire, where Mr Day says he was working at the time of his injury. He says he was hand-grazing a young horse called Darcy, who had been injured and was on box rest, High Court documents show. The horse grazed for a short while before suddenly raising his head, and kicking out, hitting him in the face, and causing him to lose consciousness, documents state. He was taken to the Great Western Hospital in Swindon with extensive facial injuries, including a shattered nose, fractured facial bones, eye sockets, and cheek bone, and then underwent surgery at the John Radcliffe Hospital in Oxford. Since the accident he claims he has suffered from neurological symptoms from his mild traumatic brain injury including fatigue, headaches, dizziness and blurred vision, reduced sensation in his face, blurry vision, tinnitus, and finds eating tough foods difficult. He says he tried to return to Mrs Bechtolsheimers yard after the accident, but could not manage the work, and had become uncomfortable with horses. Now he is no longer able to ride professionally and cannot continue his equestrianism career, the court will hear. Mr Day, 31, who competed at a high level in dressage competitions, is claiming more than 200,000 in compensation for his injuries. He says his Olympic dreams have been shattered and has been left with few other job prospects. Mrs Bechtolsheimer, who helped train Carl Hester, the dressage Olympic gold medallist, during his early career, has admitted some liability for the accident, but wants Mr Day to prove his injuries. She also wants him to prove his claims that he was a highly skilled rider, who had competed up to the Prix St George level, was riding professionally at the time of the accident, and that his proposed career path would have included the possibility of competing at Grand Prix dressage and beyond. In her legal defence, the billionaire said his claim that he would have had a successful career as a professional rider, but for the accident, is fanciful, and that he would not have worked as a professional rider for her but as a groom. A spokesman for the Bechtolsheimer yard said: Mrs Bechtolsheimer and her team extend their sincere sympathies to George for the injuries he suffered, and wish him all the best for his treatment and recovery. The matter is in the hands of the insurance company for the purpose of determining the amount of damages that he is to receive. Liability has been admitted not on the basis that the accident was caused by negligence but by virtue of the fact that the Animals Act 1971 imposes strict liability in circumstances such as those in which George sustained his injuries. Neither Mrs Bechtolsheimer nor her daughter were involved with the management of the yard at the time of the accident. Mr Days claim was issued by Katie Moult of Irwin Mitchell. The defence was filed by Julian Dexter of Keoghs. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. PLANO, Texas - A Garland man who just turned 30 is thankful to be alive after exposure to black mold led to double pneumonia that nearly killed him. Doctors at Medical City Plano credit a quick response and a life support machine that helps keep the heart and lungs going. Dylan Michael is sharing his story to thank his medical team and hopes to remind people that it can be dangerous to ignore a persistent cough. Dr. Andrew Fredericks with Medical City Plano credits the hospital's ECMO program with saving Michaels life. "His survivability was less than 5% by the time we were called to intervene," the doctor said. "He was really close, knocking on heaven's door," said Michaels mother, Brandi Arnold. During the pandemic, Medical City Plano beefed up its ECMO program. The hospital is known for having the best ECMO outcomes in the country. Michael says his problems began after he helped clean up black mold at his grandmother's home. He wore a mask over his mouth and nose. "When I was cleaning her ceiling, it dropped to my eyes," he recalled. "A few days later, I got a light cough, thought it was a cold. It lasted for two weeks. By the third week, I had heaviness in my chest. It was painful." Thats when Michael texted his mom back on August 4. "He came down and was sweating, clammy, white, pale," Arnold said. An urgent care immediately transported Michael to Medical City Dallas, where he was placed on a ventilator. But doctors could see he needed Plano's ECMO treatment. Dr. Fredricks explained how it works. "ECMO is a heart and lung bypass machine. It takes blood from you, circulates it in a machine, gives it oxygen and puts the blood back into the arteries," he said. Michael celebrated his thirtieth birthday in his hospital room, a milestone he nearly didn't make. As a freelance graphic designer without health insurance, Michael put off seeing a doctor. Unable to eat or drink since August 4, Michael has a new perspective on life since his treatments. "It makes me grateful for the little things, like water," he said. "I hope people take away that going to the doctor is important." When Michael is released, he said he hopes it is still warm enough to jump in the pool, and he can't wait to go to his favorite Mexican restaurant. Angela Rye moderates a Sept. 13 panel, Black Women BelongIn the Senate, at the Congressional Black Caucus Foundations conference. Others, from left, are Angela Alsobrooks and Lisa Blunt Rochester, Senate candidates from Maryland and Delaware, respectively, and Sen. Laphonza Butler (D-Calif.). Photo by William J. Ford Sen. Laphonza Butler (D-Calif.) said she understood the significance of math when she walked into the U.S. Senate chamber last year as just the third Black woman and 12th Black person ever to serve in the chamber. Butler, who will step down when her term expires in January, said the number of elected Black women senators could double this fall if voters elect U.S. Rep. Lisa Blunt Rochester (D-Del.) and Prince Georges County Executive Angela Alsobrooks (D). Im so excited that we are about to move beyond the acceptance of having just one. Were going to be bold enough to send two to the United States Senate, Butler said Friday, the third day of the Congressional Black Caucus Foundations legislative conference at the Walter E. Washington Convention Center in Washington, D.C. Im excited for the doors that they are going to keep kicking open when we are no longer counting how many, but that we are welcoming women, Black women, women of color, women of all experiences and walks of life to the highest chamber in our United States government, Butler said. Butler was appointed to the Senate after the death of longtime California Sen. Dianne Feinstein. Only two Black women have been elected to the chamber: Carol Moseley Braun of Illinois was the first, in 1992, and Vice President Kamala Harris was elected in 2016 from California. If Rochester and Alsobrooks are elected this fall, then, the total number of Black women elected to serve in the Senate in its history will double from two to four. All the women are Democrats. In Delaware, home to President Joe Biden, Rochester is the heavy favorite to win against Republican Eric Hansen and independent Michael Katz. She has served as Delaware secretary of labor, personnel director with the states Office of Management and Budget, and CEO of the Metropolitan Wilmington Urban League. We are qualified, Rochester said. We dont just step up into this and it wasnt, Poof. Now Im here. Rochester said sometimes when she walks into a room with a male Senate colleague, people will call him senator and me Lisa. Its important how we present ourselves. In neighboring Maryland, Alsobrooks has a more competitive race against two-term former Gov. Larry Hogan, a Republican. She said her race is center stage in the fight to determine control of the Senate, repeating a central theme of her campaign. When we elect Kamala Harris to be our president, shes going to need to have the majority in the Senate so that she can get her agenda across, Alsobrooks said. She also took aim at Hogans position on abortion, another campaign theme, saying the former governor is, bless his heart, hes shifting and changing and all kind of things. Alsobrooks noted that vetoed legislation two years ago to expand abortion access in the state, and when that veto was overridden by the Democratic-controlled legislature, he withheld state funding that would have been used to train non-physicians to perform abortions. That money was released by Democratic Gov. Wes Moore on his first day in office last year. Hogan has pushed back on the abortion argument, saying repeatedly that while he personally opposes abortion, he would vote to codify the protections of Roe v. Wade, which was overturned by the Supreme Court in 2022. And the Hogan campaign this week turned the control of the Senate argument on its head with a new ad that says Hogan would be a critical swing vote in a closely divided Senate. To drive the point home, the campaign pointed to an endorsement of Hogan by retiring Sen. Joe Manchin (D-W.Va.), who often broke with his party in recent years, giving him outsized influence in the Senate. Despite their qualifications, Rochester said women are still viewed differently than men who are elected to office. Alsobrooks career spans 27 years, including serving as the countys first full-time prosecutor to handle domestic violence cases, the youngest and first woman elected as the county states attorney in 2010 and the first woman elected to be county executive eight years later. Butler was president of Californias biggest union of long-term care workers, Service Employees International Union 2015. She also served as an adviser when Harris launched a 2019 campaign for president. If elected, Rochester said she and Alsobrooks, who affectionately call each other future sister senator, plan to push to codify Roe v. Wade. The conservative majority of the U.S. Supreme Court ruled 6-3 two years ago in favor of a Mississippi ban on abortion in Dobbs v. Jackson Womens Health Organization, allowing states to set their own rules for abortion. I have my daughter sitting right here on the front row, and she now has less rights than we did and that aint right, Rochester said. So, we want to make sure that those who come before us and those who are here now have the right to do with their body what they want. Cyndi Campbell and her father, Wayne Narcisso, pause outside the Cheverly Community Market on Sept. 7. Campbell credits her father for the familys winemaking passion. Photo by Robert Stewart/Capital News Service By ROBERT STEWART Cyndi Campbell and her sister, Dalia Chambers, are working hard these days. Winemaking is laborious, weather dependent and replete with regulations, and it costs a pretty penny to start up. But the two Landover-based winery owners have got some help other Black women owners who are also making headway in a tough industry. Its an informal network where the women encourage and serve as a resource for each other. I always joke that were a rounding error, said Campbell, citing the fractional percentage of black-owned, women-owned wineries. There are about 100 wineries and vineyards in the state of Maryland, fewer than 10 of them Black-owned, according to Kimberly T. Johnson, a winery owner and current president of the Maryland Wineries Association. Nationally, more like 1% of the vineyards and wineries have Black owners, according to the Association of African American Vintners. Last year was a big year for the group. Campbell and her sister, based in Landover, officially launched the boutique winery, Narcisso Wine Cellar, a year after harvesting the grapes needed for their seven different wines. Boyd Cru Wines, a family-owned winery in Poolesville, also opened its doors. CEO Jonll Boyd said she also found out that year that the companys wines would be sold in Target. Today, Boyd Cru has broken into two big chains and several stores in Washington, D.C., and Maryland. Clyopatra Winery & Vineyard opened the tasting room of its Black-owned commercial vineyard. Ifeoma Cleopatra Onyia owns the Laurel-based operation. (Her legal name is spelled differently than that of her vineyard brand.) When it comes to Black owners, we are the pioneers, said Onyia, who believes that creating a winery and vineyard as a business is one way to create Black generational wealth. She would like to see Maryland do better at marketing its wine. Not only do we have wineries, but we produce really great quality wines, she said. For Campbell, setting up a winery was not easy at first. You feel kind of isolated sometimes, said Campbell. From navigating paperwork to planning distribution of the wine, Campbell believes networking is key. You cant do this on your own, she said, you need to collaborate. She said thats why she got involved with the Maryland Wineries Association, a trade association representing the network of wineries all over the state. Among its many other functions, the wineries association is a resource for helping new wineries figure out how to navigate the red tape and network with others in the field. The association also hosts several events and festivals including the Maryland Wine Festival, like their September event in Westminster. Through the statewide association Campbell was able to meet other Black women owners. One of them reached out and invited her to get together, she said. Earlier this month several of the women gathered at the tasting room at Oniyas winery. They sampled each others wines and talked about business, she said. Tia Clarke, based in Upper Marlboro, owns a wholesale wine business, Roman Clarke Wines. Clarke learned about the small group through Boyd. For Clarke, the group has helped her understand more about business models. Clarke aspires to get what is known as a Class 4 winery license, which means completing paperwork and ensuring at least 51% of her product is sourced from Maryland agriculture. About five years ago, Kimberly T. Johnson and her business partner, Denise Roles Matthews, opened Philosophy Winery & Vineyard, breaking new ground as a Black- and woman-owned winery. They soon began winning statewide awards. Philosophy has helped to pave the way for others, said Maryland Secretary of Agriculture Kevin Atticks. Sometimes it takes one to do it, he said. It illustrates to others that they can enter the space. Dealing with the costs While starting a winery is expensive, starting a vineyard is even more so. The vines take years to grow before the vineyard owner can produce wine, potentially meaning years of not breaking even. One way wineries like Campbells are circumventing the steep financial barrier to entry is by entering into business with established vineyards. They buy the grapes but create their own recipes to make their own wines. For the vineyard owner, the agreement is about income and the quality of the Maryland wine. Roy and Judy Crow own Crow Vineyard & Winery in Kennedyville, on the Eastern Shore. It helps with the local economy and helps the people that live and work here, said Roy Crow. He said its good for the Maryland wine ecosystem if new businesses like Narcisso Wine Cellar have access to good-quality Maryland grapes. When it comes to Black owners, we are the pioneers. Not only do we have wineries, but we produce really great quality wines. Ifeoma Cleopatra Onyia, owner, Clyoptra Winery & Vineard Judy Crow said thats also good for the reputation of the Maryland terroir, or the wine terrain. We want Maryland to be a destination where people can come and explore Maryland and enjoy the wines like they do in California, she said. Look at how the industry has taken off in California for tourism and restaurants and all around grapes. Virginia is the same way. Atticks said the growing diversity of Maryland wineries expands the market and the reach of a quality product. Weve just expanded the tent. Weve opened the wine shop a little bit further, Atticks said. Black consumers are seeing themselves in these winemakers for the first time, and thats good for the industry. And thats great for the community. Back in Prince Georges County, Campbell knows all about expanding the reach of Maryland wine. Before she and her sister launched the business, they did a series of focus groups and taste-testing sessions to help them better understand what people in the area would drink. Erika Rodgers, an accountant based in Washington, D.C., attended some of the tasting sessions. Rodgers said the session helped her develop a sense of the kinds of wine she likes. I definitely feel like they provide a ton of information for you to feel comfortable drinking and buying wine, Rodgers said. It makes me appreciate wine. As for Campbell, she has several events lined up throughout the fall. She wants to continue introducing new consumers to wine and educating them about the product. For us, its just really guiding people through and enjoying our wines, said Campbell, feeling more comfortable with that, making wine more approachable. https://dts.podtrac.com/redirect.mp3/chrt.fm/track/35917C/d2h6a3ly6ooodw.cloudfront.net/reasontv_audio_8296988.mp3 1x 1.1x 1.25x 1.5x 2x 3x :15 :15 Download In June 2024, Anthony Fauci appeared before the House Select Subcommittee on the Coronavirus Pandemic for a contentious confrontation with congressional Republicans. But it opened on what might have sounded like an amicable note, as the subcommittee's chairman, Rep. Brad Wenstrup (ROhio), played up Fauci's sainted status: "There were drinks named after you. You got bobbleheads made in your likeness. You were on the cover of Vogue. You threw out the first pitch at a Washington Nationals game." Fauci was the closest thing the world of public health had to a rock star. For nearly 40 years prior to the COVID-19 pandemic, Fauci had served as the influential but unassuming director of the National Institute of Allergy and Infectious Diseases (NIAID), a subsidiary of the National Institutes of Health (NIH) housed within the sprawling U.S. Department of Health and Human Services (HHS). That post made Fauci the federal government's de facto top pandemic expert across the dozens of agenciesfrom the Centers for Disease Control and Prevention (CDC) to the Food and Drug Administration to the Office of the Surgeon Generalthat share responsibilities for preventing and responding to disease outbreaks. Fauci steered the U.S. government's response to AIDS, Zika, Ebola, and swine flu. He oversaw billions in annual research grants aimed at stopping the next disease outbreak. When COVID struck, Fauci was the face of public health when public health was all anyone was talking about. His celebrity also made him a partisan lightning rod. Democrats saw him as a steady, straight-talking scientist who struck a pleasing contrast to a chaotic Donald Trump recommending crank COVID cures in White House press conferences. For many conservatives, he was a hate figure responsible for locking down the country without regard for civil liberties or collateral damage. But by that June 2024 congressional hearing, Fauci was at the center of a new array of controversies. In 2023, the incoming Republican House majority had reorganized the coronavirus subcommittee to investigate the origins of the COVID-19 pandemic. The information they'd uncovered, supplemented by years of dogged investigative journalism, was damning for Fauci and his agency. Fauci had long denied his agency had ever funded controversial gain-of-function research at the Wuhan Institute of Virology (WIV) in Wuhan, China, where the COVID-19 pandemic originated. But weeks before Fauci's testimony, a senior NIH official admitted that the NIAID had funded such research. Days later, President Joe Biden's administration would strip EcoHealth Alliancethe nonprofit that the NIAID had paid to do that gain-of-function researchof its federal funding, citing the organization's lack of transparency and oversight failures at the WIV. Soon after, the select subcommittee revealed that Fauci's senior scientific adviser, David Morens, told EcoHealth scientists in emails that Fauci would "protect" the group from public scrutiny about the pandemic's origins and that Morens could pass any needed communications from EcoHealth to Fauci via a private back channel that was safe from public records requests. The day of Fauci's testimony, the Harvard- and MITaffiliated biologist Alina Chan argued in The New York Times that a lab leak at the WIV was the probable cause of the COVID-19 pandemic. Together, the revelations painted a picture of Fauci as a dissembling, denying, power-grabbing bureaucrat who repeatedly used slippery arguments to dodge public oversight of a controversial, high-risk agendaan agenda that may have led to the very pandemic his job was to prevent. Fauci argued it was all much ado about nothing. At the hearing, he said the gain-of-function research the NIAID had funded in Wuhan wasn't of concern and couldn't have sparked the pandemic; that he had no back channel with his senior scientific adviser, who he didn't even work that closely with; and that while a lab leak wasn't a conspiracy theory, he couldn't be expected to know everything that happened in China. His story was that he had acted in good faith, in the name of science, and that he wasn't culpable. Yet when one considers Fauci's record and the accumulated evidence about a lab leak origin of COVID-19, it is hard to avoid the conclusion that he is probably at least partially culpable for the pandemic itself and actively worked to obscure that fact. As Richard Ebright, a Rutgers University molecular biologist and fierce Fauci critic, says of the series of choices that led to dangerous pandemic research being conducted in Wuhan with U.S. tax dollars: "There are few decisions that are so centrally linked to a single person and that person's pathologies, and that person is Anthony Fauci." We may never know the full story of the pandemic's origin. But if this were a bureaucratic whodunit, the most likely suspect would be Fauci. COVID-19 was Fauci's pandemic. Pushing Risky Research Prior to COVID-19, Fauci had long supported funding pandemic research that other scientists found risky, if not downright dangerous. In 2005, as NIAID director, he praised researchers who'd used a grant from his agency to resurrect the virus that had caused the Spanish flu pandemic. Better understanding that virus would help prevent future diseases, he argued. "The certain benefits to be obtained by a robust and responsible research agenda aimed at developing the means to detect, prevent and treat [future pandemics] far outweigh any theoretical risks," he said in an October 2005 statement co-authored with thenCDC Director Julie Gerberding. This wasn't a universal opinion at the NIAID. The agency's chief scientist described this approach to pandemic prevention as "looking for a gas leak with a lighted match." Fauci would continue to praise and fund this kind of research. In 2011, researchers at the University of Wisconsin and at Erasmus University Medical Center in the Netherlands managed to manipulate the virus H5N1 (which had been responsible for a 2004 bird flu epidemic in Asia) to transmit between mammals, a "gain of function" for a virus that had heretofore only been able to pass from infected birds to humans. One of the researchers involved in the work would say the enhanced pathogen they'd created was "very, very bad news" and "probably one of the most dangerous viruses you can make." Fauci was more sanguine, telling The New York Times that "there is always a risk. But I believe the benefits are greater than the risks." When the influenza research community adopted a temporary moratorium on gain-of-function research in response to the H5N1 experiments, Fauci begrudgingly accepted it as necessary to calm public opinion. He still insisted this work's potential to stop the next pandemic far outweighed any "theoretical risks" it posed. Deadly outbreaks of bird flu and severe acute respiratory syndrome (SARS) in the early 2000s, and the anthrax mailings that followed the September 11 terror attacks, had both the public health and the national security establishments attuned to "biosecurity" threats. At the same time, researchers were rapidly improving their ability to create and manipulate viruses in the lab. This offered the potential for creating new vaccines, therapeutics, and pest control measures. It also raised the risk that a new pandemic might be accidentally released from a lab. In the mid-2000s, President George W. Bush's administration commissioned reports on how "dual-use research of concern" might be subjected to some sort of risk-benefit analysis. But Fauci consistently argued against the idea that their agencies should have to abide by additional guardrails when funding risky research into pandemic pathogens. "It's safe to say NIH is always on the 'more science, less regulation' side. That was definitely true in this debate," Gregory Koblentz, a biosafety expert at George Mason University who's been a longtime participant in debates about how to regulate dual-use research, tells Reason. There are very good reasons to be wary about regulating scientific research. But the equation changes when the government itself is funding the research in question. Indeed, Matt Ridleya science writer who co-authored Alina Chan's book Viral, about the origins of COVID-19suggests that government funding itself is a big part of the problem. A profit-seeking private sector would never touch the kinds of research that was being done by EcoHealth Alliance in Wuhan, he argues. The odds that such research will identify the next pandemic virus and develop a profitable vaccine or therapeutic for it, he says, are too low for even the most starry-eyed venture capitalist. There was also the downside risk of a lab accident. In 2014, there was a series of embarrassing safety lapses at U.S. government labs, highlighting this risk. Dozens of CDC employees were potentially exposed to live anthrax samples shipped by mistake to labs not equipped to handle them. At another CDC lab, a less dangerous version of bird flu was accidentally contaminated with deadly H5N1. Vials of smallpox capable of infecting people were stashed in a cabinet at an NIH lab, where they'd apparently been sitting for decades. None of these incidents were direct results of gain-of-function research. But they heightened the concern that researchers working to enhance deadly pathogens might do so in unsafe settings. Oversight Avoidance In October 2014, President Barack Obama's administration paused federal funding of gain-of-function research that could make flu, SARS, or Middle East respiratory syndrome viruses transmissible via the respiratory route in mammals. It also started crafting a regulatory framework for vetting these experiments. In 2017, the White House produced the laboriously titled HHS Framework for Guiding Funding Decisions about Proposed Research Involving Enhanced Potential Pandemic Pathogens, which became known as the P3CO framework. Under P3CO, the NIH would forward grant proposals involving research on known pandemic pathogens or research that might create or enhance such pathogens to a new P3CO committee within HHS for a department-level risk-benefit analysis. The debates leading to the framework stressed the value of performing those risk-benefit assessments publicly and transparently. But the committee's deliberations would be kept secret. This framework also gave the NIH considerable autonomy to decide which grant proposals it wouldand wouldn'tforward to the HHS for review. To date, the P3CO committee has vetted just three research proposals involving so-called enhanced potential pandemic pathogens, out of potentially dozens that should have been examined. Two out of three were allowed to go forward unaltered. The committee required the other to adopt additional safety mitigation measures, and the NIAID ultimately chose not to fund it. Fauci and NIH Director Francis Collins, also an advocate of gain-of-function research, found a way to skirt the oversight process. They "realized that if they don't [forward proposals to HHS for review], there is no review," says Ebright, the Rutgers biologist and a longtime critic of gain-of-function research. "By willfully violating the policy, they could nullify the policy." This gap in the oversight system would become apparent when the NIAID failed to stop gain-of-function research being performed at the WIV. Dangerous Exceptions During his June 2024 testimony, Fauci said that he signed off on every grant the NIAID funded but didn't individually review each one. In a 2022 deposition, he admitted that he also might have signed off on some exceptions to the Obama administration's gain-of-function pause. But he couldn't recall specific examples. So it's possible that one exception Fauci might have signed off on was the work being performed by EcoHealth Alliance in Wuhan, China, and that work might have led directly to the COVID-19 pandemic. EcoHealth Alliance is a New Yorkbased nonprofit that specializes in research on pandemic risk from emerging "disease hotspots" in the developing world. In 2014, it received a five-year, $3.7 million NIAID grant to collect virus samples from human beings and bats in China and then sequence and experiment on these viruses at the Wuhan Institute of Virology. This type of research was the passion project of EcoHealth's president, Peter Daszak. Daszak, who Vanity Fair has called "one part salesman, one part visionary," was known in D.C. circles for his invite-only cocktail parties for senior government officials involved in funding scientific research. He pitched this research as crucial for finding viruses that were likely to "spill over" from animals to humans. Once identified, vaccines and therapeutics could be developed preemptively to stop any outbreak. Critics argued this work was an inefficient way of spotting which of the thousands upon thousand of viruses circulating in nature might cause the next pandemic. But in 2016, EcoHealth revealed it was engaged in far more alarming work. In its second annual progress report to the NIAID, EcoHealth announced that it intended to create "chimeric" or hybrid viruses out of spike proteins, the part of a virus that allows it to enter and infect hosts cells, from SARS-like coronaviruses discovered in the wild and the backbone of another, already-known SARS virus. EcoHealth wanted to use these viruses to infect "humanized" miceanimals genetically manipulated to have human lung cellsto see whether any of them posed a pandemic risk. It proposed doing the research at the WIV, where many U.S. researchers considered the biosafety standards much too lax. This was exactly the kind of dangerous research that the gain-offunction pause and P3CO framework were intended to control. Upon receipt of EcoHealth's 2016 progress report, the NIAID program officer overseeing the grant told the nonprofit that its work appeared to violate the then-active pause on gain-of-function research. EcoHealth wouldn't receive its next tranche of grant money unless it could explain why it didn't. Daszak responded that the viruses they were working with hadn't been shown to infect people yet and were unlikely to do so, given how genetically different they were from the original SARS virus. Yet the plain text of the pause policy never required that viruses being experimented with already be shown to infect human beings. The idea that it would is "laughable," says Ebright. "The whole point of a policy that operates at the proposal stage, before the research has been done, is to prevent the construction and creation of such a pathogen." (Illustration: Joanna Andreasson) Nevertheless, in July 2016 the NIAID told EcoHealth it could proceed with its work on the condition that the chimeric viruses it had created didn't demonstrate higher growth rates than their naturally occurring cousins. Experiments run by EcoHealth in 2017 showed that its hybrid SARS-like coronaviruses exhibited much greater viral growth, and were much more pathogenic, in the humanized mice compared with natural variants. But EcoHealth didn't pause its work as promised. It also didn't report these results to the NIAID immediately. It only revealed them in a fourth annual progress report submitted April 2018. EcoHealth was plainly violating the terms imposed on its research in 2016. Its work had also not been forwarded to the P3CO committee for review. Yet the NIAID renewed its grant for another five years. In this second grant phase, EcoHealth proposed making more chimeric SARS-like coronaviruses containing features with "high spillover risk" to human beings. EcoHealth was scheduled to start this work in 2019. That year, the nonprofit should have submitted a fifth annual progress report to the NIAID. It didn't, claiming the NIAID's reporting -system had "locked them out"a claim subsequently found false. When EcoHealth's year five report was eventually submitted two years late, in 2021, it showed that additional chimeric viruses created in Wuhan demonstrated both enhanced transmission and lethality in humanized mice. By that time, the COVID-19 pandemic was already well underway. 'Not Following the Policy' In 2021, Fauci said the NIH "has not ever and does not now fund gain-of-function research in the Wuhan Institute of Virology." That wasn't true. What EcoHealth was doing in Wuhan clearly met the widely understood definition of gain-of-function research. In his June 2024 testimony, Fauci dodged accusations that he lied by saying that while EcoHealth's work might have met a generic definition of gain-of-function research, it didn't meet the precise definition established in the P3CO framework. Fauci said that every time he mentioned gain-of-function research, "the definition that I use is not my personal definition; it is a codified, regulatory and operative definition." That definition, he said, "had nothing to do with me." On the contrary, regulatory definitions had quite a bit to do with Fauci. They were designed with the expectation that he and his fellow public health bureaucrats would use discretion and good judgment when making decisions. The relevant regulatory language included lots of "likelys and highlys and reasonably anticipated," says Gerald Epstein, a former director at the White House Office of Science and Technology Policy who wrote the P3CO policy. "These words are inherently subjective. You can't not be. You've got to be making judgment calls on something that does not yet exist." Those subjective definitions gave Fauci and his NIAID underlings considerable room to decide what research required additional review. Was the agency complying with the spirit of the policy? Epstein points to the total number of projects the NIH sent to the P3CO committee. "The fact that they found one project in seven years [that needed additional safety measures] tells me they were being too conservative," he says. Koblentz is more blunt. When the NIAID allowed EcoHealth to proceed with its work under novel conditions, he says, it "wasn't for them to decide. That was them not following the policy." Smoking Gun? The NIAID's failure to forward EcoHealth's experiments to the P3CO committee was, at minimum, a serious process failure. That failure may well have allowed for the creation of the COVID-19 pandemic in the Wuhan lab. In 2018, the same year that the NIAID renewed EcoHealth's grant for another five years, Daszak submitted a $14 million grant proposal to the Defense Advanced Research Projects Agency (DARPA), called DEFUSE. Once again, he proposed creating chimeric SARS-like coronaviruses selected for their ability to infect human beings. Early drafts of the DEFUSE proposal, uncovered by U.S. Right to Know reporter Emily Kopp, show that Daszak envisioned creating viruses with features present in SARS-CoV-2 and which do not appear in naturally discovered coronaviruses of the same family, including features that primed the virus to infect and spread in humans. Kopp's Freedom of Information Act (FOIA) requests also revealed notes from Daszak and his collaborators on DEFUSE project drafts that suggested the EcoHealth president was deliberately trying to obscure the fact that these novel viruses would be created in Wuhan by omitting the names of Chinese researchers from the proposal. An early draft of DEFUSE also proposed creating novel coronaviruses in Wuhan because it used lower biosafety precautions than what would be used in the U.S., describing the lower safety standards as "highly cost-effective." In a note on this early draft, University of North Carolina epidemiologist and pioneer gain-of-function researcher Ralph Baric, a proposed collaborator, said that U.S. researchers would "freak out" were such research done at Wuhan's typical biosafety levels. DARPA ended up rejecting the DEFUSE proposal. But it remains possible that the Chinese researchers secured separate funding for the work. Ebright suggests that EcoHealth could also have used NIH funding from its renewed grant for the work, given how much overlap there was between the two proposals. Ebright is unequivocal in his assessment that the research described in EcoHealth's progress reports, its 2018 grant renewal application, and the DEFUSE proposal, including the early draft and notes, combine into "smoking gun" evidence in favor of the COVID pandemic having been created at the Wuhan Institute of Virology. "It all falls together. We know what they were doing in the years preceding 2019. We know what they proposed to do in 2019. We knew how they proposed and where they proposed to do it," says Ebright. "It is exactly what the virus' emergence tells us." Poisoned Debate We probably won't ever definitively discover the origins of COVID-19. Officials in both the U.S. and China ensured that. Chinese officials obstructed any investigation into the Wuhan Institute of Virology. In September 2019, the Associated Press reported, the institute took its database of viruses offline. It also hasn't made public lab notebooks and other materials that might shed light on exactly what kinds of work it was doing in the lead-up to the pandemic. In late 2019, the Chinese government also exterminated animals and disinfected the Wuhan wet market. If COVID did leap from animal to human in the Wuhan market, as many natural origin proponents argue, that evidence is gone. What we're left with is studying the structure of the SARS-CoV-2 virus itself and whatever information can be gleaned from the U.S.-funded research that went on at Wuhan leading up to the pandemic. On both fronts, Fauci, his underlings at the NIAID, and NIAID-funded scientists involved with work at Wuhan have worked to conceal information and discredit notions that COVID might have leaked from a lab. In late January 2020, Fauci's aides flagged the NIAID's support of EcoHealth's Wuhan research in emails to their boss. A few weeks later, Fauci and Daszak would go on Newt Gingrich's podcast to dismiss the idea that COVID-19 came from the Wuhan lab, calling such arguments "conspiracy theories." Both men also worked to shape the discourse behind the scenes away from any focus on a lab leak. Daszak organized a group letter of scientists in The Lancet, the U.K.'s top medical journal, declaring that they "stand together to strongly condemn conspiracy theories suggesting that COVID-19 does not have a natural origin." Daszak did not disclose his relationship to the Wuhan lab when organizing this letter; The Lancet's editor would eventually concede that this was improper. In testimony to the House coronavirus subcommittee in May 2024, Daszak would claim the "conspiracy theories" mentioned in the Lancet letter referred only to such wild early pandemic notions that COVID had pieces of HIV or snake DNA inserted into it. He said a word limit prevented him from being more precise. Fauci, meanwhile, would help corral virologists into publishing the widely cited "proximal origin" paper in early 2020. In the paper, the authors flatly declared that "we do not believe any type of laboratory-leak scenario is plausible." Yet troves of private messages and emails released by the House subcommittee's investigation show that the authors privately expressed far more openness to a lab leak theory. One of the paper's authors, Scripps Research evolutionary biologist Kristian Andersen, privately rated a lab leak as "highly likely." But Andersen had a pending $8.9 million grant application with the NIAID as the paper was drafted. That grant was later approved. In an email, one of the paper's authors, Edward Holmes, references "pressure from on high" during the drafting process. The authors of the proximal origin paper say they merely had their minds changed while drafting the paper. They were just following the scientific method. Ridley, the science writer, has a much less charitable assessment of their behavior. "That's scientific misconduct at the very least," he says, "to write a paper that says one thing and to think it's wrong in private." Hiding the Evidence There may be more we don'tand won't everknow about Fauci's own communications with Daszak and other NIH officials about EcoHealth's work in Wuhan. In May 2024, the House Select Subcommittee on the Coronavirus Pandemic released a tranche of emails between Fauci's senior scientific adviser David Morens and Daszak, in which the two strategized about how to get EcoHealth's federal grant reinstated (after it was terminated by the Trump administration in 2020) and how the nonprofit should respond to NIH investigations into its grant work. Across multiple emails to Daszak, Morens mentioned that he frequently had conversations with Fauci about Daszak and EcoHealth. He said Fauci was trying to "protect" Daszak. Throughout these emails, Morens urged Daszak to email him on a personal email account to avoid Freedom of Information Act requests, openly admitted to deleting emails to shield them from FOIA, and said that the NIH's FOIA staff had advised him on how to hide information from records requests through deletions and strategic misspellings. Most conspicuously, Morens sent a brief reply to Daszak in April 2021 in an email chain in which the two had been discussing mounting scrutiny from Republicans and some scientists of EcoHealth's Wuhan work. "PS, i forgot to say there is no worry about FOIAs," Morens wrote. "I can either send stuff to Tony [Fauci] on his private gmail, or hand it to him at work or at his house. He is too smart to let colleagues send him stuff that could cause trouble." In another email, Morens mentioned having a "backchannel" to Fauci. Asked about Morens' comments at the June hearing of the coronavirus subcommittee, Fauci denied having substantive conversations about EcoHealth with Morens and said that his senior scientific adviser was not someone he worked terribly closely with, outside collaborating on scientific papers. The Next Pandemic Fauci's best defense is that he ran a sprawling agency that doled out billions of dollars in grants. Even the most detail-obsessed administrator couldn't possibly keep track of every single program and project. And U.S. officials had limited control over what happened in the distant, opaque Wuhan lab. But even if that's true, it's an admission of administrative negligence, since the oversight protocols weren't followed. It also implies a dramatic failure of the risky research that Fauci championed for pandemic prevention. As Ridley says, the pandemic "occurred with the very viruses that there was the most attention paid to, in the very area where there was the most research going on, where there was the biggest program looking for potential pandemic pathogens, and yet they failed to see this one coming." At a minimum, gain-of-function research didn't protect the public from the pandemic. Meanwhile, the more direct case against Fauci is strong: Not only was he an ardent supporter of research widely believed to be risky, but he manipulated bureaucratic protocols in order to avoid scrutiny of that research, then responded evasively when called to account for his actions. At least one of the programs born out of Fauci's risky research crusade was pursuing exactly the type of viral enhancements that were present in COVID-19, and that research was conducted at the Wuhan virology lab in the very same city where the virus originated. Lab leak proponents cite the virus's transmissibility as evidence for a Wuhan leak: After all, EcoHealth was trying to create pathogens primed to spread rapidly in humans. The evidence is not fully conclusive. But it seems reasonably likely that Fauci pushed for what his peers repeatedly said was dangerous research, that some of that dangerous research produced a deadly viral pathogen that escaped the lab, and that Fauci helped cover up evidence and arguments for its origins. It is more than a little bit ironic that, throughout his career, Fauci fought against restrictions on gain-of-function research, casting those restrictions as counterproductive shackles on scientific progress. When a pandemic did finally break out, he would also be an ardent supporter of imposing the most restrictive controls on the general public. "Elderly, stay out of society in self-isolation. Don't go to work if you don't have to," Fauci told Science in March 2020. "No bars, no restaurants, no nothing. Only essential services." When asked in July 2022 what he might have done differently during the pandemic, Fauci said he'd have recommended much stiffer restrictions. Did Fauci, so revered as a man of science, have any evidence to support his program of lockdowns and social controls? His eventual admission in congressional testimony that the federal government's social distancing guidance was a guesstimate that "sort of just appeared"and one that turned out to be ineffective at controlling the pandemicbolsters the conclusion that the pandemic restrictions that shuttered schools, churches, businesses, and countless social gatherings were, in fact, ineffective tools of control. Indeed, most American efforts to control the pandemic proved ineffective: not just lockdowns and capacity restrictions, but also mask mandates, testing, and contact tracing. If nothing else, Fauci's role in the pandemicas a public health rock star with suspicious links to the virus's originis a lesson in the dangers of resting too much power and authority in the hands of any one official. Throughout the pandemic, Fauci's mantra was "follow the science." But in practice, that seems to have meant "follow Fauci." Too often, America did. The post Should We Blame Fauci for the COVID Pandemic? appeared first on Reason.com. OWENSBORO, Ky. (WEHT) The Blue Angels will take to the skies this weekend for the Owensboro Airshow. While they love putting on a good show in the air, they also make it their mission to inspire the next generation. The U.S. Navy squadron surprised students of all ages across the city. The Blue Angels are making their way around the city with a couple pit stops to speak with young minds. At Sorgho Elementary they talked about the various roles in the U.S. Navy flight demonstration squadron, a pilot spoke about his South American roots, and the importance of chasing your dreams no matter what. Fall Fest excitement builds as revamped Munchie Map released Fifth grader India Manley says she was most inspired by their decision to bring more women into the fleet. They shared the Blue Angels first female fighter jet pilot Lieutenant Commander Amanda Lee will join the show. Manley says she has dreams of owning a restaurant or being a nurse one day, and shes using their bravery to push forward. They do something really dangerous, and I said [Ill be] risking my life on helping people and stuff like that, says Manley. Students competed in an airplane decorating contest. The prize? A V.I.P. meet and greet with the pilots on Sunday. Victoria Jones, a fourth grader at Sorgho Elementary, was one of 5 winners. I was gonna ask my dad if we can go. I felt really good and I felt really happy cause I knew something good was gonna happen, says Jones. They also stopped at Apollo high school and the Junior Reserve Officers Training Corps program at Daviess County High School got to rattle off their questions at MidAmerica Jet. One student is already enlisted and is set to leave in May. He says the extra experience has only strengthened his desire to fight for his country. Everybody sees the pilots. They see the service members in their uniforms, but they dont see how many people it takes to carry out these operations and really how much of a team it is, says Wyatt Miller, a senior at DCHS. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Eyewitness News (WEHT/WTVW). CAIRO, Sept. 14 (Xinhua) -- At a bustling fair selling low-priced school supplies in the Egyptian capital Cairo, parents were busy selecting goods for their children as the new school year begins later this month. Dubbed "Welcome Schools," the fairs, organized by the Egyptian government across the country, will last until the end of September or until the end of the year in some governorates. They aim to help parents buy their children's needs at more affordable prices, according to media reports. At the main fair in Cairo, which covers about 6,000 square meters, around 200 vendors showcased their school-related goods, including clothing, school uniforms, school supplies, leather products, and school bags, in addition to a section for food products. During the inauguration ceremony of the fair in Cairo last week, Egyptian Minister of Supply and Internal Trade Sherif Farouk said that the event represents a true partnership between the government and the private sector for the benefit of citizens. The minister, whose ministry organizes the fairs in cooperation with other ministries, affirmed that the "state is keen to provide various forms of support to alleviate the burden on Egyptian families." A large number of consumers were attending the fairs for their competitive prices. "The prices of school uniforms and clothes in general are very low compared to those in shops... at least 30 percent cheaper," Nermin Wassif, a mother of one girl, told Xinhua while shopping at the fair. The middle-aged woman said she did not expect the prices to be within reach of most Egyptian families. "I already bought a pair of shoes and a jacket for my daughter at a very reasonable price. I will now go buy the stationery," she said, flashing a smile. "I will tell all my friends and relatives about this fair." Taha Mohammed, a father of three schoolchildren from Cairo, was surprised by the good prices and high quality of the supplies at the vast fair. "I came to buy school uniforms and bags, but I ended up buying other items, such as clothes for my kids and me, because of the very affordable prices," Mohammed, a tour guide in his 40s, told Xinhua. As for the vendors, they said they are always keen to support Egyptian families before the start of the new school year by offering discounts that sometimes reach more than 30 percent. "We always participate in the exhibition. This year, we are offering discounts of up to 10 percent on school supplies and books," Moataz Mohammed, a bookshop owner, told Xinhua. Mohammed revealed that he sells at prices lower than those at his bookstore, hoping to relieve citizens' burdens amid skyrocketing prices. Over the past two years, the foreign currency shortage in Egypt has led to the devaluation of the local currency and the rise of a parallel currency exchange market, plunging Egypt into one of its worst economic crises. The crisis was further exacerbated by the Palestinian-Israeli conflict that erupted last year, which has impacted Egypt's tourism sector and halved its revenues from the Suez Canal. Bob Caseys Senate campaign in Pennsylvania says it wont renew an ad that drew a complaint from the widow of a U.S.-based journalist who was killed inside the Saudi consulate in Istanbul in 2018. The campaign said in a statement Friday that it sympathizes with the widow of Jamal Khashoggi, but didn't plan to immediately pull the ad , which uses the image of the journalist to criticize opponent Dave McCormick for ties to the Saudi government. Campaign spokesperson Maddy McDaniel said that the ad, which the widow said was insensitive, would be cycled out next week as planned. There is no dispute that David McCormick demanded his hedge fund show support and loyalty to Mr. Khashoggis murderers, all to protect his own business interests, spokesperson McDaniel said. We have the utmost sympathy for what Mrs. Khashoggi has experienced. She said the campaign spoke with the widow, Hanan Elatr Khashoggi, who says the ad is insensitive and incorrectly identifies her late husband as an American. The commercial is a very painful reminder of how my husband died, and as you can imagine, I have been traumatized every day for the past 6 years, Hanan Elatr Khashoggi said in an email to Caseys Senate office obtained by POLITICO. I take no position on the politics of your Senate race, but I am dedicated to correcting misconceptions about my late husband. Jamal Khashoggi, who had written columns critical of Saudi Crown Prince Mohammed bin Salman for The Washington Post, was killed by officials of the government of Saudi Arabia inside the consulate an incident that caused a serious breach in relations between the U.S. and its longtime ally. U.S. intelligence officials later determined the killing had been authorized by Prince Mohammed, the de facto ruler of the kingdom. In the ad, the Casey campaign alleges that McCormick, a wealthy Republican businessman, demanded his hedge fund stay loyal to the murderers to protect their investments in Saudi Arabia. The widow objected to the characterization of her husband as an American, since he was a Saudi citizen who lived in the U.S., or any mention of him at all and discussed the issue with the campaign along with her lawyer, Randa Fahmy a donor and self-described good friend of McCormick. In the aftermath of Trumps wild claims, Springfield has endured bomb threats,targeting schools, city buildings, and other local institutions (REUTERS) Two hospitals in Springfield the Ohio city at the center of Trumps false pet-eating claims were placed on brief lockdowns after receiving bomb threats Saturday morning. Both medical centers have resumed normal operations after police and hospital security found nothing suspicious during their search. The safety protocols we have in place for these instances allow us to work quickly with local law enforcement to investigate threats thoroughly and ensure the safety of our patients and staff, Kettering Health Springfield said in a statement. The other alleged target was Mercy Healths Springfield Regional Medical Center, which went into lockdown after officials heard of the alleged bomb threat around 6am. One of our most important responsibilities is the safety and security of our patients, visitors, associates and physicians, a spokesperson for the Springfield Police Division said. Haitian refugees in Springfield, Ohio say Donald Trumps inflammatory claims earlier this week while debating VP Kamala Harris have helped create a terrifying day-to-day reality for them (AP) Springfield has endured bomb threats targeting schools, city buildings, and other local institutions after the Ohio town was thrust into the spotlight earlier this week when former President Donald Trump brought up a racist conspiracy during the presidential debate that Haitian migrants were stealing and eating the pets in the community. Theyre eating the dogs. Theyre eating the cats. Theyre eating the pets of the people that live there, he said on Tuesday as Kamala Harriss expression flitted between shock and amusement. Local authorities had already debunked the lies even before Trump peddled the narrative on the debate stage, with Springfield police saying there is no credible evidence to support the allegations. From strangers to friends: How Ukrainians meet and bond during wartime train travel For the past eight years, Anton Lytvynov, a stage director at Lviv National Opera, has celebrated New Year in Kyiv with his three friends Sandra, Mykhailo, and Volodymyr. However, on Dec. 31, 2022, work commitments kept Lytvynov in Lviv longer than he had expected. Determined to reunite with his closest friends all the same, Lytvynov decided to ring in the New Year on the train, planning to meet with them on Jan. 1. Late at night, he traversed the empty, narrow corridor of the Lviv-Kyiv train to his dimly lit yet warm compartment. As the train gradually set off, the sound of its wheels on the tracks built into a steady rumble. Thus began a journey that would prove as exciting as the destination itself. Sitting alone on one of his compartments dark-red leather beds, Lytvynov overheard people speaking loudly in the compartment next to his. "I went to them to ask if they wanted to celebrate the New Year together, and they agreed," Lytvynov says. The moment he heard the names of his fellow travelers, Lytvynov was thunderstruck: The people sitting next to him were named Sandra, Mykhailo, and Volodymyr just like the friends he was traveling to see. "It felt like a scene from a TV series," Lytvynov laughs. The four bonded instantly, exchanged contact information, and remain in touch to this day. Since Ukraine shut down its airports in February 2022, trains operated by the state-owned railway, Ukrzaliznytsia, have become one of the primary and perhaps the easiest means of travel both within Ukraine and abroad. Countless fascinating encounters and stories unfolded in the corridors and compartments of Ukrainian trains since then. Tetiana Kohut, a 37-year-old train attendant, often sees strangers find common ground while traveling. Its not surprising, she notes: in the intimate space of a train compartment during long journeys, shared everyday rituals like sleeping or sipping tea create a cozy atmosphere, naturally fostering connections among passengers. Trains have also evolved into unexpected hubs for celebrity encounters and important connections, often paving the way to shared projects and other new opportunities. War changes everything Since the start of Russias full-scale war, Ukrainian trains have borne witness to more tears of sorrow, sadness, and grief than ever before. Just a day after Russian troops began heavily bombarding Ukrainian cities in late February 2022, Kohut was working on her regular route from Lviv, her hometown, to Novooleksiivka in Kherson Oblast. But her train never reached the destination. The village, located on the east bank of the Dnipro River in Kherson Oblast, was swiftly occupied by the Russians. "We reached Zaporizhzhia and were told that we could not go further," Kohut says. "We also were ordered to allow everyone on the train, not only those with tickets. We became an evacuation train." A train attendant of nearly 20 years, Kohut heard the sounds of explosions for the first time that day in Zaporizhzhia. She also saw hundreds of people at the station. Many of them were crying, and others looked lost and heartbroken, leaving suitcases on the platform as they boarded the lifesaving train to escape the war. The first-class sleeping car she worked in was designed to accommodate 20 people but ended up carrying nearly 200, Kohut says. "A woman from Zaporizhzhia helped me count the passengers and wrote a heartwarming letter to thank us." People walk to the railway station in Kyiv, Ukraine, on June 12, 2024. (Danylo Pavlov / The Kyiv Independent) Train attendant Tetiana Kohut checks the tickets of passengers before departure to Lviv at a railway station in Kyiv, Ukraine on June 12, 2024. (Danylo Pavlov / The Kyiv Independent) The start of the all-out war changed everything for Kohut and many other train attendants across Ukraine. In the first few months of the invasion, they worked without breaks, despite their exhaustion, to ensure everyone who wanted to escape the war could board their trains. The war also heightened the hazards of their work, as Russian attacks occasionally target train stations. Over 600 Ukrzaliznytsia employees have been killed since Feb. 24, 2022. Kohut says she lost colleagues in the Aug. 24, 2022 Russian attack on Chaplyne, Dnipropetrovsk Oblast, when a passenger train and a residential area were hit, killing a total of 25 people. One of her close friends, a fellow train attendant, was seriously injured in the attack. Once filled with laughter and excitement for upcoming travels, the train cars are now often filled with crying, silence, or the heavy sighs of people sharing the pain brought by Russias war. "We have to be doctors We have to be psychologists for our passengers now," says Kohut. Despite the dangers, trains have been also the primary means of traveling to Ukraine for many of the world's top politicians and celebrities, with over 700 diplomatic missions arriving in Ukraine by train since the start of the invasion. Kohut has had the fortune to work on many such routes, and has met former U.K. Prime Minister Boris Johnson, Polish President Andrzej Duda, European Commission President Ursula von der Leyen, and others. "Right now, all the world-famous celebrities are traveling (to Ukraine) by train. I only ever saw them on TV before, and serving them now is just so pleasant, its indescribable," says Kohut. Unexpected tears A chance encounter on a train initially brought tears to the eyes of Kyiv resident Olha Hrianyk, and led her to experience grief she thought she would never endure again. Hrianyk, 63, and her husband were traveling from Chernivtsi to the capital last May when a tall young man entered their compartment. The couple noticed a very familiar and cherished unit badge on his backpack. "'Are you from the Azov brigade?' My husband asked," Hrianyk recalls. "He said: 'How do you know?'" "I told him that our son was an Azov fighter." Hrianyk's son Oleksandr helped liberate Kyiv Oblast in the early months of the full-scale war. He was then transferred to defend Mariupol, ending up at the Azovstal steel plant, the last Ukrainian stronghold in the city, where he was killed on May 8, 2022. He was 29. Evening railway station in Kyiv, Ukraine on June 12, 2024. (Danylo Pavlov / The Kyiv Independent) Train attendant Tetiana Kohut before departure to Lviv at the railway station in Kyiv, Ukraine on June 12, 2024. (Danylo Pavlov / The Kyiv Independent) Vladyslav, the young man they accidentally met on the train, was undergoing training to join Azov. Some of his commanders had known Hrianyks son Oleksandr well: "He told us it was a great honor for him to meet us," Hrianyk says. The elderly couple and the young soldier talked until late at night, opening their hearts and sharing stories about military service and the war: "I looked at him thinking, 'Son, youre so bright, you're so proud to be there (in Azov). What amazing young people we have,'" says Hrianyk. "In that brief time, that child became like family to me." They shared phone numbers and kept in touch with Vladyslav while he was undergoing military training in Kyiv, often inviting him over for dinner or simply to rest after being on duty. One day last September, Vladyslav's mother suddenly called Hrianyk, expressing relief at finally contacting the couple her son had accidentally met on the train and had told her a lot about. But then she delivered some heartbreaking news: Vladyslav had been killed in combat near Bakhmut. He was only 19. "We printed his portrait and put it at the fallen soldiers memorial (next to the St. Michaels Cathedral in Kyiv). (The portrait of) our Oleksandr is there too," Hrianyk says. Now, as we come there to see our Sasha, we come to see Vlad, too. Turning words into actions For many Ukrainians, meetings on trains have sparked new ideas and fruitful collaborations for their projects or businesses. Vinnytsia-based Nataliia Kovalchuk, a content creator for an NGO called the National Network of Local Philanthropy Development, recalls numerous captivating experiences during her work trips across Ukraine. People talk on the phones inside the train on the railway station in Kyiv, Ukraine on June 12, 2024. (Danylo Pavlov / The Kyiv Independent) "My team laughs at me when I say, Youll never believe it, but I met someone on the train again," Kovalchuk says. During her railway journeys, Kovalchuk always buys a cup of hot tea a true gem of Ukrainian train travel, served by train attendants in a special glass with a metal cup holder. Little did she know that accidentally spilling her tea onto a nearby passenger's belongings would lead to another valuable connection. Surprisingly, the spilled tea did not lead to bad feelings, but helped Kovalchuk bond with the woman whose belongings she had soaked. It turned out that the woman worked at a center for the physical and psychological rehabilitation of Ukrainian soldiers. Kovalchuk donated some books for the soldiers undergoing rehabilitation there and helped the center boost its social media presence to attract more funding in the future. Now she is planning to invite some of the war veterans from the center to give a lecture to local young people and her organization on inclusivity and treatment of people with disabilities in Ukraine. And all this became possible thanks to a chance encounter on a train. As the evening comes down, people walk to the railway station in Kyiv, Ukraine, on June 12, 2024. (Danylo Pavlov / The Kyiv Independent) Note from the author: Hi! Daria Shulzhenko here. I wrote this piece for you. Since the first day of Russia's all-out war, I have been working almost non-stop to tell the stories of those affected by Russias brutal aggression. By telling all those painful stories, we are helping to keep the world informed about the reality of Russias war against Ukraine. By becoming the Kyiv Independent's member, you can help us continue telling the world the truth about this war Read also: Night Train to Odesa is a remedy for reading about wartime despair Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. A three-year-old boy was left fighting for his life after a rare combination of common childhood illnesses meant his heart started shutting down. Vickie Julian, 37, from Brecon, Powys, took her son to the GP on 16 June thinking it was a cold, but days later Freddie was rushed to Bristol Royal Hospital for Children for emergency heart surgery. He was deteriorating quickly and the final option was a life-support machine which would re-oxygenate his blood and give his little heart a rest. It worked, making Freddie the first patient in the UK to be saved by the new Extracorporeal Membrane Oxygenation (ECMO) machine, according to the hospital. Vickie noticed her son had a cold on 15 June and took him to the GP, who told her it was a virus. Within days he stopped eating then "his arms were freezing cold, his lips were blue and his eyes were in the back of his head," she said. Vickie and her husband Steven, 45, took their son to Prince Charles Hospital in Merthyr Tydfil where a cardiac paediatrician scanned his heart. "He said his liver was about four times the size it should have been, his heart was swollen and there was fluid around it - it just wasn't beating," said Vickie. Vickie said: "We are so proud of Freddie - his fight and determination is contagious, just like his smile" [Vickie Julian] Doctors found Freddie had slapped cheek syndrome, pneumonia, enterovirus and rhinovirus - which often cause the common cold. "Slapped cheek was the dominant virus in his blood and Freddie didn't even have red cheeks, but somehow this virus got into his body and it managed to attack his heart," said Vickie. He was rushed to hospital in Bristol on 20 June where a temporary pacing wire was put into his heart to prevent it from stopping. "They threw everything they could at Freddie for five days, he was on about 15 different life support infusions. "He was he was so puffy because his kidneys weren't working, he was just filling full of fluid." The Extracorporeal Membrane Oxygenation machine works by removing blood from the patient and re-oxygenising it before pumping it back into the body [Vickie Julian] Doctors put Freddie on a kidney filter, but he deteriorated throughout the day. "He was so scared," his mum said. Finally, the only option was to put him on the new ECMO machine - similar to the heart-lung bypass machine used for open heart surgery, according to Great Ormond Street Hospital. The machine delivers oxygen to the blood by working as an artificial lung membrane, continuously pumping this blood into and around the body. Bristol Royal Hospital for Children said the new ECMO machine was more portable than the version it replaced and allowed the team to monitor patients while they are attached to it. Vickie said surgeons explained it was a "last chance life support" that was Freddie's only hope. She said that was "the scariest thing to hear". This embedded content is not available in your region. After five-and-a-half days, Freddie's heart was rested and doctors had cleared his other infections. Vickie said her son was "so unlucky to fall so poorly with the viruses attacking his heart" and that, if it was not for the ECMO machine, "he definitely wouldn't be here". The machine that saved her son is being named after him, she added. "He's a determined little boy. Everyone I speak to is just like, 'he's just a force of nature'," she added. Mum Vickie and dad Steven have taken it in turns since June to sleep next to Freddie in hospital at night [Vickie Julian] Four weeks later, Freddie was transferred to a hospital in Cardiff where he began intensive neurological rehabilitation for speech, language, physio and play therapy to "try and get him back to the little boy he was before," said his mum. "When he came here, he had no head control, he was like a newborn baby, he couldn't move his arms. "Now he's sitting up, he's in a walker putting one foot in front of the other - and he's paying attention to choosing toys and babbling." Freddie is lucky to have survived, says his mum [Vickie Julian] For a long time he refused to eat and did not like anything near his face "because of everything that he's been through". But after weeks of rehab, Freddie began eating and drinking and loves Skips and Giant Wotsits in particular. "They're doing everything they can here at Noah's Ark to bring him on as much as they can, with a view to us hopefully going home before Christmas. Which would be the best Christmas present, us all back together." Freddie and sister Millie have been able to see each other regularly despite being so far away because of housing provided by the Ronald McDonald charity [Vickie Julian] Vickie said she wanted her family's experience to show other parents that "if something doesn't feel right, then it's really not - don't hesitate to take your children to be seen". "Never in a million years did I think Freddie was on death's door. "We're just lucky there's the pioneering technology which he had access to,and the right decisions being made at the right times which saved his life - and we're so grateful, we owe them everything." BAKERSFIELD, Calif. (KGET) The Bakersfield Police Department is all set to discuss new efforts on neighborhood engagement during an upcoming meeting at MLK Community Center. The event is free and open to public. ADAKC to host Caring for the Caregiver seminar in Bakersfield The meeting will be held on Tuesday, Sept. 17, from 6 p.m. to 8 p.m. at 1000 S Owens Street. Officials will discuss crime trends in the metro area and the departments new Community Engagement Division. BPD will present a strategic plan for strengthening the relationship with the community and ask for feedback from attendees. State officials approve Phase 2 of Borel Fire clean up efforts The seminar session will cover the use of surveys to gather input and how residents can get involved in police training. For more information, contact the BPD Community Relations Department at 661-326-3053. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KGET 17. A series of record-breaking wildfires are currently burning in several regions in Brazil, threatening residents and some of the most important ecological systems in the world. More than 50,000 wildfires are currently active throughout Brazil, authorities and the Igarape Institute, a Brazilian-based think tank that focuses on emerging security and development issues, told ABC News. Some of the regions most heavily affected are the Amazon, Cerrado and the Pantanal. MORE: 'Out of control' fires ravage the Amazon region The fires are so bad that residents in nearby towns are choking on the smoke, The Associated Press reported. Densely populated cities like Sao Paulo and Rio de Janeiro are at risk of fires coming within city limits, officials said. About 60% of all the fires burning throughout Latin America are currently happening in Brazil, officials said. PHOTO: This aerial view shows smoke billowing from the forest at Pirituba neighborhood, in Sao Paulo, Brazil, on Sept. 13, 2024. (Nelson Almeida/AFP via Getty Images) A third of the fires are sparked by human-caused actions to clear land for agricultural purposes, according to experts. Deforestation and drought are contributing heavily to the wildfires, Rachael Garrett, a professor of conservation and development at the University of Cambridge, told ABC News. MORE: Forests in Brazil emitting more carbon than they absorb due to climate change: Study The Amazon is currently experiencing the worst drought in 40 years, Brazilian President Luiz Inacio Lula da Silva said Tuesday while visiting an Amazon riverside community near the city of Tefe. PHOTO: Fires spread through the environmental protection area of Pouso Alto, in Chapada dos Veadeiros National Park, during dry season, in Colinas do Sul, Goias state, Brazil, on Sept. 9, 2024. (Eraldo Peres/AP) Lula has called on the public to identify any suspected arsonists to federal police. In Brazil, criminals starting fires only risk a prison sentence up to four years in jail, but Lula has vowed to change that law. A record amount of land has already been burned in Brazil so far this year. About 12 million hectares, or nearly 30 million acres, were destroyed in Brazil between January and August, according to MapBiomas, a Brazilian fire activity monitoring site. The states of Roraima and Matto Groso were the most affected. MORE: Experts explain how the Brazilian wildfires became so devastating and what can be done to save the rain forest There have been 180,000 fires this year in Brazil, with more than 7,000 occurring in just the past two days, according to the National Institute for Space Research,Brazil's satellite agency. This is the most fires in a year since 2010, according to the agency, with more than three months to go in 2024. PHOTO:The fire burns vegetation in the Tingua Biological Reserve in Nova Iguacu, Rio de Janeiro state, Brazil, on Sept. 13, 2024. (Pablo Porciuncula/AFP via Getty Images) Deforestation of the Amazon has led to a reduction in rainfall in Brazil, throwing the ecosystem off balance and causing a loop of drought and devastating wildfires, Garrett said. MORE: Fires in Amazon rainforest up more than 80%, scientists warn Out-of-control wildfires in the Amazon are also affecting nearby countries as well, prompting flight cancellations, evacuations and school closures in Bolivia and Ecuador due to the smoke. PHOTO: A firefighter sprays water to fight a forest fire in the city of Sao Carlos, Sao Paulo State, Brazil, on Sept. 11, 2024. (Lourival Izaque, STR via AFP via Getty Images) While the wildfire season in the Amazon region traditionally occurred from August to October, it now stretches on for six months, Efrain Tinta Guachalla, a socio-territorial investigator at Fundacion Tierra, a Bolivian NGO dedicated to sustainable rural development, told ABC News earlier this week. Deforestation for the growing amount of farmland, often for soy and cattle, is likely the culprit behind the extended fire season, Guachalla said. The Amazon rainforest is one of the most important and biodiverse ecosystems in the world. Not only does it contribute heavily to the watershed systems in South America, it houses millions of species of plants, animals and insects and absorbs carbon dioxide from the atmosphere, experts say. Further degradation of the Amazon would be "catastrophic" for the already delicate ecosystems in the region, Garrett said. ABC News' Victoria Beaule and Trisha Mukherjee contributed to this report. Brazil experiencing record-breaking wildfires as persistent drought affects the Amazon rainforest originally appeared on abcnews.go.com A Brazilian court had banned a couple from naming their baby after an ancient king over fears the child would be bullied. Catarina and Danillo Primola had planned to name their newborn son Piye, paying homage to the first black Egyptian pharaoh who had ruled Egypt for 30 years and helped in founding the 25th dynasty. However, the Court of Justice in the Brazilian state of Minas Gerais and registry office ruled that Piyes pronunciation is too similar to plie a Portuguese word for a ballet dance step. Even though piye is spelled differently, the court claimed the parents would not be permitted to register first names that could expose their bearers to ridicule. That is why the sound and spelling of the name were preponderant for the rejection, the Minas Gerais Court of Justice said, according to the Daily Mail. They would be capable of causing future embarrassment to the child. A court rejected the name Catarina and Danillo Primola picked out for their baby saying it would cause future embarrassment to the child. TV Globo A judge reversed the decision to block the name on Friday, the outlet added. The couple initially got the name idea after hearing a theme song for the 2023 Carnival in Rio de Janeiro while practicing choreography at the Academicos de Venda Nova Samba School. The new parents thought it would be a powerful way to give a new narrative to the history of black people. We went to research what it was like and we found the story of Piie, who was a Nubian warrior who fought and conquered Egypt and became the first black pharaoh, Primola told the outlet. Piye was born in Sudan and rose to power in Egypt in 744 BC. He died in 715 BC and was succeeded by his brother Shabako, according to Smart History. The battle over the name delayed the couple from getting their child the required vaccines and forced them to be late for a screening to detect rare health conditions. We know that bullying cannot be combated by prohibiting it, nor can it be combated by oppression, Danillo Primola said. Bullying can be combated by studying and working on the ignorance of society as a whole. This isnt the first time a judge has blocked parents from picking certain names for their children. In 2013, a judge in Tennessee ordered a babys name to be changed from Messiah to Martin after a child support hearing. The judicial official in charge of the case said religious residents in the area would be offended and added that its a title only Jesus Chris had earned and it would be an unfair burden to put on the kid. In France, courts have rejected baby names like Nutella and Prince William because they didnt want the kids to be mocked. With Post wires BRISTOL, Va. (WJHL) The Bristol Virginia Police Criminal Investigations located a suspect vehicle from a shooting that occurred on Thursday, according to a release from the department. Photo courtesy of BVPD According to the release, the shooting took place at Suffolk Ave. at a residence. There were no reported injuries. Police: Juvenile charged with four counts of death threats at a school in Washington County, Va. Officials with the Bristol Virginia Police Department (BVPD) described the vehicle as a silver, four-door Nissan Titan truck. Anyone with information about the incident is asked to call or text Detective Chris Stine at 276-696-4091. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJHL | Tri-Cities News & Weather. British PM urged to allow Ukraine to use long-range missiles -Sunday Times LONDON (Reuters) - British Labour Prime Minister Keir Starmer has been urged by former defence secretaries and an ex-premier to allow Ukraine to use long-range missiles inside Russian territory even without U.S. backing, the Sunday Times reported on Saturday. According to the Sunday Times, the call came from five former Conservative defence secretaries - Grant Shapps, Ben Wallace, Gavin Williamson, Penny Mordaunt and Liam Fox - as well as from ex-Prime Minister Boris Johnson. They warned Starmer that "any further delay will embolden President Putin", the Sunday Times said. Ukrainian President Volodymyr Zelenskiy has been pleading with allies for months to let Ukraine fire Western missiles including long-range U.S. ATACMS and British Storm Shadows deep into Russia to limit Moscow's ability to launch attacks. Starmer and U.S. President Joe Biden held talks in Washington on Friday on whether to allow Kyiv to use the long-range missiles against targets in Russia. No decision was announced. Some U.S. officials are deeply skeptical that allowing the use of such missiles would make a significant difference in Kyiv's battle against Russian invaders. President Vladimir Putin has said the West would be directly fighting Russia if it allowed Ukraine to strike with Western-made long-range missiles. (Reporting by Catarina Demony; Editing by David Gregorio) DE PERE, WI (WFRV) The Southern Bridge was brought up as an idea in the 1970s and then again in the 90s. Only now does the Brown County Highway Department have plans in place. Green Bay officials take voters questions on election security They connect Packerland Drive west of I41, across the Fox River, to Monroe Road in East De Pere. They held a meeting Thursday night to inform people about the projects coming to their roads in the coming years. Were getting close, Brown County Executive Troy Streckenbach told Local 5 News. You can see on Highway 41, they already began the interchange with the state of Wisconsin right now. Were going to be doing a lot of work in the next two years. People are going to start to see a lot of construction. Two Rivers Police Department confirm skeletal remains are of Elijah Vues Construction on the actual bridge wont begin until 2027. The entire project should be done in 2029. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WFRV Local 5 - Green Bay, Appleton. An aerial drone photo taken on Sept. 13, 2024 shows a view of the China-aided Jamestown Fishing Port Complex in Accra, Ghana. Ghanaian President Nana Addo Dankwa Akufo-Addo on Friday inaugurated a China-aided fishing port complex in Jamestown, a coastal community in Accra, the nation's capital. Constructed by CRCC Harbor and Channel Engineering Bureau Group Co., Ltd., a Chinese firm, the Jamestown Fishing Port Complex features a range of facilities, including a two-story office building, a fish market, an ice-making plant, shops, cold storage, a kindergarten, a fire station and a ship repair station. (Photo by Seth/Xinhua) ACCRA, Sept. 14 (Xinhua) -- Ghanaian President Nana Addo Dankwa Akufo-Addo on Friday inaugurated a China-aided fishing port complex in Jamestown, a coastal community in Accra, the nation's capital. Constructed by CRCC Harbor and Channel Engineering Bureau Group Co., Ltd., a Chinese firm, the Jamestown Fishing Port Complex features a range of facilities, including a two-story office building, a fish market, an ice-making plant, shops, cold storage, a kindergarten, a fire station and a ship repair station. During the commissioning ceremony, Akufo-Addo expressed his delight at the project's completion, saying it will bolster Jamestown's fishing industry and reduce post-harvest losses. "The government prioritized this project due to the important role artisanal fishing plays in Ghana's economy and the livelihoods of the people," Akufo-Addo said. He noted that the new port will create jobs, stimulate the local economy and transform the fishing community. "It will inject new energy into the heart of this community, with ripple effects across the entire nation," he said. The president also expressed gratitude to the Chinese government for its longstanding support of Ghana, including its assistance with the harbor project and other key infrastructure developments. Chinese Ambassador to Ghana Tong Defa officially handed over the port complex, affirming China's commitment to supporting Ghana's socio-economic progress. "It is a significant infrastructure project aimed at boosting Ghana's fishing industry, enhancing local economic development, and improving the livelihoods of local communities that rely on fishing," Tong said. He said that China considers its solidarity and cooperation with African nations, including Ghana, a cornerstone of its foreign policy. The project is a symbol of the two nations' joint efforts toward modernization, and a testament to the Global South's confidence in unity and collaboration, Tong said. Ghanaian President Nana Addo Dankwa Akufo-Addo addresses the inauguration ceremony of China-aided Jamestown Fishing Port Complex in Accra, Ghana, Sept. 13, 2024. Ghanaian President Nana Addo Dankwa Akufo-Addo on Friday inaugurated a China-aided fishing port complex in Jamestown, a coastal community in Accra, the nation's capital. Constructed by CRCC Harbor and Channel Engineering Bureau Group Co., Ltd., a Chinese firm, the Jamestown Fishing Port Complex features a range of facilities, including a two-story office building, a fish market, an ice-making plant, shops, cold storage, a kindergarten, a fire station and a ship repair station. (Photo by Seth/Xinhua) An aerial drone photo taken on Sept. 13, 2024 shows a view of the China-aided Jamestown Fishing Port Complex in Accra, Ghana. Ghanaian President Nana Addo Dankwa Akufo-Addo on Friday inaugurated a China-aided fishing port complex in Jamestown, a coastal community in Accra, the nation's capital. Constructed by CRCC Harbor and Channel Engineering Bureau Group Co., Ltd., a Chinese firm, the Jamestown Fishing Port Complex features a range of facilities, including a two-story office building, a fish market, an ice-making plant, shops, cold storage, a kindergarten, a fire station and a ship repair station. (Photo by Seth/Xinhua) (FOX40.COM) The Calaveras County Sheriffs Office is asking the public for help with several unsolved cases during National Cold Case Month. Throughout the month, the sheriffs office is posting the details from cases, many dating back decades, to social media in hopes that someone will provide the missing information and investigative leads to bring closure and justice to these cases. Here are some of the cases the sheriffs office is looking for more information on. Jeffrey Askren Jeffery Askren was reported missing on May 1, 1984. His 1978 Red Honda Accord was found three days later on Winston Road. The sheriffs office said the vehicle may have been spotted at that location as early as April 25. CAL FIRE makes back-to-back arson arrests in Butte County Askren had possibly made reservations at an inn in Sutter Creek for April 23 but did not check-in. The sheriffs office said Askren was known to carry camera equipment and that a lens cover and tripod were found in or near his vehicle. No further evidence of Askren was found. At the time of his disappearance, Askren was 30 years old, 59 tall and 150 pounds. He had red hair and blue eyes. The sheriffs office notes that Askrens car was found just a few miles from where the bodies of many victims of the Wilseyville serial killings were found. His body is not among those that have been identified but some remains have yet to be matched with a person. Roy Dixon The body of Roy Dixon was discovered by deputies responding to a report of gunshots and later a fire around 2:30 a.m. on October 14, 1997, on Winton Road in West Point. His body was found four feet from a vehicle on fire. The coroners office found Dixon had been stabbed in the back, shoulder, lungs and heart but that the cause of death was crushing injuries to the head, neck and chest. The coroner thought he may have also been run over. Hector Girol On September 9, 2000, deputies responded to a suspicious death around 11:50 p.m. in Copperopolis. Hector Gilroys body was found in the shower with multiple gunshot wounds. Sharalyn Murphy In 1994, the hands and headless body of Sharalyn Murphy were found near New Melones Reservoir. The 23-year-old is thought to have died sometime in the fall of that year. The sheriffs office said that Murphy was originally from Washington but had moved to the Sacramento area the previous year. Several illegal cartel-related marijuana grows shut down in California Delta Parrots Ferry Jane Doe The partial remains of a woman were found under the Parrots Ferry Bridge during a drought on October 9, 2015. The sheriffs office said the body likely belonged to a 25- to 45-year-old woman who is estimated to have been between 48 and 53. The body had been weighted down beneath the water prior to the drought. The Calaveras County Sheriffs Office asks that if you have information about one of these or other cases to call their anonymous tipline at (209) 754-6030. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX40. An Alameda County man was arrested after he allegedly assaulted flight attendants while on a Frontier Airlines flight from Orange County to San Francisco. (David Zalubowski / Associated Press) Federal authorities have arrested an Alameda County man after he allegedly assaulted flight attendants while traveling from Orange County to San Francisco, according to the U.S. Justice Department. Charles Angel Salva, 30, of Fremont, was on a Frontier Airlines flight from John Wayne Airport to San Francisco International Airport on Monday when, authorities say, he pulled down an oxygen mask from the overhead compartment shortly after takeoff and began yelling obscenities at flight attendants. We are all going to hell, he said, according to a statement from the U.S. attorneys office. This airplane is going down! He then allegedly grabbed at passengers and ran to the back of the plane as flight attendants tried to restrain him. Passengers helped restrain Salva using a seat belt after he broke out of flex cuffs. Read more: FAA refers 43 reported abusive, unruly plane passengers to FBI, even as incidents fall from COVID highs Salva attempted to choke a flight attendant and kicked another approximately six times in the leg, causing bruising and swelling, according to federal officials. The affidavit also alleges that Salva said he was going to kill everybody. The flight diverted to Ontario International Airport in San Bernardino County, as flight attendants did not feel safe trying to put Salva back in his seat. Read more: 'Every parent's worst nightmare': Teen who was sexually assaulted by passenger sues Delta Air Lines A passenger later told officials that Salva appeared claustrophobic and seemed like he wanted to get off the plane. Salva was arrested Wednesday and is charged with interference with flight crew members and attendants, according to the U.S. attorney's office. He faces a maximum sentence of 20 years in federal prison if convicted. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. CARTER COUNTY, Tenn. (WJHL) A Happy Valley High School student has been arrested and charged with threat of mass violence following a discovered social media threat to the school, the Carter County Sheriffs Office (CCSO) reports. According to a release on Saturday, the sheriffs office learned of an online threat of violence involving firearms at Happy Valley High School on Sept. 11. SEE ALSO: Elizabethton student charged with false reporting The CCSO said it, along with the Elizabethton Police Department and the Department of Homeland Security, worked to conduct an investigation and identified a person of interest, who was ultimately found to be responsible for the threat. The sheriffs office said it arrested a 15-year-old male Happy Valley High School student and charged him with threat of mass violence on school property. The juvenile was being detained pending judicial review on Saturday morning, the CCSO said. A spokesperson for the CCSO confirmed to News Channel 11 that this incident is completely unrelated and separate from a threat and subsequent false reporting charge to an Elizabethton High School student on Friday, who reportedly admitted to posting a different threat against Happy Valley High School as a joke. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJHL | Tri-Cities News & Weather. A close contact of an avian flu patient in Missouri also fell ill at the same time but it is unlikely there was any human-to-human transmission in the case, the Centers for Disease Control and Prevention reported Friday. File Photo by Debbie Hill/UPI Sept. 14 (UPI) -- A close contact of a Missouri resident identified as having a human case of the H5N1 avian flu also became sick around the same time, the Centers for Disease Control and Prevention has revealed. According to a CDC avian flu update issued Friday, an investigation of last week's mysterious case of the Missouri bird flu patient found that a "household contact" of the patient also became ill with similar symptoms on the same day. That person was not tested for the avian flu and his since recovered. The CDC probe also found that a second close contact of the Missouri patient, identified as a health care worker, similarly developed "mild symptoms" and tested negative for flu. Despite the multiple illnesses, the agency has determined there was no human-to-human transmission of the H5N1 virus, which has been circulating among poultry and wild birds since 2022 and made the interspecies jump to dairy cows earlier this year. "The simultaneous development of symptoms does not support person-to-person spread but suggests a common exposure," the CDC said. The agency's update also confirmed that genetic tests performed on the virus from the Missouri patient determined it is closely related to the strain found in dairy cattle, thus deepening the question of how the person contracted the infection. The case marked the first instance of the virus in someone without a known occupational exposure to sick or infected animals. It was the 14th overall human case of H5N1 reported in the nation. The Missouri patient was hospitalized on Aug. 22, underwent testing and was treated before being released, according to the Missouri Department of Health and Senior Services. Dr. Dana Hawkinson, the medical director for infection prevention and control at the University of Kansas Health System, told the Kansas City Star a pet could be responsible for the infection. "I think household pets are probably a fairly good, plausible explanation for this," he said. "In general we just don't have a lot of information about this case." CDC, WHO monitoring overseas outbreak of M-Pox: What we know CDC, WHO monitoring overseas outbreak of M-Pox: What we know DAYTON, Ohio (WDTN) Both the CDC and the World Health Organization (WHO) are monitoring M-Pox outbreaks overseas; some worry this outbreak could turn global if not taken care of. Previously, WHO stated that M-Pox is a global health emergency, with testing and surveillance increasing in the United States. Open burn ban issued for 28 Ohio counties They did this declaration for three reasons. First, it was unexpected. Second, it has the potential for cross-border international transmission. And last, since it can cross borders, we need an international response, said Chris Cook, Clark County Health Commissioner. Health experts want to emphasize that this is just a precaution and that M-Pox does not spread the same way that COVID did years ago. M-POX, clay 1 and clay 2, its not COVID. You know, I think we are kind of wired right now to think about airborne, aerosol, droplet transmission. But this is not how it transmits at this time, said Cook. People who are at greatest risk for getting M-POX are again, those sexual contact, folks who have multiple sexual partners. Travel also complicates the spread of the virus. Worldwide travel is so open, that it is very easy for what appears to be a localized outbreak, to all of a sudden become a worldwide problem once again, said Roberto Colon, Premier Health chief medical officer. A vaccine against M-Pox is readily available, and those who are at risk are encouraged to get the vaccine as soon as they can. Even if the virus does mutate, the vaccine will still be effective. It is very likely that we will see some varying iterations or varying modifications to this virus, moving forward. That does not immediately mean that the vaccines become less effective or not effective, said Colon. How do Miami Valley schools rank on Ohios 2024 report cards? Health experts also point to the fact that a vaccine is readily available to those at risk as another reason why this is not a similar situation to COVID. The WHO has also recently announced their prequalification of another M-Pox vaccine that will address the spread of the virus. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WDTN.com. Cedars-Sinai Medical Center has barred a Beverly Hills obstetrician-gynecologist and former member of its physician network from practicing at its facilities. (Robert Gauthier / Los Angeles Times) Cedars-Sinai Medical Center has barred a Beverly Hills obstetrician-gynecologist from practicing at its facilities after an investigation into "concerning complaints from patients," according to a spokesperson. Dr. Barry Brock, a longtime physician who has advertised his low rate of cesarean section births, has had his hospital privileges terminated and the matter reported to the Medical Board of California, according to Cedars-Sinai. "The type of behavior alleged about Dr. Brock is counter to Cedars-Sinais core values and the trust we strive to earn every day with our patients," its spokesperson said. Brock, 74, has denied any wrongdoing and said he had surrendered his privileges without any "fact finding" or "hearing on the merits" of the allegations. Cedars-Sinai did not immediately respond to those claims. Read more:'I don't want him to go': An autistic teen and his family face stark choices In August, weeks after his privileges at Cedars-Sinai were suspended pending an investigation, Brock emailed current and former patients to announce he was retiring from medicine at the end of the month, saying the "uncertainty of how long this process will take" left him unable to deliver the care his patients would expect. Neither Cedars-Sinai nor the medical board would discuss details of the allegations, saying they were confidential under the law. Nine former patients have spoken with The Times about alleged experiences with Brock and two shared complaints they sent to Cedars-Sinai. The written complaints and other records including complaints to the state medical board and police reports allege inappropriate remarks, unnecessary physical examinations, a botched medical procedure and the pressuring of a patient to undergo a vaginal birth when she sought a cesarean section. Brock, who was in private practice and not employed by Cedars-Sinai at the time of his termination, denied any accusations of sexual misconduct and said "these few anonymous allegations" were not indicative of his skills or "my character that I have shown day-in and day-out in my practice and in the delivery room for 46 years." He said Cedars-Sinai had only offered a summary of the complaints, and that it was "not a fair process" to be asked to defend himself without being able to identify the patients involved. Any claim that I performed a medical examination or procedure for anything but a medical purpose or conducted it in a way for my own personal gratification, to discourage C-Sections, or to sexually harass a patient is an outrageously false claim, Brock told The Times. Read more:Desperate parents turn to magnetic therapy to help kids with autism. They have little evidence to go on Brock left Cedars-Sinais physician network in 2018, the hospital spokesperson said, but retained delivery privileges at the medical center. He went first into private practice at Rodeo Drive Womens Health Center in Beverly Hills and moved to a different private practice in Beverly Hills in January 2021. In one complaint filed with the state medical board, a patient wrote: "Dr. Brock commented on the size of my breasts, proclaiming that my husband 'must be enjoying these.' This comment was made during an impromptu and forced breast exam." (The Times is not naming the patient, as the case involves an accusation of sexual assault.) Brock, responding to the allegation, told The Times that "this is not the type of comment I would ever make. I have performed clinical breast exams on thousands of women, and I am looking for medical issues. When the patient was admitted to Cedars-Sinai a week after her due date with low amniotic fluid, Dr. Brock ordered the nursing staff to do everything possible to facilitate a natural delivery, which became torturous for me, she wrote in her medical board complaint. Mine and my husbands requests to Cedars staff for a different OBGYN to deliver our baby fell on deaf ears. The patient wrote that she was diagnosed with an infection as labor wore on, and that her fetus' heart rate plummeted. Brock eventually performed an emergency C-section roughly 20 hours after labor began. The baby emerged blue and unresponsive, according to hospital records The Times reviewed, and required resuscitation. The patient wrote that Brocks first comments to her after birth were not about her infants condition, but about her vagina. After the surgery, Dr. Brock proclaimed that I would stay nice and tight down there, she wrote in her medical board complaint. Brock said in response that without sufficient information to review the patients medical records, I cannot state what occurred here. If patients request a C-Section, I do not deny one and do what is best for the patient and the baby. I have done elective C-Sections throughout my career. As for the alleged comment, I never use the words 'tight' to describe the vaginal canal, Brock said. Another patient who complained to Cedars-Sinai also reported her allegations to the Beverly Hills Police Department. As of mid-September, Brock said he hadnt been contacted by the department. In a police report reviewed by The Times, the patient described going to a gynecologist in 2020 to have him "flip a breech baby. She said the doctor performed breast and vaginal exams on her before doing an ultrasound and made comments about her body, such as remarking on her not having stretch marks, the police report said. The woman found his remarks to be unprofessional and said they caused her to feel uncomfortable, and said she felt the breast exam was unnecessary, according to the police report. It does not name the doctor, but the woman identified him as Brock. The episode made her feel like she had been taken advantage of, the police report stated. Read more:Nearly $1 billion in funds left unspent by centers for disabled Californians Guidance from the American College of Obstetricians and Gynecologists says that an ultrasound is necessary before attempting the procedure, but does not mention any other physical exams. Experts said performing a breast exam was not standard for the procedure, although a breast exam might be undertaken for other reasons, such as initiating care with a new patient. Brock said such exams were standard for his new pregnant patients. Any patient who believed that they could simply show up at a new OB-GYN office and expect that physician to not perform a physical did not understand the process of becoming a new patient, he wrote. If the patient had a physical issue that was missed due to me not performing a physical or breast exam, I would have been legally responsible for malpractice. As for his alleged comments, I do not recall any exact instance of commenting on the lack of stretch marks in an inappropriate way, he wrote. However, there have been patients who did not have any stretch marks well into their pregnancy and when asked if it is possible to avoid stretch marks entirely, I have made comments such as you are lucky. A third former patient, who did not complain to Cedars-Sinai before the suspension, filed a complaint against Brock to the medical board in April and with Beverly Hills police in July. The doctors name was redacted from the police report copy provided to The Times; the patient confirmed it was Brock. During her second pregnancy in 2022, the woman said Brock made comments about her body so frequently that she requested a chaperone be present during her visits with him, according to the police report. Following delivery of her second child, Brock spent an unusually long time suturing what he said was a small labial tear, she told police and the medical board. The sutures remained tight and painful weeks after the birth, she wrote in the medical board complaint. When she described the problem during a follow-up appointment, the woman wrote in the medical board complaint, Brock told me if I didn't like the way it looked [the stitching of my vagina], because I wanted to get back into porn, he could do a corrective procedure for me. Brock denied making such remarks, saying that I would never say or imply that a patient could get back into porn. He also said he had never been informed that any patient had asked for a chaperone due to comments on their body. Read more:Peanuts! Get your peanuts! Kids who eat them early are much less likely to develop an allergy, studies conclude In her complaint, the patient wrote that she ultimately left the practice and sought care from another doctor who told her that Brock had stitched her labia minora together, leaving only a small opening for her vagina. Two years later, activities like using the bathroom, exercising and sexual intercourse remain painful as a result of the injury, she wrote in the complaint. In a written response provided by his attorney, Brock said that while he could not speak definitely without being able to identify the patient and consult her record, he doubted the problems she described were caused by his suturing. Dr. Sharon Winer, a gynecologist who has referred patients to Brock, called him "one of the best OBs that I've ever seen" and said that when it comes to healthcare, you cannot take a single act or activity and take it out of medical context. His attorney also provided emails from former patients praising his care. He absolutely has a colorful personality, and can be direct to the point of possible offense ... to those who dont know or understand his sense of humor, one wrote, but when it comes down to his skill, his bedside manner, and his commitment to patients, he is UNMATCHED. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. CEO of Malaysia firm accused of child abuse says there were cases of sodomy KUALA LUMPUR (Reuters) - The head of a Malaysian conglomerate accused of running homes where hundreds of children and youths were allegedly sexually abused said there were 'one or two' cases of sodomy at the shelters but he denied other allegations of misconduct. Police this week rescued 402 children from charity homes that authorities say were run by Global Ikhwan Services and Business (GISB) Holdings, an Islamic firm that the authorities have also accused of exploiting its workers. Health screenings showed many of the children had injuries consistent with physical and sexual abuse, while 13 of them had been sodomised, police said on Friday. GISB has said it did not run the homes and denied all allegations of abuse and exploitation of workers. But in a video posted on GISB's official Facebook page on Saturday, Chief Executive Nasiruddin Ali said the company had broken some unspecified laws. "I don't want to blame the laws. It's true we have done some wrongs in the eyes of the law but couldn't there be some advice or discussions first?" he said. Nasiruddin said allegations by police that children were sodomised and taught to sodomise others were "disgusting" but acknowledged there were cases of such abuses at the homes. "Indeed, there were one or two cases of sodomy, but why lump them (the cases) all together?" he said. GISB has been linked to Al-Arqam, a religious sect banned by the government in 1994. The firm has acknowledged the link but now describes itself as an Islamic conglomerate based on Muslim principles. Malaysia's Islamic Development Department said in a statement on Saturday it would bring a report on suspected deviant teachings involving GISB to the cabinet, the national religious affairs council, and the conference of rulers. Malaysia has nine royal rulers who act as the custodians of Islam in the country. Nasiruddin said some of GISB's accounts had been frozen and assets seized by authorities, adding that the firm was in the process of hiring lawyers to defend itself in court. Following Wednesday's raids, police detained 159 people pending investigations and said its probe into GISB may be expanded to include financial offences. (Reporting by Rozanna Latiff; editing by Miral Fahmy) An alarming number of school shooting threats have prompted lock downs and lead to confusion and heightened fears across Central Florida. Now, law enforcement and school officials are promising maximum consequences amid a spike in unfounded threats. In just the last week, more than 8 students across Central Florida have been arrested for either threatening a school shooting or bringing a gun onto school property. Volusia County Sheriff Mike Chitwood said since the school year began, the office has received more than 200 school shooting threats, but none of those threats were credible. Still, all threats are taken seriously, and each threat causes a disruption that uses up law enforcement resources. To deal with the spike in threats, Sheriff Chitwood said beginning on Monday, he plans to publicly shame students who make unfounded threats, and their parents. Im going to show you every kid thats been arrested and where they go to school, and then from there on out, were going to publicly shame them and their parents. So parents, do your job. Dont let Sheriff Chitwood raise your kids, said Chitwood during a press conference Friday. Read: Police: 2 Boone High School students arrested after loaded gun found on campus Chitwood said his office will pursue criminal charges to the full extent of the law in every case possible and threats meant as jokes are no exception. He announced the arrest of two Heritage Middle School students ages 13 and 14 who threatened to shoot up their school on social media this week. Both are now being charged with making written threats to kill, which is a felony. Chitwood said parents could also see criminal charges. Additionally, Florida law allows the cost for law enforcement investigations into school threats to be charged to the parents of juvenile defendants. Chitwood said the bill could be several thousands. I know of a set of parents right now that theyre looking at $11,000 each because somebodys paying this bill, said Chitwood. The spike in threats and gun scares at school is far reaching. Read: Boy, 15, accused of making school shooting threat in Seminole County sees judge Law enforcement has made arrests this week at Boone High School in Orlando, Lake Brantley High School in Altamonte Springs, Seminole High School in Sanford, as well as Dunnellon High School in Marion County. School administrators and law enforcement across Central Florida were united in taking a hard stance on the problem and urged parents to take a proactive role in educating their children. These behaviors carry heavy consequences that will alter lives and change futures in a way that young people may not comprehend. Im asking our parents to partner with us and talk with your children about the serious nature of making threats, said Serita Beamon, Superintendent of Seminole County Public Schools. Aside from potential felony charges for students, state law mandates schools must have zero tolerance for either bringing firearms to campus or making threats of violence. That law says violators must be expelled. In Volusia County, Sheriff Mike Chitwood also said the county would also soon roll out a project bringing weapons detection canines to school. He said the canines would be deployed in November. School officials also said they continue to take every threat, whether rumor or written, seriously. They also reiterated they do not want to dissuade anyone from reporting a tip about a possible threat, even if the threat turns out to be a hoax. Read: Dunnellon High School student arrested after making threat to school Click here to download our free news, weather and smart TV apps. And click here to stream Channel 9 Eyewitness News live. CHICAGO - A 21-year-old Chicago man has been charged in the deaths of a Catholic school worker, her brother, and 81-year-old mother who were found shot inside their Morgan Park home in 2022. Zavier Griffin, of the Gresham neighborhood, was taken into custody Friday morning in Pinckneyville after evidence linked him to the shooting between Apr. 4 and Apr. 5, 2022, according to a statement from Chicago police. He faces 12 felony charges including first degree murder, home invasion with a firearm, aggravated criminal sexual assault, murder with other forcible felony, and possession of a stolen vehicle, police said. Zavier Griffin, 21. (Chicago police) Officers conducting a well-being check found the bodies of Thomas Ann Riley, 64, her mother Arteria Riley, 81, and her brother Ruben Riley, 61, in their home in the 11300 block of South Green Street on April 5, 2022. Arteria Riley was shot in the right side of the head, and pronounced dead on scene. Thomas Ann Riley and Ruben Riley were shot in the right side of their bodies, officials and the medical examiners office said. RELATED STORIES: Police said the motive for the killings was unclear, and neighbors spoke of being heartsick over the loss of the longtime Morgan Park residents. Chicago police are on the scene for three people found shot to death inside a home on the Far South Side. | Tyler Pasciak LaRiviere / Sun-Times Arteria Riley was a retired postal worker and widower who raised her family at the home, according to neighbors. She was an avid bowler who was in a league and traveled for competitions before losing her sight, they said. "They are the kind of people who would give you the shirt off their backs," one neighbor said. "I have no idea why they would meet such a violent end. I am heartsick." Thomas Ann Riley worked as a secretary and finance manager for the last seven years at Saint Philip Neri School, a Catholic elementary school in South Shore. When she didnt show up for work that week, people became concerned. "Ms. Riley was the face of our school," the school said in a letter to families Wednesday morning. "She was the person who greeted every student and parent who entered the building. It was impossible to come to SPN and not encounter Ms. Riley. She was warm, yet firm with everyone she met, and she knew everyone in our community. She was an integral part of what made our school special." Neighbors said they had not heard any gunshots and expressed disbelief, describing the block as an otherwise quiet one. "They are the kind of people who would give you the shirt off their backs," said one neighbor. "I have no idea why they would meet such a violent end. I am heartsick." Griffin is expected to appear at a detention hearing on Saturday. CHICAGO A man connected to a Southwest Side armed robbery and home invasion that happened earlier this week has been arrested and charged, according to the Chicago Police Department. CPD said Kentrell Brown, 26, was arrested around 3 a.m. Thursday in the 3500 block of West 47th Street. Police said he was identified as a suspect connected to at least four different robberies, one of which was an armed robbery carried out by multiple offenders that turned into a home invasion in the citys West Lawn neighborhood earlier that Thursday morning. PREVIOUS COVERAGE: 2 armed robberies proceed into home invasions overnight on citys Southwest Side, one ends in exchange of gunfire Brown was charged with three felony counts of armed robbery and one felony count each of home invasion with a firearm, vehicular hijacking and kidnapping while armed with a firearm. Incidents connected to Brown At approx.1:07 a.m., in the 4900 block of S. Western Ave. (9th District); Took property at gunpoint form a 29-year-old male. At approx. 1:15 a.m., in the 6200 block of South Kolin Avenue (8th District); Took property at gunpoint from a 39-year-old female and another 20-year-old male then forcefully enter a residence in which additional property was taken. Offender then fired shots inside an occupied residence, not striking anyone. At approx. 1:40 a.m., in the 3300 block of West 59th Place (8th District); Took property at gunpoint from a 17-year-old male. At approx. 2:34 a.m., in the 3600 block of W. 38th St. (8th District); Forcefully took a vehicle from a 42-year-old male. Armed Robbery and Home Invasion on South Kolin Avenue WGN News talked with the woman who was the victim in the incident on South Kolin Avenue. They came in the wrong way, she said. They just pulled up. They came out with their guns, and they were asking for money. According to police, the woman and her son were in a vehicle when they were approached by two armed male offenders, who demanded their property. The woman said her son was getting ready to drive her to work, but they never left their parking spot. Police said the offenders robbed the victims, then proceeded to follow them into a home, where they demanded more property. There was an exchange of gunfire inside the home, according to police, and the offenders fled. Police recovered a firearm, and no injuries were reported. According to the woman, the offenders exchanged gunfire with her brother, who she said has a CCL license. I just remember yelling, screaming, and the next thing you know, I hear the guns right by my ear, she said. It was so loud. All I could hear was my brother say, Get down. Details on West 59th Place Less than 30 minutes after the incident on South Kolin Avenue, police said a 17-year-old male was getting out of his vehicle in the 3300 block of West 59th Place when a black SUV pulled up behind him and two armed male offenders approached, demanding property. Police said the offenders then forced the victim to a home, where they robbed him then fled in the black SUV. No gunshots were fired in that incident. Police have not said whether the two incidents on South Kolin Avenue and West 59th Place are connected, even though Brown was arrested and charged in connection to both. Brown was scheduled for a detention hearing in Cook County Circuit Court Saturday. No additional information is available at this time. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WGN-TV. SEOUL, Sept. 14 (Xinhua) -- Kim Jong Un, general secretary of the Workers' Party of Korea and president of the State Affairs of the Democratic People's Republic of Korea (DPRK), met with visiting Secretary of the Russian Federation Security Council Sergei Shoigu on Friday, the official Korean Central News Agency (KCNA) said Saturday. During the talks, they had a wide exchange of views on the issues of steadily deepening the strategic dialogue between the two countries and strengthening cooperation to defend the mutual security interests and on the regional and international situation, and reached a consensus on the issues discussed, the KCNA said. Kim expressed his appreciation for the development of bilateral ties in all fields including politics, economy and culture, and said that the DPRK government would further expand cooperation and collaboration with Russia in the spirit of the Treaty on Comprehensive Strategic Partnership in the future, it added. The DPRK leader and Russian President Vladimir Putin signed the treaty in Pyongyang in June of this year. CHICOPEE, Mass. (WWLP) It was an evening filled with memories and laughter as the 1974 Chicopee Comprehensive High School class celebrated its 50th year anniversary. It was a large crowd at The Knights of Columbus Hall with dozens eager to relive their high school memories. Some traveled back to Hampden County from as far away as Utah, Montana, and Colorado, to attend. This event, which takes place every five years, serves as a way for the class to come together and strengthen their bonds. Its unbelievable because it doesnt seem they say I remember Mom saying the time goes by fast. It goes by very fast. But its wonderful to see people we have people coming tonight who have never been to a reunion. We have people who have come to every single reunion. So its just nice to reconnect with people, expressed 1974 Chicopee Comprehensive High School Class Officer, Lynn Provost Larrabee. There was also a table with photos and a display honoring the more than 60 class members who had passed away. Local News Headlines WWLP-22News, an NBC affiliate, began broadcasting in March 1953 to provide local news, network, syndicated, and local programming to western Massachusetts. Watch the 22News Digital Edition weekdays at 4 p.m. on WWLP.com. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WWLP. TEXARKANA, Texas (KTAL/KMSS) A man was arrested following a shooting investigation by the Texarkana, Arkansas Police Department. Texarkana, Texas, police were called when a two-year-old child arrived at Wadley Hospital with a gunshot wound to the leg. The mother, who was still at the scene, reported that her other child, who is eight, found a firearm in their Texarkana, Arkansas, home and shot the younger sibling accidentally. Through their investigation, police learned that the mothers live-in boyfriend, Breydan Ybarra, dropped the child off at the hospital. He is also said to have dropped the eight-year-old and a five-year-old child off at the childrens grandmothers home in Nash, Texas. More: Texarkana News Police made contact with the children for identification purposes and also checked the home to ensure there were no other victims. A warrant check was run on Ybarra, which revealed that he is a convicted felon, leading to his arrest. Ybarra was arrested for possession of a firearm by certain persons and three counts of endangering the welfare of a minor all are felony charges. Detectives and Crime Scene Investigators were called to the home, and a search warrant was executed on the home and vehicle. The child was flown to Childrens Hospital in Little Rock for treatment. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTALnews.com. WICHITA FALLS (KFDX/KJTL) A man arrested in March is charged with child endangerment after police said they found a young girl in a car full of drugs and the two adults passed out in the front seats. The Wichita County Jail booking photo for Thomas Carruthers. Thomas Carruthers was initially charged with possession of drugs at the time. PREVIOUS STORY: Woman makes plea deal in Walmart parking lot shooting Police say around 1 a.m. March 13, 2024, police went to check on a car in a motel parking lot on Walcott with a young child in the back and two adults slumped in the front seats. A seven-year-old girl was asleep, leaning against the door in the back seat and there was a cut straw with brown residue in the seat next to her. There was also a cut straw with residue in the drivers seat where the girls mother, Wilma Rosario-Santos, was sitting, along with burnt tinfoil. Police also found loose marijuana in the car and crack cocaine rocks on the front passenger seat and under the drivers seat. A search of Rosario-Santos uncovered a bottle in her underwear with counterfeit M-30 pills containing fentanyl and a Xanax bar. Officers located a witness at the motel who said Rosario-Santos, her daughter and Carruthers had been in their motel room and he saw them smoking fentanyl pills on the bed while her daughter was inside a play fort she made with a table and blanket. The witness said he confronted them and asked Carruthers why they were smoking drugs in front of the girl, and Carruthers told him if he didnt like it, he could get out. He said Rosario-Santos never said anything because she was out of it. When interviewed at the police department, police say Carruthers told them they had been in the motel room and were smoking fentanyl when they decided to go somewhere and got into the vehicle. Carruthers said he could not drive because of the drugs, so Rosario-Santos was going to drive, and the child was in the back. He said the crack cocaine in the car was his, and he uses it to keep him awake. Police said when Rosario-Santos was questioned over a period of time, she did not once ask how or where her daughter was. Rosario-Santos was also arrested last year for allegedly shooting at two men after an alleged botched sale of Xanax or fentanyl in a Walmart parking lot. She has six prior drug charges and three charges of smuggling in another county. RELATED: Woman arrested in hotel parking lot in car with drugs, child, police say Carruthers has seven arrests, including one for engaging in organized criminal activity, and was released Friday, Sept. 13, on bail for the endangerment charge. Rosario-Santos remains jailed on more than $260,000 bonds for child endangerment, attempted escape, aggravated assault and four drug charges. This is a developing story. Stick with Texomas Homepage for updates as more information becomes available. All individuals charged with a crime are presumed innocent until proven guilty in a court of law. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Texomashomepage.com. Child flown to hospital after being hit by vehicle in Washington County A child was hit by a vehicle in Washington County. Washington County dispatchers say emergency crews were called to the intersection of 12th Avenue and Lincoln Avenue in Charleroi at around 2:20 p.m. on Saturday. Officials confirmed a child was hit by a vehicle in that area and was flown to UPMC Childrens Hospital in Pittsburgh. The exact age of the child has not been released at this time and their condition is unknown. Channel 11 has contacted the Charleroi Police Department for more information and is waiting to hear back. All units have cleared the scene. This is a developing story. Check back for updates as more information becomes available. Download the FREE WPXI News app for breaking news alerts. Follow Channel 11 News on Facebook and Twitter. | Watch WPXI NOW TRENDING NOW: Western Pennsylvania man dies after falling into campfire in Somerset County Former Pittsburgh Steeler JuJu Smith-Schuster announces engagement Slow-moving superload scheduled to move through our region next week VIDEO: $2.3M worth of heroin found in Pittsburghs Fineview neighborhood DOWNLOAD the Channel 11 News app for breaking news alerts The German frigate "Baden-Wuerttemberg" is moored in the port of Incheon. The German frigate Baden-Wuerttemberg on Friday entered the strait between China and Taiwan, according to positional data on the internet, marking the first time in more than 20 years that a German military vessel has used the politically sensitive route. Fabian Kretschmer/dpa China has said German warships passing through the Taiwan Strait, which divides China from Taiwan, pose a risk to security. "The behaviour of the German side increases the security risk and sends the wrong signals," said Navy Captain Li Xi of the People's Liberation Army's Eastern Theater Command on Saturday. Chinese troops in the area of operations are always on high alert and take decisive action against threats and provocations, he added. A German warship traversed the Taiwan Strait for the first time in more than 20 years on Friday, despite protests from Beijing. The Baden-Wurttemberg frigate and the Frankfurt am Main supply vessel passed through the contested strait on their way from South Korea to the Philippines. Both the Chinese army and Taiwanese forces said they monitored the passage. The move caused consternation in Beijing, as China claims sovereignty over Taiwan and sees the strait as belonging to Chinese - rather than international - waters. Taiwan is a self-governing democratic island off the south-east coast of China, which claims it as a province and which it has threatened to take by force if it makes any moves towards formal independence. German leaders have largely dismissed Beijing's protests over the passage, the first by a German military vessel since 2002. Chancellor Olaf Scholz said during a press conference in Berlin that the strait is an "international waterway," echoing Defence Minister Boris Pistorius's earlier comment that the route was in "international waters." "It's the shortest route," Pistorius added, and "the safest route given the weather conditions." When asked whether China had been informed about the ship's passage, a Foreign Office spokesman in Berlin said: "That is neither planned nor necessary." Warships from other countries, such as the United States, have also passed through the strait in the past, prompting protests from Chinese leaders. Chincoteague buyback foal dies of fatal injury, most likely kick from another pony The Chincoteague Volunteer Fire Co. has shared the tragic news that one of this year's buyback foals has died. Here's what we know now. Fatal injury for buyback foal came in the wild The fire department reported on its Facebook page that one of this year's buyback choices, Ellie was fatally injured on Sunday evening. The department was notified midday on Monday and confirmed that it was in fact her, and upon examination determined her death was likely a result of a kick from another pony. The Chincoteague Volunteer Fire Co. has reported the tragic news that buyback foal "Ellie" has died of a fatal injury, most likely from a kick from another pony. The department posted this reminder of what life is like for the precious herd: "We are constantly reminded that life in the wild is fragile and although we manage these ponies there are factors beyond our control. This filly was the first foal from her mother 'Wildest Dreams' in a number of years and a great genetic fit for the island as well as being stunning black and white. It is a really sad day for us and the pony community as we were greatly looking forward to this fillys life on the island and her contribution to the herd." Big events close OC Inlet parking lot: Ocean City's Inlet Parking Lot to close for string of big events. Here's what's coming. Berlin's new brewer on the block: Craft beer lovers rejoice: Berlin Beer Co. offers new hot spot to check out This article originally appeared on Salisbury Daily Times: Chincoteague Fire Co. announces tragic death of buyback foal The City of Miamisburg has appointed a new Police Chief. [DOWNLOAD: Free WHIO-TV News app for alerts as news breaks] The City of Miamisburg announced Friday that Miamisburg native Michael Brem has been appointed as the new chief of police. TRENDING STORIES: Brem started his career in 1993 as a corrections officer with the Montgomery County Sheriffs Office. He is currently a captain with the Montgomery County Sheriffs Office assigned to Washington Township. Brem also worked as an Assistant Community Services Division Commander with Jefferson Township, Organized Crime Supervisor, and Regional Training Center Supervisor all with the Montgomery County Sheriffs Office, according to the City of Miamisburg. Miamisburg City Manager Keith Johnson said this was a regional search for the next police chief. We were looking for a proven leader and he (Brem) was an impressive hire, Johnson said. I believe he has met and exceeded all the areas we were looking to address. He was undoubtedly the candidate and will be a great addition to the Miamisburg Police Department, City Staff, and the community. Brem will replace Police Chief John Sedlak who plans to retire later this year. [SIGN UP: WHIO-TV Daily Headlines Newsletter] What college campuses look like after the end of affirmative action Samantha Greene, a junior at the University of North Carolina at Chapel Hill, said she quickly noticed a difference in the student body when she stepped on campus in August. As president of the Black Student Movement at the states flagship university, Greene said this years Black Student Convocation, an event that welcomes first year students and connects them to resources on campus, was much smaller than its been in the past. Weve definitely noticed a significant decrease in not just attendance, but in the presence of Black students on campus, Greene said. We know each other, we talk to each other and there is just less of them. Last week, UNC Chapel Hill released a profile of incoming freshman and transfer students that shows in the year since the US Supreme Court gutted affirmative action in college admissions, the number of Black students admitted to the university dropped from 10.5% to 7.8% a decrease of around 25%. Harvard University also experienced a similar drop in Black enrollment, according to data released this week which shows a 22% decrease in Black freshmen when compared to the year before. Both schools were named as plaintiffs in the Supreme Court case that ended the long-held practice of considering race and ethnicity in college admissions. I chose this school because I saw the robust amount of diversity that was here and the results of it as well, Greene said of UNC. So, to see that kind of go a little bit down the gutter definitely has me thinking about my choice to be here. As admissions offices across the country release their latest racial demographics, a murky portrait is beginning to emerge of the Class of 2028 one thats left some experts warning about the long-term effects of a lack of diversity on campus. College diversity seesaws across the country Ending affirmative action has had an uneven impact on admissions to some of the most highly selective and competitive universities in the country. At Yale University, the percentage of Black students admitted this year held steady at 14% when compared to those who started last fall, but the number of Asian students fell by 20% compared to last year. At UNC, despite the decline in Black students admitted, the percentage of Asian and Native Hawaiian Pacific Islander students saw a slight increase. And at the Massachusetts Institute of Technology, the number of Black students admitted to the Class of 2028 collapsed from 15% last fall to just 5% this year, while Latino students saw a 31% decrease. In an interview with the universitys news outlet last month, Stu Schmill, MITs dean of admissions, said the change in demographics reflects the impact of the Supreme Courts ruling. The Class of 2027 had the highest proportion of students from historically underrepresented racial and ethnic backgrounds in MIT history, he said, and the university used race as a factor in identifying well-prepared students who emerged from the unequal K-12 educational environment. But after the ruling, Schmill said he has no doubt that we left out many well-qualified, well-matched applicants from historically under-represented backgrounds who in the past we would have admitted and who would have excelled. Further complicating the picture, many universities have also noted that since the end of affirmative action, students are now given the option to self-report their race on their applications and some have declined, skewing the demographic data available. The sharp drop in Black students admitted to UNC Chapel Hill sparked a swift outcry from students and alumni. In a news conference following the release of the data, Rachelle Feldman, vice provost of enrollment at the university, said it is too soon to know if the changes in demographics are a pattern. At Chapel Hill were following the law in every way in our admissions process but we also take very seriously our service to the state and trying to reach students and applicants in all 100 counties and make sure that anyone from any background within North Carolina or beyond that earns their way here finds themselves welcomed and supported and able to thrive, Feldman said. William R. Fitzsimmons, Harvard universitys dean of admissions and financial aid, made a similar commitment as he sought to reassure the campus and the wider public that the Ivy League school remains determined to foster a diverse student body. Our community is strongest when we bring together students from different backgrounds, experiences, and beliefs, he said in a statement. And our community excels when those with varied perspectives come together inside and outside of the classroom around a common challenge by seeing it through anothers perspective. But for Ed Blum, the legal activist whose lawsuit against Harvard and UNC ultimately ended collegiate affirmative action practices, the impact of the court decision is not clear-cut. Blum told CNN in an email he believes the Supreme Courts ruling has greatly benefited all college students. The easiest part of my job is persuading my fellow Americans that a students race should not be used as a factor in college admissions, Blum said. In dozens of polls Gallop, Pew Research, New York Times and others significant majorities of all Americans of every race do not believe a students race should be used in college admissions. Affirmative action bans have lasting impact History shows ending affirmative action can have long-term economic repercussions for students of color. Backlash to affirmative action policies reached a fever pitch in the 1990s, leading several states, including Texas, Washington, Florida and California, to enact bans on race-conscious admissions policies. Zachary Bleemer, an economics professor at Princeton University who studies the impact of affirmative action bans, said the bans passed in the 1990s similar to today had an immediate effect on the diversity of the student body. When California ended affirmative action in 1998, what you saw was this immediate decline between 40 and 50% of Black and Hispanic enrollment at Berkeley and UCLA, the two most selective schools in the state, Bleemer said. Bleemers research also tracked the lasting effects of the ban over the next decade and found that more than 1,000 fewer students from underrepresented minority groups applied to University of California schools each year. For Black and Hispanic students, losing access to Californias most selective colleges and universities also had long-term economic consequences. When affirmative action bans are put into place, Black and Hispanic students flow into less selective universities, and as a result, become a little bit less likely to earn a college degree, earn a degree in a lucrative STEM field, or in a graduate degree, he said. If you follow them forward to the labor market, you see meaningful decline from the order of 5 or 6% of wages that disappear because they end up going to a less selective school instead. But in the years since these bans were implemented, Bleemer said schools have found ways to maintain diversity through race-neutral admissions policies. In states like Texas and Florida, top-tier admissions policies guarantee automatic acceptance into state schools for students who graduate high school in the top 10% and 20% of their classes respectively. Other schools, like those in California, have adopted a holistic approach to admissions that places less emphasis on test scores and instead views the applicants qualifications in their entirety. These programs tend to increase Black and Hispanic student enrollment, Bleemer said, though not to the same degree as race-conscious affirmative action policies. Ultimately, Bleemer said, he feels the end of affirmative action presents American colleges and universities with a chance to realign their admissions process with their values. Universities, I think in many cases, realize themselves how impactful the ban has been, and reconsider what they want the composition of their university to look like, and implement policies race-neutral policies that better reflect their admissions interests, he said. And for Greene, the sudden change in her student body is also a chance for student organizations like the Black Student Movement to make their voices and their concerns heard. I think its really important that people know and see how collective we are, she said. I just fear that the community is no longer driving UNC. It is now a limited amount of individuals in a high position of power that are changing what UNC means to students, and I do worry for the university but it doesnt change my mind about coming here. Editors Note: Chelsea Bailey graduated from the University of North Carolina at Chapel Hill. For more CNN news and newsletters create an account at CNN.com Republican vice-presidential candidate Senator JD Vance continues to stoke outrage against foreign-born members of his own constituency, sharing video footage with his 1.9 million social media followers that he claimed showed African migrants in Dayton, Ohio eating cats but instead appears to show nothing more than poultry cooking on an outdoor grill. Kamala Harris and her media apparatchiks should be ashamed of themselves, Vance posted Saturday on X, the social network formerly known as Twitter. Another debunked story that turned out to have merit. Vance has claimed, falsely, in recent days that Haitians who are not Africans living in Springfield a town of 58,000 which is not Dayton were stealing, killing, and consuming their neighbors pets. The video was originally posted by the right-wing Manhattan Institutes Christopher Rufo, who offered a $5,000 bounty to anyone that could provide proof of cat-eating immigrants in Ohio. The footage was filmed last year in Dayton, Rufo wrote in a companion article published Saturday on his personal website. He said he spoke with the person who shot the video, and that this person said the cat-eaters were some Africans that stay right next door to my kids mother, according to Rufos piece, which insists the claims were verified by multiple witnesses and visual cross-references. One can make the case for migration, but one cannot, at the same time, deny that it comes with costs which, in this case, seem to include a pair of flayed cats on a blue barbeque in Dayton, Ohio, the article concludes. Kamala Harris and her media apparatchiks should be ashamed of themselves. Another "debunked" story that turned out to have merit. https://t.co/dOyh9oTXhb JD Vance (@JDVance) September 14, 2024 Backlash was swift, with replies ranging from, I find it strange that a self-professed hillbilly doesnt know what whole chickens look like, to, HOW DO YOU NOT KNOW WHAT CHICKENS LOOK LIKE WITH THEIR LEGS ATTACHED YOU F****ING DIPSHIT. Oliver Alexander, an open-source intelligence analyst, weighed in, sharing images of plucked chickens looking remarkably similar to whatever was being grilled in the video. Clearly chicken you weirdo. Dudes never seen chicken that wasnt dino-nugget shaped, he wrote. Rufo did not respond to a request for comment on Saturday. The Vance campaign declined to comment on the record. An expert at the National Chicken Council did not reply to inquiries. However, a source close to Vance told The Independent that they do not believe the carcasses seen on the grill are chickens. A visual comparison of skinned cats prepared for laboratory use and whole-plucked chickens suggested the animals in the video are almost certainly not feline. Saturdays repost by Vance, a Yale-educated lawyer who represents the State of Ohio, marks yet another escalation in the anti-immigrant narrative he publicly launched less than a week ago. On Monday, Vance claimed, falsely, that newly-arrived Haitians in Springfield were feasting on their neighbors domestic animals, prompting national outrage by the right. GOP Sen. Ted Cruz gleefully stirred the pot, posting a photo that same day of a cat with a single line of text reading, Please vote for Trump so Haitian immigrants dont eat us. As the concocted tale picked up steam, it was swiftly debunked by Springfield officials, who said they had no information to support Vances allegations. However, that didnt stop the Trump-Vance campaign from sending out a press release accusing unvetted Haitians of consuming not only domestic animals, but local wildlife such as ducks and geese, too. On Tuesday night, during the first (and likely only) presidential debate between Donald Trump and Democratic challenger Kamala Harris, the former president seized the opportunity to ratchet tensions up even further. In Springfield, theyre eating the dogs, Trump insisted at the Tuesday evening event. The people that came in. Theyre eating the cats. Theyre eating, theyre eating the pets of the people that live there. And this is whats happening in our country, and its a shame. Since then, members of the Haitian community have become targets, many of them scared to leave their homes for fear of being attacked by angry residents. Haitian refugees in Springfield, Ohio say Donald Trump and JD Vances wild claims have turned their day-to-day lives upside down (REUTERS) Its creating so much panic in the community, Springfield resident and local leader Viles Dorsainvil told The Independent. ... The words that come out of their mouths matter. They are looking for the highest office in America. They have the obligation to do better, because words are powerful. He said Haitians in Springfield have been calling the nonprofit Haitian Community Help and Support Center, which Dorsainvil founded last year, to ask if it is safe for them to go outside. And we let them know, when they are going out, to be careful, Dorsainvil said. On Thursday morning, multiple schools and government offices in Springfield were evacuated following bomb threats, followed by a repeat of the situation on Friday, which forced evacuations at two elementary schools and a middle school. No explosives were found at any of the locations listed in the threat, Springfield authorities said in a news release. Still, a day later, Vance was back at it. As one dismayed X user wrote, It does feel like these guys wont be satisfied until someone is hurt or killed. Climate protesters are taking action against Big Oil. UK courts are handing them prison terms akin to rapists and thieves As right-wing rioters attacked communities with racist violence across parts of the UK last month, 22-year-old climate activist Cressie Gethin sat in a prison cell. Her crime? Organizing a disruptive protest against new government-granted licenses to drill for oil a planet-heating fossil fuel in the North Sea. In late July, a London court found Gethin and four other members of the Just Stop Oil activist group guilty of conspiring intentionally to cause a public nuisance, after recruiting protesters to climb structures along the M25 a major ring road around London bringing traffic to a standstill in parts over four days in November 2022. Prosecutors alleged that the protests, organized over a Zoom call, disrupted more than 700,000 drivers, caused economic damage of over 760,000 ($980,000) and racked up 1 million ($1.3m) in policing costs. Now Gethin and three others Louise Lancaster, Daniel Shaw and Lucia Whittaker-De-Abreu, who planned the disruption on the call are serving four-year jail terms, while Just Stop Oil co-founder Roger Hallam was given five years. All are appealing. The sentences are believed to be the longest in the UKs history for non-violent protest and were delivered under two new controversial laws that supercharged policing powers to crack down on disruptive protests, even when they are peaceful. They place the act of planning a public nuisance event, which carries a maximum sentence of 10 years in prison, on a similar footing as violent crimes like robbery, for which punishments range from community service to 12 years jail, or rape, which is four to 19 years. The judge who in court referred to the activists as extremists justified the long jail terms because all five activists had previously been convicted of one or more offenses in relation to direct action protest. Each were on bail for another set of proceedings when the Zoom call took place. He also noted people missed important doctors visits and funerals because of the protest. But activists like Gethin say their demonstrations are proportionate to the problem at hand a rapidly warming world that threatens to transform life as we know it, through deadly extreme weather events and by pushing ecosystems to their brinks. They are now battling the bolstered powers of the police and courts to get their point across. A very harsh sentence like this doesnt make sense morally or legally but it does make sense politically, Gethin told CNN in a handwritten letter from HMP Bronzefield, a womens prison just south of Londons Heathrow Airport. The laws have drawn criticism from the UNs special rapporteur on environmental defenders, Michael Forst, who said not only do they criminalize peaceful protest, but they are being enforced in punitive and repressive ways. Big Oils donations to the UK government Big Oil has poured money into think tanks and charities that have had an influence on climate and protest laws. At least two think tanks that have received funding from fossil fuel companies made campaign donations to the ministers overseeing the legislation. One the right-wing Policy Exchange drafted a report that essentially served as a blueprint for one of the laws. Despite its plans to transition to a net-zero economy by 2050, the previous Conservative government issued hundreds of new permits to further explore the North Seas oil and gas reserves in 2023, against the recommendations of climate scientists and the International Energy Agency. The recently elected center-left Labour government has pledged to stop new licenses but the tough policing laws remain. It is a pretty clear message, isnt it? Gethin said. Youre demanding change that puts our power and profit at risk, so you must be stopped. Cressida Gethin being arrested by London police at a Just Stop Oil demonstration in London in November 2023. The then 21-year old was charged with wilfully obstructing a highway and remanded in prison for 23 days. - Just Stop Oil The laws were purpose-built to target protest groups like Just Stop Oil. The UK government explicitly pointed to disruption from the groups predecessor, Extinction Rebellion (XR), in its rationale for formulating the Police, Crime, Sentencing and Courts Act 2022. The Public Order Act 2023 brought in new criminal offenses and higher fines for protesters, such as locking-on where protesters cling to a place or object and disruptive slow marching, usually used to block traffic. From their inception, the policing laws which have also been applied to anti-racism and gender-equality protests have sparked concern among civil society groups of a creep in authoritarianism in British society. Amnesty International said they mark a dark new era for protest rights, and give police license to close down almost any protest they wish. Jodie Beck, head of policy and campaigns at the British human rights organization Liberty, said the laws underpin inflammatory political rhetoric around the climate movement and racial justice movement, and strike at the heart of how we protest. There have been more than 3,000 Just Stop Oil activist arrests since the group formed in 2022, according to the group. Most of those arrests have been for planning or carrying out direct actions, including slow marching. Other activists, who have defaced famous artworks and buildings, were arrested and charged with criminal damage and trespassing. Twenty-one are currently imprisoned. The Home Office did not respond to CNNs questions about whether the new Labour government will reevaluate the laws, but said: We recognize the democratic right that people must be free to peacefully express their views, but they should do so within the bounds of the law. A think tank linked to ExxonMobil and the laws The 2022 policing law was drafted soon after an influential right-wing think tank called Policy Exchange, which has in the past received funding from ExxonMobil, outlined XRs protest tactics and called for the criminalization of the group, in a report that heavily influenced the new laws. Its unclear how much money ExxonMobil has donated to Policy Exchange over the years as charities in the UK are not required to make their funding public, but in 2017, the oil company gave $30,000 to the think tanks US branch, according to an ExxonMobil document. At the time, Policy Exchange was part of the Atlas Network, a US-based non-profit that supports 500 free market groups globally, many of which are connected to the fossil fuel industry and the proliferation of anti-protest legislation in other countries. ExxonMobil told CNN that they do not currently fund Policy Exchange or American Friends of Policy Exchange, but did not answer questions about past funding. Policy Exchange did not answer CNNs request for comment. London Metropolitan Police officers arrest an Extinction Rebellion protest during the group's first mass civil disobedience campaign that brought parts of London to a standstill in October 2019. Over the course of that two week protest, Met Police arrested over 1,800 people. - Toby Melville/Reuters/File While lobbying and donating to lobby groups is legal, lobbying itself is poorly regulated in the UK, and lacks transparency. British think tanks are not required to name their donors. Questions have been raised over the governments closeness to Policy Exchange. In 2023, as the world marked its hottest year on record, Rishi Sunak the former prime minister whose government passed the anti-protest laws thanked Policy Exchange for their contribution to the legislation, calling Just Stop Oils activists slow-walking eco-extremists. Sunak himself once worked for Policy Exchange as the head of a research unit on race. Sunaks government ministers met with fossil fuel representatives once every working day on average last year, according to an analysis of Transparency International data by environmental and human rights watchdog Global Witness. Even though the UK voted the Conservatives out by a landslide in the last election, the divisive language used by Sunaks government against climate activists remains prominent in the countrys tabloid media, and even in its courts. Gethin said theres a misconception that activists dont care how their demonstrations affect others. We are, in fact, in a constant state of moral tension, conflicted about disrupting other members of the public like ourselves, whilst being left with very few alternatives to keep the crisis on the table, she said. The sad truth is that visible, disruptive action is the main thing that keeps it on the political agenda. Protests by Just Stop Oil, she said, are an attempt to stop the mass starvation and loss of life scientifically proven to be the consequence of new oil and gas extraction. And even if direct action tactics are sometimes unpopular with the public, history shows that they have been key to major victories in many movements, including the US Civil Rights and womens suffrage movements. The UKs lengthy jail terms stand out globally, but the creeping clampdown on disruptive climate protest is also happening elsewhere. A report published Tuesday by Climate Rights International criticized the double standards of a number of wealthy nations that promote democracy globally for using tough policing laws, arrests and jail terms against climate protesters at home, including the UK, US, Germany, France and Australia. In the US, at least 21 states have responded to climate activism by rolling out so-called critical infrastructure laws that criminalize protests near oil and gas pipelines, according to the International Center for Not-for-Profit Law. Those laws have proliferated since the Standing Rock Protest against the Dakota Access Pipeline. While they vary from state to state, many share language written by the American Legislative Exchange Council (ALEC), a right-wing lobbying group that has received funding from fossil fuel companies, including Chevron and Energy Transfer the company responsible for the construction of the Dakota Access Pipeline. ExxonMobil severed ties with ALEC in 2018, but had given more than $1.7 million to the group between 1998 and 2015, according to Exxons publicly available disclosures published by the Center for Media and Democracy. Chevron and Energy Transfer have not replied to CNNs request for comment. Police arrest protesters demonstrating against the Dakota Access Pipeline near the Standing Rock Indian Reservation in Mandan, North Dakota, in November 2016. Since the Dakota Access pipeline protests, multiple US states have cracked down on protests near oil and gas pipelines. - Stephanie Keith/Reuters/File For Gethin, these links are no surprise. Unfortunately, if we expected resistance to the government and their fossil fuel backers to be met with a soft response, we were always kidding ourselves, she said. Stripped of the climate defense Even more concerning to campaigners is how the activists trials have played out. The judge in the Just Stop Oil trial banned using the climate emergency as an admissible defense meaning jurors were not allowed to take the defendants political and philosophical motivations into consideration when deliberating. Over and over again, he referred to our actions as expressions of opinion and belief and our attempts to give evidence about the climate crisis (the only reason the actions happened) he called, political grandstanding, Gethin said, speaking of the judge. The UNs Forst, who attended the proceedings, said the situation the activists were facing signals that fundamental pillars of a democratic society are right now in grave peril in the United Kingdom. A Just Stop Oil activist stands on a M25 gantry during the November 10, 2022 protest. This protest was planned over the Zoom call that Gethin and the other Just Stop Oil activists organized -- and what landed them in jail this year. - Leon Neal/Getty Images The Just Stop Oil case amplifies the near erasure of legal defenses available to protesters who take part in disruptive demonstrations. In a separate case in March, a London court ruled that environmental activists accused of criminal damage could not rely on their political or philosophical beliefs as a reasonable excuse. Tim Crosland, a former government lawyer and director of the legal charity Plan B, said the case sets a worrying precedent. Juries are often willing to acquit environmental activists if the reason for their actions is allowed as evidence, he said. In 160 environmental protest trials from 2019 to March 2024, Plan B found most verdicts resulted in not guilty verdicts or hung juries. In May, an independent government review on political violence and disruption recommended the judiciary examine the potential issue of juries acquitting disruptive protesters from progressive causes, including climate change and anti-racism. The same report compared Just Stop Oil to terror groups and recommended their actions be banned. The reports author Lord Walney, whose name is John Woodcock serves in the UKs upper chamber of parliament but is also a paid advisor to lobbying groups that represent fossil fuel companies, as well as arms manufacturers. In his report, he also recommended a ban on the group Palestine Action, which stands against weapons sales to Israel. Woodcock has not responded to CNNs request for comment, but at the time he told British media he had consistently applied an objective standard and sought a wide range of perspectives. Just Stop Oil activists slow marching along a road in central London in May 2023. - Henry Nicholls/Reuters Meanwhile, people who rioted across England and Northern Ireland earlier this month were sentenced to two years on average for their participation in the violence. One man received a 3-year, 3-month sentence for throwing bricks and a trash can at police, less than Gethin and her peers. Beck said that the law had led to uneven policing. Youre essentially creating a toxic mix there where the police will essentially intervene in some protests in a particular way and others in a completely different way, Beck said. Back at Bronzefield prison, Gethins life at just 22 has become devoid of agency and choice. But shes not feeling sorry for herself, saying that she has enough to eat and can see trees outside her window. Now, she is looking to the future no matter how dystopian it feels. The terrifying outlook of ecological and social upheaval in the coming decades makes a fantasy out of any material aspirations and dreams I might otherwise have had, she said. But being a good person standing up for what is right that is something I can work for, whatever the future brings. CNN has blurred a portion of Gethins handwritten letter from prison to protect privacy. For more CNN news and newsletters create an account at CNN.com NEW DELHI, Sept. 14 (Xinhua) -- A ragpicker was killed Saturday after an object that he picked up exploded in the eastern Indian city of Kolkata, police said. According to police, the blast ripped several fingers of the ragpicker, and he was immediately taken to a hospital, where he succumbed to his wounds. Following the blast, police cordoned off the area and sent bomb disposal squad to the spot. (NewsNation) The Department of Justice announced Thursday that two Colombian nationals who conspired to murder U.S. soldiers by detonating a car bomb outside a military base near the Colombia-Venezuela border have been sentenced. Andres Fernando Medina Rodriguez, 40, a former military officer, and Ciro Alfonso Gutierrez Ballesteros, 31, received sentences of 35 and 30 years, respectively, for the June 15, 2021, attack at the Colombian 30th Army Brigade Base in Cucuta, Colombia. The two were aided in the attack by the 33rd Front, an extremist faction of the Las Fuerzas Armadas Revolucionarias (FARC) guerrilla group. According to the DOJ, Medina Rodriguez drove (a) bomb-laden SUV to the 30th Army Brigade Base, eventually parking it in front of the location where U.S. and Colombian military personnel worked. Gutierrez Ballesteros, riding a motorcycle, escorted Medina Rodriguez. Once inside, Medina Rodriguez activated the bombs timer device and left the area on foot before fleeing on the motorcycle driven by Gutierrez Ballesteros. The challenges Springfield, Ohio, faces to handle Haitian migrants The blast injured three U.S. Army soldiers and 44 members of the Colombian military. Following an investigation, the two conspirators were arrested and extradited through the efforts of the Justice Departments Office of International Affairs, the Narcotic and Dangerous Drug Sections Office of the Judicial Attache at the U.S. Embassy in Bogota and Colombian authorities. Our most urgent mission and highest priority is to hold those accountable who target Americans, (including) the brave men and women who serve as members of our uniformed services domestically and around the world, said U.S. Attorney Markenzy Lapointe for the Southern District of Florida. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to NewsNation. DENVER (KDVR) Should the right to school choice be enshrined in the Colorado Constitution? It is a question voters will have a chance to decide, and not everyone is happy about that. Some parents and teachers are concerned that the question could go too far. I urge you as strongly as I possibly can to vote no on Amendment 80, Kevin Vick, president of the Colorado Education Association, told a crowd of opponents of Amendment 80. Trump promises mass deportations, starting in Aurora Teachers and parents from across the state gathered to rally Friday against the ballot initiative looking to add the right to school choice into the Colorado Constitution. Opponents fear if passed, the initiative could open the door to private school and charter school families getting access to dollars for public schools. The public schools are struggling with funding as it is. This potentially opens the door to siphoning off funding to private schools that most of the money would go to people that are already paying the tuition at private schools anyway. So people are really worried, Vick said. Rallygoers with signs that say "NO ON 80, PROTECT OUR PUBLIC SCHOOLS" To be clear, there is no language in this years ballot measure that mentions school funding. But opponents worry Colorado could end up with issues seen in states like Arizona and Ohio, where vouchers became available for families regardless of income levels. Weve seen what happens in other states when these deceptive, destructive voucher schemes pass. They hurt our public schools, taking away resources from the schools that serve the vast majority of kids in our states. They destroy state budgets, costs balloon, said Noel Candelaria, secretary and treasurer of the National Education Association. Although families already have the right to school choice in Colorado, supporters of the initiative insist this measure is about protecting that right from any future legislative efforts that could threaten it. Colorado would actually be the first state in the nation to allow voters to put the right to school choice in our state constitution. Its a right that we already have in statute, said Kristi Burton Brown, executive vice president of Advance Colorado, a think tank backing the measure. And right now in Colorado, if you want to send your kids to a neighborhood school, charter school, private school, home school, across district lines to pick a better school, you have the right to do that. What this amendment would do is put it in the constitution so that legislators in the future cant attack our rights and take them away. FOX31 Newsletters: Sign up to get breaking news sent to your inbox Efforts were presented this past legislative session to increase accountability and transparency around charter school operation and funding in the state, but it failed to become law. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX31 Denver. A Columbus company has started building more than 50 homes and duplexes in a half-mile square of Franklinton to be sold, rented and leased-to-own. The homes are being built by New City Homes, based in Franklinton. Most of the homes will be built in an area bordered by West Broad Street on the south, Yale Avenue on the east, railroad tracks on the north, and Central Avenue on the west. More: Columbus housing authority working on bringing 234 affordable housing units to Franklinton New City is calling the project Franklinton NOW, in a reference to North of West Broad Street. Its very rare to have an opportunity to build 50 new homes in an existing urban neighborhood, New City President Chris Knoppe said in a news release. These vacant lots exist today only because many of the older homes were demolished over a period of several decades." The first home has been built and is being featured in the BIA Parade of Homes, which started Thursday. The two-story, 2,348-square-foot home at 94 Wisconsin Ave. includes three-and-a-half baths, three bedrooms on the second floor, and a fourth in a finished basement. Other features include a first-floor laundry, two-and-a-half car garage, patio and fenced rear yard. Listed at $494,900, it will be the largest model offered by Franklinton NOW. Construction is wrapping up on the second home at 98 Wisconsin Ave., one of the smaller models at 1,220 square feet and two bedrooms, which will be listed at $374,900. Eight more homes are under construction with additional groundbreakings scheduled now through summer 2025, the company said. Home prices will range from $374,900 to $494,900. Like many new homes in Columbus, the homes receive a 15-year tax abatement. Rental homes will lease for $1,750 to $2,400 monthly while duplex rents will range from $850 to $1,750 per month. Homes will also be available for lease-to-own. New City plans to build the homes on Wisconsin, Chicago, Princeton, Hayden, Yale, Central, Guilford and Rodgers avenues. New City Homes of Columbus plans to build more than 50 homes in an area north of West Broad Street and east of Central Avenue in Franklinton, an area it calls Franklinton NOW. Founded in 2018, New City Homes specializes in building and renovating homes in urban Columbus. Much of the company's work has been on the East Side near Nationwide Children's Hospital, where home prices have jumped in recent years, but Knoppe said the time is right for similar efforts in Franklinton, which has seen substantial investment in recent years. Franklinton has evolved through good times and bad," Knoppe said in the release. "Today, there is a rush of positive energy coming into the neighborhood." jweiker@dispatch.com @JimWeiker This article originally appeared on The Columbus Dispatch: First of more than 50 new homes rises in Franklinton Fire and public safety officials held community meetings Saturday evening to provide the latest updates on the Bridge Fire and Line Fire as they continued threatening homes in San Bernardino County. Both fires showed little overnight growth as firefighters continued working to build and strengthen containment lines around the flames, according to the U.S. Forest Service. As of Saturday night, the Bridge Fire has scorched 53,783 acres of land and was 5% contained, Cal Fire reported. The Line Fire stood at 38,417 acres and was 29% contained. Bridge Fire meeting held in Phelan A meeting on the Bridge Fire was held at the Serrano High School Performing Arts Center in Phelan on Saturday evening. Bridge Fire - Community Meeting - Saturday, September 14, 2024 Bridge Fire - Community Meeting - Saturday, September 14, 2024Bridge Fire - Community Meeting - Saturday, September 14, 2024 Posted by Angeles National Forest on Saturday, September 14, 2024 Line Fire meeting held in Big Bear Lake A Line Fire community meeting was held at North Shore Elementary School in Big Bear Lake Saturday evening. Saturday fire behavior update for Bridge Fire Bridge Fire activity was "moderated due to higher moisture levels" Friday night into Saturday morning, according to an update provided by the U.S. Forest Service. The Los Angeles County Fire Department's Boeing Chinook CH-47, designated Helitanker 55, works to battle the Bridge Fire in the Angeles National Forest on Thursday, Sept. 12, 2014. "The fire did however continue to smolder and creep in dry vegetation, and it made occasional runs in drainages and along slopes," according to a statement from the agency. "Saturday is expected to be mostly sunny and seasonably cool with poor visibility in the morning. The weather is expected to remain cool over the next several days which will continue to help moderate fire behavior. Firefighters are strengthening control lines and mopping up hot spots." The Bridge Fire began Sept. 8 near the Sheep Mountain Wilderness before steadily burning its way through the Angeles National Forest. Bridge Fire Operational Update September 14, 2024 #BridgeFire Operational Update September 14, 2024Fire Size: 52,960 acresPercent Contained: 3%Personnel: 2,453For more fire infomation: https://inciweb.wildfire.gov/incident-information/caanf-bridge-fire Posted by Angeles National Forest on Saturday, September 14, 2024 Saturday fire behavior update for Line Fire Officials were also cautiously optimistic at the Line Fire. "Friday night, firefighters continued making great progress mopping up established containment lines, especially in the priority areas to the north in Wrightwood and to the southeast in Mt. Baldy Village," according to a morning update from the U.S. Forest Service. "In all areas of the fire, firefighters are identifying and strengthening secondary contingency lines." A fire crew managed to quickly contain a spot fire in an drainage in the Mt. Baldy on Friday before it reached more than an acre in size, officials said. "The most active area of the fire was on the north flank in the Blue Ridge area where fire is backing down toward campgrounds," the statement said. "However, in the Wrightwood area firefighters continue to make good progress with direct attack and structure protection as fire has moderated in that area." Calmer behavior on the west and southwest flanks of the Line Fire allowed firefighters to make progress putting dozer lines along the Sheep Mountain Wilderness, officials added. The Line Fire began Sept. 5 at the north end of Highland. Evacuations and damage No new evacuation orders have been put in place over the weekend as fire and sheriff's officials continued working to reopen neighborhoods once safe, authorities said. Incident commanders have reported the Bridge Fire has destroyed 20 homes in Mt. Baldy and 13 in Wrightwood, along with six wilderness cabins. Damage assessments were ongoing. San Bernardino County officials have prepared a map depicting documented Bridge Fire damage: A current list of Bridge Fire evacuation orders and warnings can be found online at fire.ca.gov/incidents/2024/9/8/bridge-fire. One structure had been confirmed destroyed by the Line Fire and three others has been damaged, according to the U.S. Forest Service. A current list of Line Fire evacuation orders and warnings can be found online at fire.ca.gov/incidents/2024/9/5/line-fire. Members of the California National Guard continued assisting the San Bernardino County Sheriff's Department in providing security for evacuated neighborhoods. "We would like to extend our heartfelt thanks to all CHP personnel and National Guard Service members who have been assisting with traffic control and evacuation efforts," sheriff's officials said via social media. "We are grateful for their dedication to our communitys well-being and for the collaboration that allows us to continue serving San Bernardino County effectively." California National Guard members provide security in an evacuated neighborhood as the Line Fire raged through San Bernardino County on Wednesday, Sept. 11, 2024. We would like to extend our heartfelt thanks to all CHP personnel and National Guard Service members who have been assisting with traffic control and evacuation efforts. We are grateful for their dedication to our communitys well-being and for the collaboration that allows us pic.twitter.com/jnzkdri5I7 San Bernardino County Sheriff (@sbcountysheriff) September 14, 2024 What caused the Line and Bridge fires? Investigators have determined the Line Fire was started with an incendiary device in an act of arson. A 34-year-old Norco man accused of lighting the Line Fire was due in San Bernardino County Superior Court on Monday to answer to nine arson-related felony charges, according to court records. He was being held without bail. The cause of the Bridge Fire remained under investigation. More: Firefighters hold their ground against Line, Bridge fires Brian Day is a Daily Press reporter who covers breaking news and writes about the criminal justice system. This article originally appeared on Victorville Daily Press: Containment lines around Bridge, Line fires continue to grow Comoros president 'out of danger' after knife attack, minister says FILE PHOTO: Working dinner at a the final day of the Russia-Africa summit in Saint Petersburg MORONI (Reuters) - Comoros president Azali Assoumani is "out of danger" after he was injured on Friday in a knife attack by a 24-year-old policeman who was found dead in his cell a day later, officials said on Saturday. The attack occurred around 2 p.m. local time (1100 GMT) on Friday in Salimani Itsandra, a town just north of the capital Moroni "The president is doing well. He has no health problems, he is out of danger. A few stitches were given," energy minister Aboubacar Said Anli said at a press conference in Moroni on Saturday. Azali was attacked as he attended a funeral, according to the presidency. The motive for the attack has not yet been determined The attacker, Ahmed Abdou, went on leave on Wednesday before orchestrating the attack on Friday. Abdou was placed in a cell after he was taken into custody. However, "this Saturday morning, when investigators went to see him, they found him lying on the ground, his body was lifeless," Ali Mohamed Djounaid, Comoros' public prosecutor, said at a separate press conference. He added that an investigation was being conducted to determine the motive of the attack and the cause of his death. In May, Assoumani was sworn in for a fourth term in office following a tense January election which his opponents claim was tainted by voter fraud. Officials deny the allegations. (Reporting by Abdou Moustoifa; Editing by Elias Biryabarema and Mark Potter) Azali Assoumani addresses supporters at his party's Convention for the Renewal of Comoros headquarters in Moroni on January 16, 2024 (OLYMPIA DE MAISMONT) (OLYMPIA DE MAISMONT/AFP/AFP) A man who attacked Comoros President Azali Assoumani with a knife, wounding him slightly, has been found dead in prison in unclear circumstances, the public prosecutor said on Saturday. The attack took place on Friday afternoon during a funeral for a well-known religious leader in Salimani-Itsandra, a village near the capital Moroni. Prosecutor Ali Mohamed Djounaid said the dead man was a 24-year-old soldier called Ahmed Abdou from the same village where the attack took place. He had assaulted the president and a relative of the late religious leader with a "kitchen knife", the prosecutor said, before being restrained and handed over to investigators. "He (Abdou) was isolated in a cell so that he could calm down yesterday after his arrest. Investigators found his lifeless body lying on the floor this morning," Djounaid told a press conference Saturday. "A doctor declared him dead." The government declined to detail the president's injuries, saying only that he had needed "stitches to his scalp". Government spokeswoman Fatima Ahamada said Saturday that 65-year-old Azali was at home with his family and "doing very well". She was speaking at a press conference in the presence of nearly the entire government and the governors of two of the Comoros archipelago's three islands. - Investigation underway - Djounaid said Abdou was overcome by the president's security detail and handed over to investigators but the latter did "not have time to question him" before he died. "An inquiry is underway into the reasons for the young man's attempt on the president's life. "There will also be an inquiry into the circumstances of his death," the prosecutor added, though there was no indication an autopsy had been carried out. The young man's body was handed over to his family and he was quickly buried according to Muslim tradition, a family source said on condition of anonymity. The vast majority of the Comoros archipelago's 870,000 inhabitants are Muslim. "The burial took place in a heavy atmosphere in front of a large, mostly young crowd," Daoudou Abdallah Mohamed, head of the Orange opposition party, told AFP. "There's a feeling of incomprehension in the village," he said, asking that "light be shed on the circumstances and conditions" of his death. Authorities said Abdou had been in the military police for two years and had failed to return to his unit on September 11 after being given 24 hours' leave. A witness at Friday's funeral for the late religious leader, who asked to remain anonymous, said he had been "like crazy". "He lunged at the head of state, attacking him first with a knife and then with his fists." If another mourner had not intervened, "I think the president wouldn't have escaped safely," he said. str-ayv/gv/imm Altagracia Gonzalez surveyed her damaged possessions on Sept. 2, 2021, after her Elizabeth home flooded during Hurricane Ida. (Photo by Daniella Heminghaus for the New Jersey Monitor) Gov. Phil Murphy this week vetoed a bill that would have set foreclosure protections for residents whose homes were ravaged by Hurricane Ida, saying he would sign it only if legislators amend the bill to tighten oversight. The bill would have put Ida-impacted homeowners mortgage payments on hold for a year and insulated them from foreclosure during that time goals Murphy said he supports. But it does not require residents to verify their eligibility nor allow mortgage companies to seek documentation, he noted in a conditional veto statement Thursday. I am concerned that the current bill, which requires mortgage servicers to grant a forbearance on nothing more than a homeowners word that they qualify for the program, is not appropriately tailored to ensure that the relief it provides focuses on those who continue to have difficulty paying their mortgage due to Ida-related damage to their homes and does not contain adequate oversight measures or safeguards to prevent abuse and to ensure compliance with the law, the governor wrote. The veto infuriated storm survivors, who say relief remains elusive and their homes are still uninhabitable or not fully fixed three years after the September 2021 storm that killed 30 people in New Jersey and caused billions in damages. I understand wanting to make sure that this goes to people who need it most. Well, thats exactly what theyre not doing with this CV, because now the people who need it most are not going to get it, said Leanna Jones, an Ida survivor from Milford and an organizer with the New Jersey Organizing Project. In his veto statement, Murphy urged lawmakers to restrict the foreclosure protections the bill offers to Ida survivors who participate in two state programs that have identified homes still needing repair, reconstruction, elevation, or mitigation work. But many people whose homes sit in flood-prone areas are excluded from those programs, under a controversial state policy change adopted last year to discourage residents from rebuilding in flood-prone areas. That policy, which focuses relief efforts on neighborhoods not in floodplains, has driven many Ida-impacted residents to apply to the state to buy their homes for demolition and conversion to green space, rather than to elevate and repair them on their own dime, advocates say. We absolutely are being bullied and forced into a buyout, said Debby Josephs, whose Manville home was ravaged by Ida. Even residents who would welcome a buyout say theyve encountered delays that have left them in a limbo that Murphys veto worsened. I applied last summer, and Im still waiting, said Jones, a single mother of two who bought her home just two months before Ida hit. Im trying to get my head above water to prepare for whatever is happening next, and this mortgage forbearance would mean so much and give us a little bit of breathing room. The bill already has fraud protections, in that only people who received Federal Emergency Management Agency relief are eligible for forbearance, Jones added. The state also could require homeowners to provide documentation of Idas impact as they did for insurance coverage, rather than restrict the protections to people in the state-administered programs, Josephs said. Murphys timing also irked bill supporters because he vetoed the bill the same day it would have automatically become law. The bipartisan bill went to the governors desk in June after passing almost unanimously in both chambers and their committees, with Assemblyman John Azzariti (R-Bergen) the lone no vote. It would have become law Thursday, had Murphy not issued the veto. The fact that the governor chose at the last minute, after having this on his desk for months, to pull the rug from underneath us was a slap in the face, Josephs said. Waiting until the last minute to do this shows no empathy to us, as survivors. Legislators irritated Under the changes Murphy recommends, only residents participating in the states homeowner assistance and recovery program and mitigation assistance program could apply to the state Department of Community Affairs for certifications of eligibility for forbearance. Homeowners denied certification could appeal and file a complaint with the state Department of Banking and Insurance, which could then investigate and decide whether to reverse the denial, Murphy wrote. With these changes to the program, I am confident that the State can provide targeted and appropriate relief to residents who are still burdened by damage caused by Hurricane Ida, Murphy wrote. Bill sponsor Sen. Troy Singleton (D-Burlington) said he is greatly disappointed and frankly surprised by Murphys misgivings, especially because he previously worked with the governors office on compromise language. After three long years, families whose homes were damaged by Hurricane Ida remain financially burdened from the effects of the storm. Every day, week, month and year of inaction, is more time these families suffer financially and emotionally, Singleton said. He said he would review the veto statement to determine next steps. Assemblywoman Yvonne Lopez (D-Middlesex), another bill sponsor, said Murphys requested changes would only serve to diminish the population of eligible recipients and add layers of bureaucracy to the assistance process. Sen. Doug Steinhardt (R-Warren), a bill co-sponsor, said lawmakers should return to Trenton as soon as possible to pass an amended bill. Legislation wouldnt have been necessary if the Murphy administration hadnt changed its relief rules two years into Ida recovery, leaving those living in flood zones in the lurch, Steinhardt said. Thats not assistance, thats abandonment, he said. As written, the bill would have given instant relief to those who have been ignored, overlooked, and unassisted for three long years since Hurricane Ida, without all the bureaucratic red tape that the Governors recommendations would require. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Florida Gov. Ron DeSantis has sent a not-so-subtle message to lawmakers regarding the financial crisis that could take place in South Florida and in other condo-heavy areas of the state thanks to new laws created after the tragic 2021 collapse of the Champlain Towers South in Surfside. The possibility that new safety and financial reserve requirements could make condominium living too expensive for some residents is already causing panic and slowing down condo sales. Theres fear of a double-whammy effect: Condo fees have already increased 60% in the past five years thanks to the rising cost of property insurance and because associations are preparing to meet the new state requirements, the Herald reported. DeSantis is correct to urge action from the Republican-led Legislature. Speaking at a news conference in Miami Lakes on Sept. 9, he floated the idea of delaying deadlines or providing no-or-low-interest loans to condo owners hit by special assessment, the Herald reported. He suggested he might not want to wait until the regular legislative session starting in March but he has yet to call a special session. This is not something that we can put off until next March or April, DeSantis said. I think there are a lot of looming deadlines and we have to have a plan forward to keep people in their units if that is what they want to do. Lawmakers acted quickly after the death of 98 people in Surfside and that was much needed. Under previous state law, many condo associations opted not to maintain reserves for routine maintenance, which is no longer allowed. With the exception of Miami-Dade and Broward counties, buildings were not required to undergo inspections in Florida. Post Surfside, the state requires 30-year milestone inspections. Condo associations also face a Jan. 1, 2025, deadline for a structural integrity reserve study in which an engineer inspects their buildings for safety and figures out how much they need to set aside in reserves for repairs. Its very likely that lawmakers will revisit the condo issue next year. Yet its still unclear whether they will propose some type of financial relief for condo owners hit with hefty costs. Republican state Rep. Vicki Lopez of Miami sponsored Floridas new condo laws. There are 667 condominiums in her district, which covers part of Little Havana, home to many seniors. She told the Herald Editorial Board she understands that costs related to the new state requirements could force those older residents out of their homes. Among the proposals Lopez is considering for the next legislative session are tweaking condo governance laws and creating a program similar to the one in Miami-Dade County that provides financial assistance to condo owners earning up to a certain income to pay for special assessments. Whether the Legislature will implement something similar statewide will depend on what yet-not-available statewide data shows, Lopez said. The money associations will have to save after they conduct the structural integrity reserve study will be included in budgets approved mostly in November or December of 2025, Lopez said, way past the regular legislative session. The only way to convince a conservative Legislature to provide financial assistance to condo owners is by showing data on how big of a problem this is. We have to be able to present data to both my (House) leadership and Senate leadership and probably the governors office, Lopez said. Yet its easy to predict that many condo owners will find themselves struggling to pay for higher fees and special assessments on top of skyrocketing insurance premiums and other costs. There are more than 315,000 condo units in Miami-Dade and 250,000 in Broward. More than one-third of those in Miami-Dade and more than half in Broward are at least 40 years old. We have known that the financial reckoning for many condo owners was coming after Surfside. As that day rapidly approaches, lawmakers need to be ready to help or potentially allow another crisis to unfold. Click here to send the letter. A confrontation at pro-Israeli rally leads to gunfire after a man tackles one of the demonstrators A suspected gunman and the man he allegedly shot both face charges of assault after a clash at a pro-Israeli demonstration in Newton, Massachusetts, authorities said. The fracas began when a man with no connection to the rally began shouting comments at the demonstrators around 6:40 p.m. Thursday, Middlesex District Attorney Marian Ryan said. Words were exchanged back and forth across the street, the prosecutor said in a news conference Thursday. The man eventually crossed the street toward the rally and jumped upon one of the demonstrators, Ryan said. A scuffle ensued. During that scuffle, the individual who had come across the street was shot by a member of the demonstrating group. The 31-year-old man who was shot was hospitalized and is expected to survive his injuries, the district attorneys office said Friday. Authorities have not identified the Newton resident, but police have applied for a criminal complaint against him for assault and battery, the prosecutors office said. An assault and battery that does not occur in the presence of a police officer is not an arrestable offense, Ryans office said in a written statement. The person charged is then entitled to a hearing before the Clerk Magistrate to determine whether probable cause exists. Investigators believe the person who was tackled in the scuffle, 47-year-old Scott Hayes of Framingham, was the demonstrator who shot the Newton man, the prosecutors office said. Authorities believe Hayes legally owned the gun used in the shooting. Hayes was arrested and charged with assault and battery with a dangerous weapon and violation of a constitutional right causing injury, the district attorney said. He was arraigned Friday afternoon, and a plea of not guilty was entered on his behalf. His license to carry also was suspended, the district attorney says. CNN has attempted to reach Hayes attorney for comment. Edited footage of the alleged incident has been circulating on social media. Newton Police Chief George McMains asked the public to send videos or tips of the incident as investigators try to piece together the circumstances. Police will also provide extra patrols at the houses of worship over the next several days, McMains said. Newtons mayor implored the public to avoid jumping to conclusions. I have two asks: First, let the Newton police do their work and get the facts straight, Mayor Ruthanne Fuller said. Second, I ask everyone to remain calm. CNNs Aaron Eggleston contributed to this report. For more CNN news and newsletters create an account at CNN.com A firefighter observes the Line Fire in the foothills of the San Bernardino National Forest, in Mentone, Calif., on Monday. State fire officials said cooler temperatures and moister air this weekend are helping to contain the Line Fire and two others in southern California. File Photo by Apu Gomes/EPA-EFE Sept. 14 (UPI) -- Favorable weather and unstinting efforts by firefighters are helping to bring a trio of destructive wildfires in California under control, state and local officials said Saturday. The most serious of the blazes, the Line Fire near San Bernardino, Calif., was 25% contained as of 1:13 p.m. PDT, according to the California Department of Forestry and Fire Protection. That 38,000-acre fire was threatening more than 56,000 structures, but only one has been destroyed and three others damaged. Three firefighters have been injured in battling the Line Fire, which had triggered evacuations in Highland, Calif., and Big Bear, Calif. Some of those evacuation orders had been lifted but others affecting 44,000 homes and commercial buildings in San Bernardino County remain in place. Officials have classified the Line Fire as a case of arson. The San Bernardino County Attorney announced this week that Justin Halstenberg, 34, of Norco, Calif., was charged with nine counts of arson in connection with the fire, which started Sept. 5. Higher moisture levels and cooler air following weeks of record-high temperatures are helping to tamp down the Line Fire and the state's other wildfires, officials said. "Saturday is expected to be mostly sunny and seasonably cool with poor visibility in the morning," Cal Fire said in an update. "The weather is expected to remain cool over the next several days which will continue to help moderate fire behavior. Firefighters are strengthening control lines and mopping up hot spots." A weak cold front is expected to bring a chance for rain between Sunday and Monday across southern California, the National Weather Service said. Meanwhile, the Bridge Fire burning in the rugged San Gabriel Mountains north of Los Angeles remained the largest of the three southern California blazes at 53,000 acres. It was 3% contained as of Saturday afternoon, Cal Fire said. It has consumed 19 structures so far after starting Sunday. "Today, the Bridge Fire had minimal movement," fire officials said Saturday. "Firefighters continued to mop up hot spots, establish or strengthen containment lines along the entire perimeter of the fire where accessible to do so." A third blaze, the Airport Fire in Orange and Riverside counties, was 9% contained after spreading to more than 23,000 acres and destroying 107 structures as of Saturday. Eleven firefighters have been injured in battling it so far. I started Monday. Firefighters are making "significant strides" in establishing a key containment line near Lakeland Village, Calif., and are tapping "invaluable" air support in battling the blaze, Cal Fire said. MOSCOW/KIEV, Sept. 14 (Xinhua) -- Russia and Ukraine exchanged 206 prisoners of war on Saturday, said the Russian Defense Ministry. "A total of 103 Russian servicemen who were taken prisoner in Kursk region have been released. In exchange, 103 Ukrainian prisoners of war have been transferred," Russian Defense Ministry said in a statement. All the exchanged Russian soldiers are in Belarus where they are provided necessary assistance. The United Arab Emirates made humanitarian mediation efforts for the exchange, it said. The exchange was the second one in two days, Ukrainian authorities said on Saturday. A total of 103 Ukrainian military troops, including 21 officers, were released, Ukrainian President Volodymyr Zelensky wrote in a post on Telegram. A vehicle and surrounding forest are burned after the Bridge Fire swept through Wednesday, Sept. 11, 2024, in Wrightwood, Calif. (AP Photo/Eric Thayer) LOS ANGELES (AP) Thousands of firefighters aided by cooler weather made progress Saturday against three Southern California wildfires, and officials in northern Nevada were hopeful that almost all evacuees from a blaze there could soon be home. Authorities have started scaling back evacuations at the largest blaze. The Bridge Fire east of Los Angeles has burned 81 square miles (210 square kilometers), torched at least 33 homes and six cabins and forced the evacuation of 10,000 people. Two firefighters have been injured in the blaze, state fire officials said. Operations section chief Don Freguila said Saturday that containment was estimated at 3% and improving, with nearly 2,500 firefighters working the lines. He said Saturday's focus would be on the fire's west flank and northern edge near Wrightwood, where airtankers dropped retardant on the flames in steep, rugged areas inaccessible to ground crews. A lot of good work. We're really beating this up and starting to make some good progress, Freguila said. He said a new spot fire broke out Friday night near the Mount Baldy ski area along the blaze's southern edge, burning only about an acre before crews buttoned it up." The Southern California have threatened tens of thousands of homes and other structures since they escalated during a triple-digit heat wave. The Davis fire in northern Nevada The blaze in Nevada near Lake Tahoe broke out last weekend, destroying 14 homes and burning through nearly 9 square miles (23 square kilometers) of timber and brush along the Sierra Nevadas eastern slope. Some 20,000 people were forced from their homes early this week. Fire officials said there was a 90% chance the last of the evacuees would be able to return to their homes by the end of Saturday. Containment of the blaze was estimated at 76% Saturday, fire spokeswoman Celeste Prescott said. Some of the 700 crew members should soon be sent off to other fires, she added. Firefighters were mostly mopping up but anticipated winds picking up in the afternoon so stood ready to attack any spots that flare up. We're on the verge of big success here, Truckee Meadows Fire District Chief Charles Moore said. The Line Fire in Southern California Authorities say a delivery driver purposely started the Line Fire in Southern California on Sept. 5. It has charred 59 square miles (153 square kilometers) in the San Bernardino mountains, where people ski in the winter and mountain bike in the summer. It was 25% contained as of Saturday. Cool weather over the next several days should help, fire officials said. It is burning through dense vegetation that grew after two back-to-back wet winters when snowstorms broke tree branches, leaving behind a lot of dead and down fuel, Cal Fire Operations Section Chief Jed Gaines said. Three firefighters have been injured in the fire, according to Cal Fire. The Big Bear Zoo said it moved all its animals to a zoo in the city of Palm Desert to protect them from the wildfires and escalating temperatures. Arson-related charges have been filed against Justin Wayne Halstenberg, who is accused of starting the Line Fire. He is due to be arraigned on Monday according to the San Bernardino County District Attorney's Office. Halstenberg's mother, Connie Halstenberg, told the Los Angeles Times that her son did not light that fire. The full extent of the damage caused by the blaze remains unclear, but San Bernardino County District Attorney Jason Anderson said at least one home was destroyed. The Airport Fire in Southern California The Airport Fire in Orange and Riverside counties fire has been difficult to tame because of the steep terrain and dry conditions and because some areas hadnt burned in decades. Reportedly sparked by workers using heavy equipment, it has burned more than 37 square miles (96 square kilometers). It was 9% contained as of Saturday. Although direct lines have been challenging to build due to rugged terrain, favorable weather conditions have supported their efforts, the Saturday situation report from the California Department of Forestry and Fire Protection said. Eleven firefighters and two residents have been injured in the blaze, according to the Orange County Fire Authority. It destroyed at least 27 cabins in the Holy Jim Canyon area, authorities said. ___ Sonner reported from Reno, Nevada. Rodriguez reported from San Francisco. Associated Press reporters Sophie Austin in Sacramento, California, and Amy Taxin in Santa Ana, California, contributed. Court rules in favor of former Hinds County supervisor HINDS COUNTY, Miss. (WJTV) The Mississippi Supreme Court sided with a former Hinds County supervisor, whos challenging his loss in the 2023 election. The justices overturned the Hinds County Circuit Courts decision to toss out David Archies challenge. The Circuit Court had ruled that Archie did not file his challenge in time. Six candidates qualify for Ward 2 special election in Jackson Archie appealed the ruling, claiming he could not file his appeal in time because the clerks office was closed due to a cyber attack. According to the justices, theres not enough evidence to determine if the office was closed, so the case will be sent back to the lower court. CO178944Download The Mississippi Supreme Court did not rule on Archies election challenge itself. Its still up to the Circuit Court to decide if that challenge will be able to go forward. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJTV. REDWOOD CITY, Calif. (KRON) A pair of brothers, Jaime Ontiverosalvarez, 25, and Raul Ontiverosalvarez, 27, were arrested on Thursday for starting a fight in Redwood City that turned to gunfire, police said. On August 8 at about 5 p.m., officers from the Redwood City Police Department said they responded to the 600 block of Whipple Avenue on reports of a fight involving a group of subjects, with the sound of gunshots being heard. Officers later learned that the two brothers went to a nearby business and initiated a fight with one of the employees. Police said co-workers were initially able to overpower the brothers until one of them drew a firearm, and the pair began chasing multiple victims. Police said the brothers fired multiple shots at the employee and his co-workers, but no one was shot during the foot chase. Police did not specify how many victims were involved in the attempted shooting. RCPD said the brothers fled the scene before their arrival and were outstanding at the time of the initial investigation. The Redwood City Police Departments Street Crime Suppression Team took over the investigation and revealed the fight was initiated due to an ongoing dispute between the brothers and the employee. On Thursday, police served a search warrant on the 800 block of Palm Avenue and arrested both brothers. Police said they found a concealed firearm, ammunition and equipment related to firearms during the arrest of Raul Ontiverosalvarez. Both brothers were booked into Maguire Correctional Facility in Redwood City. Jaime was booked for attempted murder, while Raul was booked for both attempted murder with a firearm and carrying a loaded firearm, according to police. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. Leonard Leo, who operates a vast network of conservative nonprofits, called on his groups to start "weaponizing" their ideas, something he said the left has been championing over the years. A letter sent to groups supported by Leo's 85 Fund on Wednesday said it would be undergoing a "comprehensive review" of entities it supports, and "will be adjusting the extent to which it funds ideas and policy development." The goal, according to Leo's letter, is to ensure their philanthropic efforts are not overly focused on "ideation," or as Leo describes it, "the development of and education about conservative ideas and policies." Rather, Leo wants his groups to adopt more aggressive tactics that "weaponize" their ideas and produce more tangible results, something he suggested liberals have championed effectively for their causes. "The Left built powerful networks of activists, academics, journalists, and philanthropists, along with professionals from other disciplines, who could collaborate to influence public attitudes and generate political pressure on public officials," Leo said. "They invested in talent pipelines to populate the power centers inside government, where policy would be implemented. They incubated litigation as a means of leveraging the law to produce change. And, beyond politics and law, left-wing philanthropy built or took over enormous infrastructure to control various cultural chokepoints." "In contrast," Leo continued, "vastly insufficient funds are going toward operationalizing and weaponizing [conservative] ideas and policies to crush liberal dominance." STOP PRETENDING: CONSERVATIVE ACTIVIST WANTS DEMS BEHIND SCOTUS ETHICS RULES TO TAKE THEIR OWN MEDICINE Leonard Leo speaks at the National Catholic Prayer Breakfast in Washington, D.C., on April 23, 2019. Leo, the co-chairman and former executive vice president of the Federalist Society, a group focusing on advancing the principles of a limited, constitutional government, controls a $1.6 billion war chest. The money was given to him by industrialist Barre Seid to fund his network of conservative groups. READ ON THE FOX NEWS APP Leo's letter cited the George Soros-funded Tides Foundation and the Hansjorg Wyss-backed Arabella Advisors as examples of groups that "incubate action-oriented campaigns." He pointed to their support of nationwide NGOs like Students for Justice in Palestine (SJP) and the World Professional Association for Transgender Health (WPATH). SJP has been at the forefront of drumming up anti-Israel sentiment at college campuses across the country since Hamas' Oct. 7 terror attack that killed over a thousand innocent Israelis and took hundreds hostage. Meanwhile, WPATH has been at the forefront of the transgender movement, publishing standards of care that doctors and public officials alike have used to justify "gender-affirming care" for minors. "With donors like Hansjorg Wyss and the Arabella Advisors network having billions at their disposal, the left is able to significantly outspend the conservative movement to shift American society," Leo told Fox News Digital. "Consequently, we need to do more with less, focusing on leveraging the conservative movement's talent to have impact, if we want to be successful." Leo has been credited with transforming the Federalist Society into the powerhouse lawfare organization it is today with more than 70,000 members. Meanwhile, Leo has also been considered one of the foremost influences on former President Trump's Supreme Court nominations. Prior to Trump's selection of Federalist Society-backed Justices Neil Gorsuch, Brett Kavanaugh and Amy Coney Barrett, Leo drew up a list of potential judges that Trump released during his 2016 campaign. LEONARD LEO WARNS BIDEN-HARRIS EFFORTS TO RADICALLY OVERHAUL SUPREME COURT COULD BACKFIRE From left: Supreme Court Justices Neil Gorsuch, Brett Kavanaugh, Amy Coney Barrett and Ketanji Brown Jackson attend a private ceremony for late Supreme Court Justice Sandra Day O'Connor in the Great Hall at the Supreme Court in Washington, D.C., on Dec. 18, 2023. After Trump was elected, Leo stepped away from his daily duties with the Federalist Society, but remained its co-chair. Meanwhile, in 2022, Leo's Marble Freedom Trust received a $1.6 billion gift from American businessman and GOP donor Barre Seid. Leo still has roughly $1 billion left to spend, the Financial Times reported this week after analyzing public financial disclosures. A representative for Leo declined to share how many total NGOs receive financial support from the 85 Fund. "Expect us to increase support for organizations that call out companies and financial institutions that bend to the woke mind virus spread by regulators and NGOs, so that they have to pay a price for putting extreme left-wing ideology ahead of consumers," Leo said during a rare interview he granted to the Financial Times. Leo told the outlet that his Marble Freedom Trust has been increasingly focused on going after "woke" banks and China-friendly entities across a range of sectors, such as food production and artificial intelligence. Leo also indicated he plans to invest in local media in the U.S. over the next year. Protesters display a sign to protest conservative billionaire philanthropist Leonard Leo. The call from Leo for his groups to "operationalize" and "weaponize" their ideas has been met with anger from liberal critics. "Leonard Leos brazen call to 'weaponize' the conservative movement further exposes his strategy of using his dark money network to force his right-wing agenda on everyday Americans and stack the deck in favor of the powerful few," said Carolina Ciccone, president of NGO watchdog Accountable.US. "Lets be very clear: This isn't just about shaping conservative thought its about weaponizing the very institutions that are set up to protect the rights of everyday Americans to serve the interests of right-wing special interests." Jay Willis, former GQ writer and current editor-in-chief of progressive commentary website Balls & Strikes, accused Leo of trying to rebrand "as an Elon Musk-style culture warrior who rants about the woke mind virus." Original article source: 'Crush liberal dominance': Conservative funder urges leaner, meaner posture for activist groups The river Jihlava is flooding. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. The highest warning level 3 (danger) was in force at more than 25 gauging stations on Saturday morning. Water levels are expected to rise further over the weekend. Uhli Patrik/CTK/dpa Flooding has been reported in the Czech Republic and neighbouring Poland caused by heavy rainfall overnight. Emergency forces in both countries have been preparing for severe weather since Friday. Heavy rains in the region of the Elbe and Vltava rivers in the Czech Republic caused the water level of the Elbe to rise. Authorities have issued the third flood alert level for around 20 rivers and streams, Czech news agency CTK reported. Elsewhere, the sudden rainfall caused flooding. The village of Mikulovice near the Polish border was flooded in the early morning, as seen on footage published by Czech television on social media platform X. In the southern city of Ceske Budejovice, firefighters have been setting up flood barriers along the Malse and Vltava rivers since Friday evening. In Prague, preparations for the expected flooding of the Vltava were in full swing, with flood barriers being erected along the river banks. Shipping traffic has been suspended. Water levels in rivers in the Czech Republic are expected to rise further over the weekend, according to meteorologists. Some areas have seen between 50 to 110 litres of rainfall per square metre since Friday. Across the border in south-western Poland, the Biala Glucholaska river burst its banks in the Opole region. Some 400 residents of the village of Glucholazy, near Mikulovice in the Czech Republic, had to be evacuated. Interior Minister Tomasz Simoniak visited the scene, posting pictures of rescue efforts on X. Some 100 firefighters and 60 police officers had been deployed to the village, he said. Some of the residents of the village of Morow also had to be evacuated because the Mora river burst its banks there. The fire department responded to a total of 400 calls in the region. Poland's Meteorological Institute expects the rains to continue. The river Jihlava is flooding. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. The highest warning level 3 (danger) was in force at more than 25 gauging stations on Saturday morning. Water levels are expected to rise further over the weekend. Uhli Patrik/CTK/dpa The Essex County District Attorneys office is investigating a fatal shooting in Lawrence on Saturday morning. Around 7 a.m. officers responded to reports of shots fired on the 300 block of Water Street. Upon arrival, officers found a 33-year-old male suffering from gunshot wounds. According to the Essex County District Attorneys Office, the victim was treated on the scene before being transferred to Lawrence General Hospital, where he was later pronounced dead. The victims name is not being released at this time. The shooting is under investigation. This is a developing story. Check back for updates as more information becomes available. Download the FREE Boston 25 News app for breaking news alerts. Follow Boston 25 News on Facebook and Twitter. | Watch Boston 25 News NOW Dad of Calif. Girl Who Died in Hot Car with Allegedly Drunk Mom Inside Lost 2 Kids to DUI Driver in 2012 (Exclusive) Prosecutors say Sandra Hernandez-Cazares was severely intoxicated when she parked the car near her apartment and mother and daughter lost consciousness in the extreme summer heat courtesy Juan Ruiz Juan Ruiz with his daughter, Ily Elizabeth Ruiz. Relatives broke the window of the white Ford Expedition parked near the mothers California apartment and pulled the limp 3-year-old from the scorching car. But Ily Elizabeth Ruiz was already dead, with Anaheim police saying in a press release that they believe she died of complications from heat stroke on Friday, Sept. 6. (Her autopsy is pending.) Her mother, Sandra Hernandez-Cazares allegedly unconscious in the locked vehicle with a blood alcohol content of nearly four times the legal limit, according to a press release from Orange County prosecutors is charged with felony involuntary manslaughter and felony child abuse causing great bodily injury, with an enhancement charge based on Ilys age, per online court information. gofundme Ily Ruiz. Related: 3-Year-Old Girl Dies After She's Found Unconscious in Hot Car Alongside Her Mother: Reports Prosecutors said in the press release that Hernandez-Cazares and the father of their four children had pushed for stricter DUI laws after their two young sons were fatally run over by a drunk driver while camping in North Dakota in 2012. Recalling the earlier accident, the father, Juan Ruiz, said in a phone interview with PEOPLE that he had suffered a collapsed lung, flatlining, and had to be airlifted off the campgrounds. They should have let me die there, too, Ruiz says. I would not be going through this again. Juan Ruiz Juan Ruiz (center) with his sons Cyris Alexander Ruiz (left) and Alaries Marcos Ruiz (right). Calling Ily my princess, the grieving father who named his youngest child for the abbreviation of I Love You, remembered her bubbly personality, and penchant for everything princesses, especially Frozens Elsa. In pictures and videos he shared of his daughter and 5-year-old son, Lazarus Ruiz, the kids are seen scrambling eggs and cooking stovetop hot dogs, the little girl grinning up at the camera, fork in hand. courtesy Juan Ruiz Ily Ruiz with her brother, Lazarus Luiz. Such quiet days were a part of the life he rebuilt after the loss of Cyris Alexander Ruiz, 9, and Alaries Marcos Ruiz, 5. We had a conversation about Lazarus not growing up to be an only child, Ruiz recalls, of deciding to have Ily. And now I have just one child left. courtesy Juan Ruiz Ily Ruiz (with Lazarus Ruiz) dressed as a princess on Halloween 2023. She planned to do so again this year, her dad says. Ruiz says Hernandez-Cazares, who he met in high school, had never recovered from the deaths of their eldest sons. The couple split two years ago. She would never do this to her daughter on purpose, he says. But it doesnt change what is done. courtesy Juan Ruiz Sandra Hernandez-Cazares and Juan Ruiz with their children, Lazarus and Ily Ruiz, celebrating her second birthday in July 2023. Family members searched for the 42-year-old mom Friday, Sept. 6, after Lazaruss kindergarten reported that he had not been picked up, according to prosecutors. Around 4:20 p.m., authorities responded to the 1300 block of N. Fashion Lane, where prosecutors say relatives and paramedics tried to revive Ily, who had died in what prosecutors described as extreme temperatures. Doctors believe Ily had been dead for several hours before relatives found them inside the vehicle, which prosecutors and police allege was littered with empty alcohol bottles. At the hospital, Hernandez-Cazaress blood alcohol level registered at 0.30, per prosecutors. courtesy Juan Ruiz Ily Ruiz. Want to keep up with the latest crime coverage? Sign up for PEOPLE's free True Crime newsletter for breaking crime news, ongoing trial coverage and details of intriguing unsolved cases. Referencing the unimaginable pain of her sons tragic 2012 deaths, District Attorney Todd Spitzer said in a statement that such a devastating tragedy has ripple effects of grief, adding: A mother who was robbed of the chance to see two of her sons grow up because of the selfish decision of a stranger will have to live with the fact she will never get to see her little girl grow up because of the choices she made. Ruiz who had been working at an oil field in Texas to support his family says that for the second time, everything changed in a moment with the death of their third child. courtesy Juan Ruiz Lazarus and Ily Ruiz at her third birthday in July 2024. Quitting his job following Ilys death, he traveled to California, where on Wednesday, Sept. 11, he attended a hearing in which he tells PEOPLE he gained partial custody of Lazarus, who Child Protective Services had originally placed in the care of an aunt. His voice broke as Ruiz said in an interview just days after his daughter's death that his son did not yet know his sister had died because he wants to explain it to him, himself, adding: This is going to be hard for him. So if I want my son to come out of this, I have to be there for him. My son needs me. Orange County Jail Sandra Hernandez-Cazares in September 2024 mugshot. Meanwhile, Hernandez-Cazares who is held at Orange County Jail on $150,000 bond faces a maximum sentence of 12 years if convicted on all charges, according to prosecutors. She made her first court appearance on Tuesday, Sept. 10, and her arraignment and bail review is slated for Oct. 1, per online court information. Her public defender, Catherine Gardner, did not respond to PEOPLEs request for comment. Ruiz says he does not want the mother of his children vilified. Sandy was a good mom when she was okay, he says. She needs help, she would never do this on purpose. I dont want people to hate her. Just leave the hate for me. A GoFundMe has been set up to help Juan Ruiz with funeral plans. For more People news, make sure to sign up for our newsletter! Read the original article on People. On September 14, 1959, the Soviet probe Luna 2 -- known informally as Lunik 2 -- became the first Earth-launched space vehicle to land on the moon. File Photo by Ismael Mohamad/UPI Sept. 14 (UPI) -- On this date in history: In 1901, U.S. President William McKinley died of wounds inflicted by an assassin eight days earlier. He was succeeded by Vice President Theodore Roosevelt. In 1920, the first live radio dance music was broadcast, carried by a Detroit station and featuring Paul Specht and his orchestra. In 1959, the Soviet probe Luna 2 -- known informally as Lunik 2 -- became the first Earth-launched space vehicle to land on the moon. In 1960, the Organization of Petroleum Exporting Countries was founded. Princess Grace is honored in Philadelphia at a gala celebrating her film career as actress Grace Kelly on March 31, 1982. She died September 14, 1982, when her car plunged off a mountain road by the Cote D'Azur. File Photo by George Bilyk/UPI OPEC ministers meet in Moscow on December 23, 2008. File Photo by Anatoli Zhdanov/UPI In 1975, Pope Paul VI canonized Elizabeth Ann Seton, the first American-born saint. President George W. Bush leads his staff in a moment of silence on the south lawn of the White House in Washington, D.C., on September 18, 2001, with Vice President Richard Cheney to his left and advisor Karen Hughes to his right. File Photo by Michael Kleinfeld/UPI In 1982, Princess Grace of Monaco -- American film actress Grace Kelly -- was killed when her car plunged off a mountain road by the Cote D'Azur. She was 52. In 1991, the South African government, ANC, Inkatha Freedom Party and 20 other anti-apartheid groups signed a peace accord to end black factional violence. On September 14, 1975, Pope Paul VI canonized Elizabeth Ann Seton, the first American-born saint. File Photo by Stefano Spaziani/UPI In 1996, Bosnians elected a three-person collective presidency: one Muslim, one Serb and one Croat. In 2001, U.S. President George W. Bush proclaimed this to be a day of national mourning and remembrance for those killed in the Sept. 11 terrorist attacks. The FBI identified the hijackers and said several had taken flying lessons in Florida. Sarah Shourd (L) listens to her mother Nora speak to the media during her visit north of Tehran, Iran on May 20, 2010. On September 14, 2010, Shourd, imprisoned in Iran on charges of espionage for more than a year after she and two male companions were accused of illegally crossing into Iranian territory, was released on $500,000 bail. File Photo by Maryam Rahmanian/UPI In 2003, authorities said an estimated 124 people were dead or missing after South Korea was struck by the most powerful typhoon to hit the country in a century. In 2005, Delta Air Lines and Northwest Airlines, the third and fourth largest U.S. air carriers, filed for bankruptcy as the industry reeled under record high jet fuel costs. A Northwest Airlines airplane approaches a gate at Reagan National Airport in Arlington, Va., on December 26, 2009. On September 14, 2005, Delta Air Lines and Northwest Airlines, the third and fourth largest U.S. air carriers, filed for bankruptcy as the industry reeled under record high jet fuel costs. File Photo by Alexis C. Glenn/UPI In 2008, the U.S. brokerage firm Merrill Lynch agreed to sell itself to Bank of America for $50 billion and Lehman Brothers declared bankruptcy after it failed to find a buyer. File Photo by Laura Cavanaugh/UPI People walk passed the Merrill Lynch building at 4 World Trade Center in New York on September 15, 2008. Bank of America agreed to buy Merrill Lynch for about $44 billion in a mega-deal on September 14, 2008. File Photo by Laura Cavanaugh/UPI In 2010, U.S. hiker Sarah Shourd, imprisoned in Iran on charges of espionage for more than a year after she and two male companions were accused of illegally crossing into Iranian territory, was released on $500,000 bail. The men -- Shane Bauer, her fiance, and Josh Fattal -- were freed just over a year later. In 2023, the U.S. Justice Department indicted Hunter Biden, the son of President Joe Biden, on felony gun charges. He was the first child of a sitting president to be charged by the department. File Photo by Yuri Gripas/UPI The Dayton Police Department is speaking out after a social media video claiming migrants in Dayton ate cats went viral. [DOWNLOAD: Free WHIO-TV News app for alerts as news breaks] TRENDING STORIES: The video was posted on X, formerly known as Twitter, just after 8 a.m. on Saturday, claiming African migrants barbecued cats last summer. It shows what appears to be at least one skinned animal on top of a grill in a yard and several cats roaming around. The post gained traction and has over 13 million views and 17,000 reposts. Republican Vice-Presidential nominee and U.S. Senator JD Vance reposted the video saying in part, another debunked story that turned out to have merit. A few hours later, the Dayton Police Department released a statement saying it strongly refutes the information being put out on social media or other avenues about our immigrant community. We stand by our immigrant community and there is no evidence to even remotely suggest that any group, including our immigrant community, is engaged in eating pets. Seeing politicians or other individuals use outlandish information to appeal to their constituents is disheartening. Kamran Afzal, Dayton Police Chief Dayton Mayor Jeffrey J. Mims also posted a statement on the baseless claims on social media. In part, Mims said, There has been absolutely zero reports of this type of activity and spreading such misinformation undermines our status as a welcoming city. The video was posted just days after rumors of Haitian immigrants abducting and eating peoples pets in Springfield garnered national attention. As previously reported on News Center 7, Springfield leaders said there were no credible reports of specific claims of pets being harmed, injured or abused. In response to recent rumors alleging criminal activity by the immigrant population in our city, we wish to clarify that there have been no credible reports or specific claims of pets being harmed, injured or abused by individuals within the immigrant community. Additionally, there have been no verified instances of immigrants engaging in illegal activities such as squatting or littering in front of residents homes. Furthermore, no reports have been made regarding members of the immigrant community deliberately disrupting traffic. Karen Graves, Springfield Strategic Engagement Manager [SIGN UP: WHIO-TV Daily Headlines Newsletter] Speaking at a national convention of Arab Americans, Dearborn Mayor Abdullah Hammoud ripped into Israel for promoting what he called "apartheid" and "genocide," saying that many in his city have lived experiences of being oppressed by the country's military forces. Hammoud, who leads the city with the highest percentage of Arab Americans, linked the struggle for economic and environmental justice in the U.S. to the fight for Palestinians in an address on the first full day of ArabCon, the annual convention of the American-Arab Anti-Discrimination Committee (ADC), the largest Arab American civil rights group. It was one of Hammoud's more intense speeches delivered over the past year. Mayor Abdullah Hammoud speaks in front of a large crowd during the opening remarks of ArabCon, the National Arab American Convention hosted by the Arab American Anti-Discrimination Committee (ADC) inside the Ford Community & Performing Arts Center in Dearborn on Friday, Sept. 13, 2024. "In Dearborn, our values and our morals are universal, where we care about the policy fueling the genocide in Gaza as much as we care about bringing forward universal health care, where we care about Lebanon as much as we care about ensuring a green future for our children and our grandchildren, where we care about what's unfolding in Yemen as much as we care about centering worker and union rights," Hammoud said Friday morning in the Lincoln Ballroom in the Ford Community & Performing Arts Center, the city's civic center. "That is Dearborn, where our values and our morals are universal." Hammoud spoke about how many Arab Americans in Dearborn, or their family members, have dealt with living under Israeli occupation or faced strikes by Israeli military forces. Israel has been in increased conflict with Palestinians, Lebanon and Yemen since Hamas' attack on Oct. 7. "When people ask, 'Why? Why do we care in this regard? Why do we care so much?,' the answer is simple: because for the residents of this city, we never have to we never have to wonder what it is like to live under occupation or apartheid ... we bear the scars, physically, emotionally, mentally and spiritually," he said. Hammoud has ancestral roots in southern Lebanon, which has been in battles with Israel for decades, including over the past year. The 34-year-old leader took office in 2022, the first mayor of Arab descent in the city's history. His remarks reflect a movement among some on the left to incorporate justice for Palestinians with other social movements. "We know what it is like to have IDF (Israel Defense Forces) boots stomped on our faces," Hammoud said. "We know what it's like to have to have pull our grandmothers from under the rubble of apartment buildings bombed by IDF fighter jets. We know what it is like. And we still have the booklets in which IDF soldiers had to stamp granting us the freedom to move about freely in our own ancestral villages. Dearborn never wonders what it is like overseas, because for many of us, we were able to escape those realities, and now we watch in horror and direct conversation and communication with our family and friends overseas as they suffer each and every single day. This is why this community is still strongly united in the pursuit of justice, be it locally or globally. This is why in Dearborn, as we have shown the world most recently, this is where the place where community comes first and where the people have the gall and the backbone to say: people over party, and damn it, people over president." More: Arab American convention in Dearborn to feature Macklemore, Jill Stein, Cornel West Hammoud is a Democrat, but has not endorsed Vice President Kamala Harris, whom he met with last month. Jill Stein, the Green Party presidential nominee, spoke Thursday night at a reception for the convention at the Arab American National Museum in Dearborn, where Hammoud spoke. Stein also spoke at a rally in Detroit Wednesday and met in Dearborn with a Muslim group. Cornel West, another presidential candidate, is expected to speak at the convention, which continues until Sunday with various panels and other events that include scholars, activists and politicians. A survey released on July 29 of ADC's members showed that 45% of them support Stein, 27.5% support Harris, 18% are undecided and 2% support Trump. Abed Ayoub, left, listens to Dr. Rabab Abdulhadi speak during a panel talk at ArabCon, the National Arab American Convention hosted by the Arab American Anti-Discrimination Committee (ADC) inside the Ford Community & Performing Arts Center in Dearborn on Friday, Sept. 13, 2024. Hammoud did not tell the crowd who to vote for, but urged people to register and cast ballots. He also called for respecting differences of opinion at the convention. "We have to be certain that regardless of how we vote, we must be certain that we do advocate for the vote," Hammoud said. "We have a responsibility, not only upon ourselves, but upon our families, upon this country, to ensure that we register our votes and make sure that those voices are heard." Hammoud did not mention Harris or Trump during his remarks, but he did appear to criticize Trump for using the word "Palestinian" as a slur during his speeches in recent months. Trump has often accused U.S. Sen. Chuck Schumer, D-New York, who is Jewish, of acting like a Palestinian. "At this convention in this city, we know that when someone says, quote, 'you're like a Palestinian', we know that it's not a slur, rather it's an indication of your resilience," Hammoud said. Abed Ayoub, a Dearborn native and attorney who's the national executive director of ADC, also addressed the crowd. "We know this is happening with the backdrop of an ongoing genocide and an election," Ayoub said. "And we as an American community, want our voice heard. And we're at a critical moment where ... you have two parties that are not really doing all they can to stop the genocide. ... So the decisions need to be made, the conversations need to be had, and the organizing needs to be done. How do we move forward? What is our role when it comes to elections? What's our role after November? How do we mobilize? How do we strategize, and how do we continue to come together? And we're not going to agree on every single issue throughout the weekend. There's going to be some disagreements, but ... we all have the same goal ... an end to the genocide and the empowerment of our community." Jewish and pro-Israel groups have criticized using words like "genocide" and "apartheid" to describe Israel's actions. The largest Jewish civil rights group, the Anti-Defamation League (ADL), has said that "genocide is a legal term, and in no way do Israeli policies and actions meet this legal threshold. Rather, the sensationalist use of the term genocide in relation to the Israeli-Palestinian conflict is not only inaccurate and misleading, but it serves to demonize the State of Israel and to diminish recognized acts of genocide." Abed Ayoub, the national executive director of the American-Arab Anti-Discrimination Committee (ADC), speaks on Sept. 13, 2024, at the annual convention of ADC, in Ford Community & Performing Arts Center in Dearborn. On left is Prof. Khaled Beydoun, a metro Detroit native who is a law professor at Arizona State University, and on the right is Prof. Rabab Abdulhadi, founding Director/Senior scholar of Arab and Muslim Ethnicities and Diasporas Studies Program at San Francisco State University, who was the founding director of the Center for Arab American Studies at the University of Michigan-Dearborn. Hammoud and Ayoub said they're hoping the ADC will hold their convention in Dearborn again next year. In previous years, ADC has held its annual conventions in Washington D.C. or nearby suburbs. Also speaking Friday morning was Prof. Khaled Beydoun, a metro Detroit native who teaches law at Arizona State University with a wide following on social media sites. He criticized both conservatives and liberals, warning that Democrats are also often hostile to Palestinians. Beydoun criticized Michigan Attorney General Dana Nessel for charging 11 pro-Palestinian protesters, echoing the views of U.S. Rep. Rashida Tlaib, D-Detroit, and other Arab Americans. Nessel is seen as a "progressive elected Democrat," but her charges are a type of "fascism," Beydoun said. More: Michigan AG charges 11 pro-Palestinian protesters at University of Michigan The convention is being held less than two months before a presidential election in which Michigan, which has the highest percentage of Middle Eastern residents among all states, is seen as a swing state. Prof. Rabab Abdulhadi, founding director/senior scholar of Arab and Muslim Ethnicities and Diasporas Studies Program at San Francisco State University who was previously the founding director of the Center for Arab American Studies at the University of Michigan-Dearborn, said she has been active in Arab American organizing since the 1980s, recalling her support of the presidential campaigns of Jesse Jackson and Michael Dukakis in the 1980s. She and other speakers expressed optimism that there will be progress in coming years as more politicians support Palestinians. Contact Niraj Warikoo:nwarikoo@freepress.com or X @nwarikoo This article originally appeared on Detroit Free Press: Dearborn mayor alleges Israel promotes genocide, apartheid at ArabCon Staff members load giant pandas Yang Yang and Yuan Yuan to a plane at Vienna Airport in Vienna, Austria, Sept. 13, 2024. (Photo by Daniel Zupanc/Xinhua) VIENNA, Sept. 14 (Xinhua) -- Giant pandas Yang Yang and Yuan Yuan left Austria on Friday and arrived in Chengdu in southwest China's Sichuan province on Saturday, according to Vienna's Schoenbrunn Zoo. A cargo plane carrying the two pandas, who were among the most popular animal stars at the Schoenbrunn Zoo, departed the Vienna Airport on Friday night. The pandas' favorite snacks, including bamboo, sweet potatoes and carrots, were also on board. When visiting the pandas at the Schoenbrunn Zoo on Wednesday, Chinese Ambassador to Austria Qi Mei thanked the zoo and the Austrian side for taking care of the pandas. She said that the Austrian people will have more opportunities to see the beloved pandas with a new panda conservation agreement between the two countries. In June, Schoenbrunn Zoo and the China Wildlife Conservation Association (CWCA) signed an agreement to strengthen their cooperation on giant panda protection and related research. Under the agreement, a new pair of pandas will be sent to the zoo under a 10-year project after Yang Yang and Yuan Yuan's return to China. Stephan Hering-Hagenbeck, director of Schoenbrunn Zoo, said in a statement that visitors to the zoo will not wait too long for the giant pandas as "a young pair of pandas will be moving in the near future." He added that the zoo will renovate its facilities to welcome the new panda pair. Stephan Hering-Hagenbeck, director of Schoenbrunn Zoo, Qi Mei, Chinese ambassador to Austria, Wolfgang Schuessel, former Austrian chancellor, Eva Landrichtinger, Austrian secretary-general of the Federal Ministry of Labour and Economy (from L to R), attend an event to send away giant pandas Yang Yang and Yuan Yuan at Schoenbrunn Zoo, Vienna, Austria, Sept. 11, 2024. (Photo by Daniel Zupanc/Xinhua) The U.S. Department of Agriculture announced Thursday that Dearborn Sausage Company, Inc., a meat distribution establishment from Dearborn, is recalling 1,944 pounds of wiener products sold under the Brookside brand name. The company inadvertently mislabeled all-beef wieners as beef and pork wieners, while swapping the label on beef-and-pork wieners to read as only containing beef. The label mishap may be troublesome to people who do not eat pork, and it also poses a risk to people with a soy allergy, as the wieners in the beef-and-pork packaging include soy, an undeclared allergen. These are the two ready-to-eat products being recalled: 2-lb. vacuum-sealed packages of Brookside Brand Fully Cooked Natural Casing Beef Wieners" bearing lot code A0122024 and sell by date of Nov. 5, 2024. This product may contain undeclared pork. 2-lb. vacuum-sealed packages of Brookside Brand Natural Casing Fully Cooked Wieners bearing lot code A0322024 and sell by date of Nov. 5, 2024. This product may contain undeclared soy. The mislabeled products were made on Aug. 7 and shipped to retail stores in Ohio, according to the USDA, and they have the establishment number EST. 10002 printed inside of the USDA mark of inspection. Be careful: Harmful algal bloom reported in Ypsilanti's Ford Lake: What health officials are saying The Food Safety and Inspection Service of the USDA noted that there have been no confirmed reports of adverse reactions to these products. People who have the recalled product in their fridges and freezers at home should throw them out or return them to the store they purchased them from, the USDA said. Breaking news reporter Liam Rappleye can be reached at LRappleye@freepress.com This article originally appeared on Detroit Free Press: Dearborn Sausage Company recalls 2,000 lbs of wieners Only three people know what happened during the early hours when gunshots rang out Dec. 9, 2021, behind the closed door of room 319 in the Hyatt Place Hotel in Sarasota. One man died. Another is a witness for the prosecution. The third took the stand Friday, claiming during a a hearing in a Sarasota County courtroom that he fired his gun that day in self-defense. Brennan Wakey appeared in court for the third and final hearing under Florida's Stand Your Ground law in a case in which he is accused of killing his boyfriend, 24-year-old Colton Wright. The 28-year-old is charged with murder in the second degree and tampering with evidence. Sarasota police were called to the hotel off University Parkway after a housekeeper discovered Wright's body. Wright and Wakey had been in a romantic relationship since June 2021 when the shooting occurred, according to the victim's mother. Wakey claims he had no choice but to fire the gun. He testified Friday that Wright seemed different, more violent than he'd ever previously been, and that he was afraid Wright might have killed him. The prosecution argued that if Wakey had really fired the gun in self-defense he would have called 911 or told someone he didn't have a choice. Instead, he fled the hotel minutes after the shooting with the murder weapon, which has never been recovered. Previously: Sarasota judge denies increasing bond for man accused in fatal 2021 hotel shooting Postponed: Third Stand Your Ground hearing in 2021 Sarasota hotel murder case canceled Wakey was seen on surveillance video exiting a side entrance at 3:02 a.m. pulling a black duffle bag on wheels behind him, with a gun tucked into the waistband of his pants, according to a probable cause affidavit and evidence shown in court during two earlier Stand Your Ground hearings in February. Wakey then drove to Port Charlotte to his father's home, where investigators later found the victim's laptop and cellphone, as well as a red shirt and blue jeans in a washer. Those two items, the only ones in the washer, matched the clothing Wakey was seen wearing when exiting the hotel, according to evidence presented in court. Sarasota Circuit Court Judge Thomas Krug is expected to rule on the self-defense argument soon, but it's not clear when. Brennan Wakey testifies during Stand Your Ground Previous fights, bouts of violence and irritability, volatile messages threatening death it all came rushing into then 25-year-old Brennan Wakey's mind as a glass bottle of margarita mix rushed toward his head, he said. In an attempt to avoid being hit, Wakey leaned back onto the bed he'd been sitting on. He squeezed his eyes shut and pulled the trigger of his pistol, he testified. "Are you going to shoot me next?" The question came moments after Wakey had jumped off the bed, locking eyes with the only other witness to the shooting that killed Colton Wright. As Wakey leaned over the body, his hands shaking, his mind in a state of shock, the gun went off for a second time. Both Wakey and the witness, Wright's friend Nick Trail, promptly left the hotel with Wakey grabbing his suitcase, Wright's laptop and phone, and tucking his pistol into his pants. Neither man called 911 or told anyone at the hotel that they had left Wright bleeding out in the hotel room, according to Wakey's testimony. Wakey testified that unlike previous fights when he'd been able to placate Wright, this time was different. He said that unlike previous acts of violence that weren't directed at him, this time he believed that Wright might have killed him by repeatedly bludgeoning him with the bottle. Wakey described Wright's face as flushed red, his eyes dark and his demeanor wild. During Wakey's testimony Friday, the 28-year-old broke down in tears, his sobs echoing in the courtroom. 'He loved everybody': 2021 Sarasota hotel shooting victim missed by family, friends Where is Wayne Wakey?: Family looks for missing Port Charlotte man. Police zero on his son. Wakey said he'd met Wright online in 2021, with Wright moving into Wakey's Sarasota home that August. While Wakey spent his time divided between his Sarasota home, his father's home in Port Charlotte and time in New York for work, he and Wright developed an intimate relationship that had many ups and downs, according to his testimony. On his good days, Wakey described Wright as being happy-go-lucky, charming and persuasive. On the bad ones when his addiction to methamphetamines caused him to be irritable and volatile, Wakey described Wright as having hallucinations of invisible people being in the room with him or believing that the birds were secretly cameras used by the government to spy on him. Wakey alleged there were instances when Wright would throw things around the house and text death threats to Wakey when he didn't get his way. He cited a trip the two took to Gatlinburg, Tennessee, three months before the shooting. Wakey said he awoke to Wright going through a bag of someone else's mail. When Wakey said he couldn't be anywhere near the mail since he worked as a financial advisor, he claims Wright took out a knife and made stabbing motions at him. Wakey said he left the room and returned later. Questioned by Assistant State Attorney Karen Fraivillig, Wakey admitted that following the incident, the two walked around Gatlinburg like tourists, since Wright wanted to show Wakey the town he'd lived in briefly. Wakey also admitted that Wright had packed the knife in a bag in the trunk, taking it back with them to Sarasota. Despite the alleged growing aggression from Wright, and his persistent requests of Wakey for a BMW, Wakey agreed to bring several items to Wright to the hotel that night, including the bottle of margarita mix, Wright's laptop and a change of clothing. He later joined Wright and Trail in the hotel room. Fraivillig focused her questioning on three thumbnail images obtained by investigators from Wright's phone that Wakey admitted to deleting off the phone following the shooting. The thumbnails, along with surveillance video from the hotel, present a timeline of the five or so minutes surrounding the shooting. At 2:57 a.m., the first showed Wakey lying leisurely on the hotel bed with one hand resting behind his head. At 2:59 a.m., the second thumbnail showed Wakey standing at the end of the bed, the gun clutched in his hands, held in front of his stomach and pointing it at the person holding the phone, presumably Wright. At 3 a.m., Wakey is seen sitting on the edge of the bed, the gun now placed right behind him and his shoes on his feet. Less than two minutes later, surveillance video shows Wakey leaving the hotel. In those five minutes, Wakey claims that he and Wright began arguing about the BMW again. Wakey alleged he said he wanted to leave, and Wright told him to get his stuff and go, grabbing the laptop Wakey had bought him and throwing it like a frisbee at him. Wakey claims he rolled onto his right side, with the laptop hitting his back and shoulder. In that moment, Wright saw the gun tucked into Wakey's pants and lunged onto the bed. Wakey rolled onto his back and managed to tuck the gun into himself to prevent Wright from getting it. Wakey said Wright lunged about three or four times in an attempt to get the gun from him. Wakey claims he was able to talk Wright down for a brief moment, enough so to sit on the edge of the bed and put his shoes on in order to attempt to leave. At some point, Wright grabbed the bottle of margarita mix. When Fraivillig asked why Wakey hadn't told investigators about the gun's location, he said nobody asked him and that he then evoked his Fifth and Sixth Amendment rights when police began to interview him. Fraivillig during her cross pushed on the fact that Wakey knew Wright had what had been described in court as an unsavory history, including a meth addiction, had previously attempted to run over an ex-boyfriend in St. Petersburg and was on probation, yet invited Wright to live in his home and remained with him. Asked by Fraivillig why he had deleted the photos on Wright's phone and not called 911 following the shooting, Wakey said he'd been in a state of shock and wasn't thinking straight after having lived through what he said was the most traumatic experience of his life. He said by the time he got to his father's home in Port Charlotte, he felt it was too late to call police. "It didn't feel real, it felt like a dream," Wakey said. Gabriela Szymanowska covers the legal system for the Herald-Tribune in partnership with Report for America. You can support her work with a tax-deductible donation to Report for America. Contact Gabriela Szymanowska at gszymanowska@gannett.com, or on Twitter. This article originally appeared on Sarasota Herald-Tribune: Sarasota judge in Wakey case to decide on Stand Your Ground defense Oregon has often led the way in making government more democratic, for example, through its initiative process, mail voting and automatic registration. (Stephen Maturen/Getty Images) Oregon has a good track record one of the strongest among the 50 states on democracy. The state makes it relatively easy for Oregonians to participate in elections compared with many other states. But threats to democracy lurk elsewhere outside the state and that means Oregon has a special role to play in setting an example. The 2007 United Nations General Assembly resolution setting up the International Day of Democracy called democracy a universal value based on the freely expressed will of people to determine their own political, economic, social and cultural systems, and their full participation in all aspects of life. Furthering democracy should not only be the work of elected officials but also the public needs to be involved, something thats good to remember as States Newsroom and other media organizations across the country mark Democracy Day on Sunday. Oregon has been all over democracy for more than a century. The sweeping electoral reforms that have been adopted nationally happened early in Oregon, and primarily thanks to a popular movement. One of its leaders was William URen, a Wisconsin native, came to Oregon looking for work and found it laboring in orchards. He was afire with the idea of politically empowering people like himself. By 1892, he was an activist organization leader, the spark plug behind the states Populist Party and the tip of the spear behind Oregons initiative, referendum and recall provisions, which are pure popular democracy. And he succeeded because large numbers of Oregonians joined the effort. Ever since, Oregon has been among the most active states with ballot initiatives backed by signatures though the Legislature refers measures to the ballot, too and it has above average participation in elections generally. The state has been aggressive in making voting easy, through the Motor Voter law and adopting mail balloss along with other efforts that other states often have replicated. Not all states have these tools: Oregon is among 24 that allow initiatives on the ballot. Oregon and California were the first to adopt automatic voter registration through the Department of Motor Vehicles. In 2016, Oregon expanded th Motor Voter law to make voter registration automatic unless the person opts out of registration. That eliminates the need to fill out a voter registration card. Today, Oregon is one of 24 states with some form of automatic voter registration. All this may matter more in Oregon as a factor in politics than in some states in part because the election margins often are close. Landslide wins are less common in Oregon than in many states, and really close elections are not unusual. Two and maybe three of Oregons congressional races this year are expected to be close, which would reflect what happened two years ago. How many people participate in democracy by voting and in other ways affects the results, and not everyone is in favor of strong voting. Some other states, like Ohio, Arizona and Idaho have faced efforts against widening voter access and allowing public initiatives, reserving that power for legislatures. Such efforts easily could arise in Oregon. There are also political arguments, such as Utahs U.S. Sen. Mike Lees well-known 2020 tweet, Were not a democracy, arguing that the U.S. is a republic, with the implicit assumption that self-government is not a positive idea. The Heritage Foundation, which is operating as a central planning arm for a prospective second Trump administration, has argued likewise. The common rebuttal to statements like Lees is that the U.S. is both a democracy and a republic the latter being the mechanism through which the former works. But this has not sunk in in all quarters. Anti-democratic ideas like those from Lee and the Heritage Foundation may find less interest in Oregon than in some places. But that doesnt mean democracy isnt under threat nationally and internationally. That means Oregon has a chance to make its longstanding perspective felt in what is increasingly becoming a political debate. When the United Nations set up the International Day of Democracy, it was intended partly to celebrate self-government but also to review the state of democracy in the world. Americans generally, and Oregonians specifically, need to weigh in on the state of democracy. Oregons long history with democratic activism gives it special cachet in pushing for an easier process for the public. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Rep. Jamie Raskin, D-Md., slammed the Electoral College as an "obsolete" system from the 18th century that is deadly for Americans. Raskin spoke with The Free Speech project at the Riggs Library in Washington D.C. on Friday. The congressman expounded on a variety of topics, particularly his efforts to discredit and ultimately circumvent the Electoral College in the name of protecting American democracy. "We passed the nations first National Popular Vote Interstate Compact," he said, touting his work. "We initiated that, were now more than two thirds of the way there, because its 2024. How about its time to start electing the president the way we elect mayors and representatives and senators and governors? Whoever gets the most votes, wins." He contrasted this with the Electoral College, which he argued can "get you killed." MSNBC HOST RAGES AFTER SEEING POLLS SHOWING TRUMP LEADING, CALLS ELECTORAL COLLEGE A SUICIDE PACT "Rather than a convoluted, antique, obsolete system from the 18th century, which these days can get you killed as nearly it did on January 6, 2021," he claimed. READ ON THE FOX NEWS APP "I mean, we were meeting [at the Capitol] just because of the formalities of the 12th amendment which say that youve got to have a joint session to count the Electoral College votes sent in by the governors under the certificates of ascertainment," he said. "But we knew who had won the election. Everybody knew who had won the election, but were still going through these 18th century rituals and thats what gave Donald Trump the opportunity to invoke the mob- come here, well be wild' and then tell them to go and fight and fight like hell or they wouldnt have a country anymore." Raskin then argued that candidates should not win the White House if they lose the popular vote. "So, look, we've had five popular vote losers in American history become president, twice in this century alone, in 2000 and 2016," he said. "And I think the vast majority of American people think we should be electing the president just by having an election in seeing who gets the most votes, rather than this convoluted, rickety system where it all comes down to a handful of states, six or seven states instead of everybodys vote counting equally everywhere in the country." HILLARY CLINTON CALLS KAMALA HARRIS THE UNDERDOG BECAUSE OF ELECTORAL COLLEGE Data guru Nate Silver's presidential election forecast has predicted for weeks that Trump will win the presidency through the Electoral College while Vice President Kamala Harris wil top the so-called popular vote. Original article source: Democratic congressman claims Electoral College 'can get you killed,' blames for Jan. 6 Capitol riot Caoimhe Archibald said a deal signing will hopefully take place this week [Getty Images] The Derry and Strabane City and Growth Deal will go ahead, the Finance Minister has confirmed. Posting on X, formerly Twitter, Caoimhe Archibald said a deal signing will "hopefully take place as planned this week". City Deals are regeneration funding packages worth more than 1.5bn with about 600m coming from central government. The Secretary of State, Hilary Benn, posted on X that he "looks forward to signing the financial terms of the Derry City and Strabane Deal - in the coming days." The UK government had paused funding for the deals ahead of the UK spending review which provoked outrage among NI leaders, including First Minister Michelle O'Neill describing it as "deplorable". Game changers - Archibald Caoimhe Archibald confirmed the deal would go ahead on Saturday evening [PA Media] There are four deals in Northern Ireland: the Belfast City region, Derry City and Strabane, Mid South West region, and Causeway Coast and Glens. Archibald said she is "continuing to press for the other deals", which she described as "game changers for regions across the north, creating jobs and boosting local economies". O'Neill said she has spoken with the secretary of state and urged that the same approach be taken with the other City Deals and projects like Casement Park. "These will be major economic boosters for our local economy and should go ahead," she said on X, formerly Twitter. A UK Government spokesperson said: We understand the importance of the Derry City and Strabane Deal, which is at a very advanced stage. "The UK government is committed to continuing to work closely with the Northern Ireland Executive on this deal, to enable it to deliver growth and opportunity. More work to do - Eastwood In a statement Foyle MP Colum Eastwood, from the SDLP, said he had been engaging with senior Cabinet minister in the Treasury and the Northern Ireland Office (NIO). "I am glad that we have made progress over the last 24 hours and have received confirmation that the Derry City Deal will continue as planned. "This is a good start and we have more work to do," he added. More on this story The front page of the Deseret News on Sept. 15, 1982, announcing the death of Princess Grace of Monaco, formerly known as the actress Grace Kelly. A look back at local, national and world events through Deseret News archives. On Sept. 13, 1982, Princess Grace of Monaco, better known to Americans as film star Grace Kelly, and her daughter, Princess Stephanie, were involved in a car crash in that tiny nation on the French Riviera. Due largely to a series of misleading communiques from the Monaco palace, little was known about both the nature of the crash and the severity of Princess Graces injuries. On Sept. 14, 1982, Princess Grace died at age 52. According to accounts, as the pair were driving about two miles outside of La Turbie, Grace missed a particularly sharp turn, sending the car over a 120-foot slope. The two were rushed to the hospital, and Stephanie was sent home the next day with only minor injuries. But Grace suffered a second hemorrhage, likely caused by the accident, and never regained consciousness. Many believe an initial hemorrhage caused the accident. There were a number of false reports that the young daughter was driving, that Grace had only suffered a broken leg which led to some initial confusion. Original reports in American newspapers focused on the former actress broken leg. Born Grace Patricia Kelly in Philadelphia in 1929, Kelly starred in her first film, Fourteen Hours, at age 22. She starred in High Noon. Best known for her roles in the Alfred Hitchcock classics Dial M for Murder and Rear Window, Kelly won an Academy Award for best actress for her performance in The Country Girl, the year before her fairy-tale wedding to Prince Rainier II in 1956. The pair had first met in 1955 at the Cannes Film Festival. When she married, she became Her Serene Highness Princess Grace of Monaco and gave up her acting career. She went on to have three children with Prince Rainier: Caroline, Albert and Stephanie. Rainier died in 2005. Her funeral service was reportedly watched by approximately 100 million people. Here are some articles from Deseret News archives about Kelly, her life and legacy: Monaco has new princess after nearly 30-year wait N.Y. exhibit will focus on life of Princess Grace Monaco princess will grace new postage stamps Emotional farewell for Prince Rainier Universal Pictures Despite an MPS statement, the Milwaukee city attorney says no deal has been reached with MPS on school police Demonstrators gather outside Milwaukee Public Schools administrative offices in 2020 to support a resolution ending district contracts with the Milwaukee Police Department for resource officers. Milwaukee Public Schools on Friday said it had reached an agreement with the city of Milwaukee that would facilitate the return of police officers to city schools a claim refuted by the city. MPS' announcement said, "This week, MPD and MPS agreed upon the Memorandum of Understanding (MOU)" for the SRO program and the district "is ready to implement a School Resource Officer (SRO) program as soon as officers are available." Milwaukee City Attorney Evan Goyke took issue with MPS' assertion the deal had been agreed to. "While we have made meaningful progress, there remain outstanding and unresolved issues, and there is no completed agreement at this time. It is our hope and commitment that an agreement is reached as soon as possible," Goyke said. The district is facing pressure to comply with the state law, which required it to put police in schools beginning in January. At issue between the two sides may be how, exactly, the officers will be paid for. In an email to the Journal Sentinel, an MPS spokesperson confirmed the agreement in question does not include details about who will finance the requirements of Act 12. "The MOU (memorandum of understanding) outlines the roles and responsibilities of MPS and the MPD when the program begins," said emailed statement from MPS spokesperson Nicole Armendariz. "The MOU does not outline financial obligations. SROs were part of the citys shared revenue agreement." She added, "The timeframe to have the program in place is dependent on when fully trained officers will be available to the district." When it became law last summer, Act 12 mostly focused on funding for local governments across Wisconsin. But it included an unfunded requirement that MPS put 25 full-time school resource officers in its buildings during regular school hours, as well as have them available for other school-related events. Putting the officers in MPS schools is estimated to cost at least $2 million per year. Under Act 12, MPS and the city "shall agree to an apportionment of the costs." Under the requirements of Act 12, MPS has been out of compliance with state law since Jan. 1, 2024. The law does not specify what penalties, if any, the district could face for non-compliance. On Thursday, Milwaukee Mayor Cavalier Johnson said, It is also well past time for the restoration of police officers at schools. I ask MPS to address this with urgency." His statement came in response to an assault on three adults by a groups of teenagers on Wednesday. The school board overseeing Milwaukee schools had previously phased out the use of in-school police, concerned about the over-use of law enforcement in school discipline matters. But Republican lawmakers in favor of Act 12 said it is necessary to make school safer and recruit more teachers. In a previous agreement related to police in MPS, in 2018, the district and Milwaukee police shared the associated costs. "MPS has taken Act 12 very seriously from the beginning, engaging with city partners to build a sustainable SRO program," Friday's news release from MPS stated. Reporter Alison Dirr contributed to this report. Cleo Krejci covers higher education, vocational training and retraining as a Report For America corps member based at the Milwaukee Journal Sentinel. Contact her at CKrejci@gannett.com or follow her on Twitter @_CleoKrejci. For more information about Report for America, visit jsonline.com/rfa. This article originally appeared on Milwaukee Journal Sentinel: City attorney says no deal reached with MPS on school police officers Georgias Republican lieutenant governor, Burt Jones, wont face criminal charges like the ones being pressed against Donald Trump and others by Fulton County District Attorney Fani Willis. The news underscores how destabilizing it would be if Willis is disqualified from the state election interference case, an outcome that the defense is pressing for on a pretrial appeal. Before Trump and his co-defendants were charged last year, the Democratic DA had been disqualified from investigating Jones after she hosted a fundraiser for a Democrat who would become Jones opponent in the 2022 election. That meant a new prosecutor or office needed to take over, which falls to a state prosecutor panel to decide. After nearly two years, the Republican head of the panel said in April that he would handle it himself. And on Friday, he announced he wouldnt seek charges against the lieutenant governor. While the episode is significant in its own right, it also highlights the possibly great complications that would follow if Trump and his co-defendants succeed in kicking Willis and her office off the case; we might not know whether theyll succeed on that score until at least next year. Even though the Jones matter involved just one person, it took years to settle and perhaps in a manner quite different from how Willis would have handled it. We were reminded this week of how complex the criminal case is against the Republican presidential nominee and others, when the trial judge dismissed some counts in the indictment while signaling potential further litigation stemming from the Supreme Courts immunity ruling for Trump in the federal election interference case. The Georgia case featuring many defendants, including several high-profile ones would, like the Jones matter, expect to face difficulties in finding a new office or prosecutor to handle it saying nothing of how it would be handled. Subscribe to the Deadline: Legal Newsletter for updates and expert analysis on the top legal stories. The newsletter will return to its regular weekly schedule when the Supreme Courts next term kicks off in October. This article was originally published on MSNBC.com In the Spotlight is a Fresno Bee series that digs into the high-profile local issues that readers care most about. Story idea? Email tips@fresnobee.com. The gruesome death of a Mexican immigrant at a Central Valley poultry plant last year could have been caused by toxic fumes emanating from a pool of chicken waste water that incapacitated the worker before he fell in and drowned, according to new details in a state report. The death of 66-year-old Jesus Salazar Castillo at Pitman Family Farms could have been prevented with training, protective gear and better protocols for working around hazardous gas, state officials said in a special investigative report. The family-owned company is known for its humanely-raised poultry sold under the high-end Marys Chicken, Marys Turkey and Marys Duck brands. The special investigative report concludes that missing floor coverings and damaged railing around the wastewater pit, as well as the lack of safety plans or monitoring of toxic wastewater, contributed to Salazars death. Though the investigation doesnt mention the employer by name, details of the fatality in the report match the day, time, age of the victim, and nature of Salazars death, as documented in other state and police records. The July Fatality Assessment & Control Evaluation report is a type of investigation conducted by the California Department of Public Healths Occupational Health Branch reserved high priority workplace deaths. Pitman Family Farms did not respond to a request for comment. Company executives have declined requests for interview and comment in the past for previous Bee stories about worker safety at Pitman facilities. Marys Chicken product, seen for sale in a Fresno grocery store photographed Thursday, Jan. 4, 2024. Sangers Pitman Family Farms supplies chickens sold by the Marys Chicken label. Poultry processing is considered a hazardous industry by federal occupational health and safety regulators, but Pitmans safety record includes multiple worker deaths and a higher than average rate of injury compared to other similarly sized poultry plants nationwide, according to a 9-month Fresno Bee investigation into working conditions at the company. The Bees February investigation prompted by Salazars death in May 2023, found the company has engaged in unsafe business practices, with at least four worker deaths in the past eight years during the course of various operations involving the companys Central California ranches, grain mill, processing facility and poultry transportation fleet. On the morning of his death, Salazar was assigned to clean the area around a waste pit at Pitman Family Farms poultry processing plant in Sanger a 14-foot-wide, 8-foot-long and 17-foot-deep rectangular structure containing a mixture of chicken feathers, remains, waste, fat and water. The water pumps which carry wastewater were turned off that morning to prevent overflow, the report said. The waste in the pits stagnant water likely led to dangerous levels of hydrogen sulfide, which is a flammable, highly hazardous gas common in meatpacking plants and in sewage operations including wastewater treatment. Though the hydrogen sulfide levels werent measured or monitored by the company at the time of Salazars death, the state report said the gas was likely present in the pit. At high concentration, the report said, it may result in death within minutes. Investigators wrote that Salazar may have been kneeling close to the surface of the offal pit where he was exposed to concentrations of H2S (hydrogen sulfide) that resulted in the rapid loss of consciousness that caused him to fall in the pit. (Offal refers to to the waste or byproduct of butchered or processed animal meats.) The report also shows that Pitman Farms made upgrades to the waste pit area following Salazars death. If the pit had been guarded on all open sides and a hinged floor hole cover was used, the report said, it may have been less likely the victim would have fallen into the pit and died. La Abeja, a newsletter written for and by California Latinos Sign up here to receive our weekly newsletter centered around Latino issues in California. According to the Fresno County Coroners office, Salazars cause of death was drowning. But its not immediately clear how Salazar ended up in the waste pit because there were no direct witnesses to the incident or camera footage inside the waste pit room. The report said the company failed to develop proper safety protocols related to hydrogen sulfide. There were also missing and unsecured floor grates protecting the offal pit, as well as damaged guardrails, the report said, echoing findings from Cal/OSHA inspections and a Sanger Police Department report obtained by The Bee. The employer did not have any policies or procedures to perform work in the offal wastewater pit room, the report said. Originally from Michoacan, Mexico, Salazar worked at Pitman Family Farms for about two years before his death. According to his company badge, he was a turkey line worker, though the FACE report said he regularly took on extra weekend shifts to help with maintenance in the pit room, where he died. Salazars grieving family is shocked, heartbroken and angry about the new details on his death. The previous information we received from Pitman Farms lacked the depth and important factors that we believe contributed to my fathers death. After reviewing the new report, we are filled with sadness, as it seems his death was not merely an accident, but rather a result of neglect. We genuinely feel this tragedy could have been prevented, said Salazars daughter-in-law Lina Castillo. According to CDPH, FACE investigators do not enforce state or federal job safety and health standards regulations or determine fault. Our investigations consider all factors and do not focus on who was to blame, the agencys website said. In November, Cal/OSHAs cited Pitman with four serious violations and $56,250 related to Salazars death; the company is contesting the penalties. Castillo said her family initially believed the company valued Salazars hard work and well-being. In light of the report, she now says they believe the company values profits over the lives of its workers. It feels incredibly unjust and infuriating, she said. Multiple dangerous operations at California poultry business Salazars was one of two deaths at the poultry plant in less than a year. On Feb. 21, 19-year-old Jose Abrego died when he was crushed by a semi-truck in what police responding to the scene described as poorly lit working conditions with little safety precautions. Abrego had been cleaning poultry debris from the truck bed, when a coworker assigned to move the truck for loading and unloading purposes struck and killed Abrego, according to a Cal/OSHA inspection and police report. One occupational safety expert said his death was totally preventable. Two trucking experts calculated that according to the most recent available data, the Pitman-affiliated poultry transportation fleet, Western Grain and Milling, had an estimated accident rate around four times higher than industry standard, indicating poor safety and risk management programs. Safety regulators cited the trucking company with 242 mechanical, safety and other related violations in the past two years. The most recent CA/FACE report on Salazars death is not the first time a government agency has found Pitman Farms to have unsafe practices around a hazardous substance. Around two dozen workers were hospitalized between 2014 and 2016 following three toxic ammonia leaks at the processing plant. Ammonia is one of the top three chemicals that causes worker injuries and meatpackers often use large amounts of ammonia in their refrigeration system. According to a settlement agreement with the U.S. Environmental Protection Agency, the company didnt have an emergency response program in place as required by law at the time of the first leak. The EPA also said there were no records that employees received or understood training related to the process. Whats new in state report on Pitman worker death The investigation into the drowning was conducted in September 2023 after CDPH FACE investigators received a referral from the California Department of Industrial Relations on May 9, days after Salazars death. Fatality Assessment and Control Evaluation (FACE) Program Report 23CA002 by Melissa Montalvo on Scribd This embedded content is not available in your region. At the national level, FACE investigations are conducted by the Center for Disease Controls National Institute for Occupational Safety and Health, though some states, including California, can conduct their own investigations. NIOSH does not comment on state FACE reports, said an agency spokesperson. But in general, in-depth field investigations are conducted for selected cases in priority categories of fatal injuries. The federal agency prioritizes robot-related fatalities, tree care/arborist, powered industrial trucks/warehousing, tow truck drivers, and waste collection/sanitation, as well as other issues such as confined spaces, electrocutions, logging, deaths of Hispanic workers and youth worker deaths. State FACE programs can have additional priorities. Priorities are determined according to national and state priorities and are periodically reviewed and revised, a NIOSH spokesperson said. In CA, FACE reports also focus on worker drownings, palm trimming, solar installation and other wood chippers, firefighting, construction falls and Methalyne Chloride exposure, according to the CDPH website. This report was produced to warn workers of the potential hazard working in a poultry processing facility, said a spokesperson for CDPH in an email statement. FACE reports and other educational materials can provide valuable lessons to prevent other tragic workplace deaths, and are shared with workers, employers, and the public. The state report made several recommendations to prevent similar deaths, including: To develop and implement policies, procedures and inspections to prevent workers from being overexposed to hydrogen sulfide Ensure pit area is identified as a permit required confined space Maintain pumps and equipment in pit room are in operation so there is wastewater flow Ensure offal pits are guarded and covered Pitman Farms installed new guardrails and floor grates over the waste pit after Salazars death on May 6, 2023. A new report by the California Department of Public Health said if the pit had been guarded on all open sides and a hinged floor hole cover was used, it may have been less likely the victim would have fallen into the pit and died. In the Spotlight is a Fresno Bee series that digs into the high-profile local issues that readers care most about. Story idea? Email tips@fresnobee.com. The gruesome death of a Mexican immigrant at a Central Valley poultry plant last year could have been caused by toxic fumes emanating from a pool of chicken waste water that incapacitated the worker before he fell in and drowned, according to new details in a state report. The death of 66-year-old Jesus Salazar Castillo at Pitman Family Farms could have been prevented with training, protective gear and better protocols for working around hazardous gas, state officials said in a special investigative report. The family-owned company is known for its humanely-raised poultry sold under the high-end Marys Chicken, Marys Turkey and Marys Duck brands. The special investigative report concludes that missing floor coverings and damaged railing around the wastewater pit, as well as the lack of safety plans or monitoring of toxic wastewater, contributed to Salazars death. Though the investigation doesnt mention the employer by name, details of the fatality in the report match the day, time, age of the victim, and nature of Salazars death, as documented in other state and police records. The July Facility Assessment & Control Evaluation report is a type of investigation conducted by the California Department of Public Healths Occupational Health Branch reserved high priority workplace deaths. Pitman Family Farms did not respond to a request for comment. Company executives have declined requests for interview and comment in the past for previous Bee stories about worker safety at Pitman facilities. Marys Chicken product, seen for sale in a Fresno grocery store photographed Thursday, Jan. 4, 2024. Sangers Pitman Family Farms supplies chickens sold by the Marys Chicken label. Poultry processing is considered a hazardous industry by federal occupational health and safety regulators, but Pitmans safety record includes multiple worker deaths and a higher than average rate of injury compared to other similarly sized poultry plants nationwide, according to a 9-month Fresno Bee investigation into working conditions at the company. The Bees February investigation prompted by Salazars death in May 2023, found the company has engaged in unsafe business practices, with at least four worker deaths in the past eight years during the course of various operations involving the companys Central California ranches, grain mill, processing facility and poultry transportation fleet. On the morning of his death, Salazar was assigned to clean the area around a waste pit at Pitman Family Farms poultry processing plant in Sanger a 14-foot-wide, 8-foot-long and 17-foot-deep rectangular structure containing a mixture of chicken feathers, remains, waste, fat and water. The water pumps which carry wastewater were turned off that morning to prevent overflow, the report said. The waste in the pits stagnant water likely led to dangerous levels of hydrogen sulfide, which is a flammable, highly hazardous gas common in meatpacking plants and in sewage operations including wastewater treatment. Though the hydrogen sulfide levels werent measured or monitored by the company at the time of Salazars death, the state report said the gas was likely present in the pit. At high concentration, the report said, it may result in death within minutes. Investigators wrote that Salazar may have been kneeling close to the surface of the offal pit where he was exposed to concentrations of H2S (hydrogen sulfide) that resulted in the rapid loss of consciousness that caused him to fall in the pit. (Offal refers to to the waste or byproduct of butchered or processed animal meats.) The report also shows that Pitman Farms made upgrades to the waste pit area following Salazars death. If the pit had been guarded on all open sides and a hinged floor hole cover was used, the report said, it may have been less likely the victim would have fallen into the pit and died. La Abeja, a newsletter written for and by California Latinos Sign up here to receive our weekly newsletter centered around Latino issues in California. According to the Fresno County Coroners office, Salazars cause of death was drowning. But its not immediately clear how Salazar ended up in the waste pit because there were no direct witnesses to the incident or camera footage inside the waste pit room. The report said the company failed to develop proper safety protocols related to hydrogen sulfide. There were also missing and unsecured floor grates protecting the offal pit, as well as damaged guardrails, the report said, echoing findings from Cal/OSHA inspections and a Sanger Police Department report obtained by The Bee. The employer did not have any policies or procedures to perform work in the offal wastewater pit room, the report said. Originally from Michoacan, Mexico, Salazar worked at Pitman Family Farms for about two years before his death. According to his company badge, he was a turkey line worker, though the FACE report said he regularly took on extra weekend shifts to help with maintenance in the pit room, where he died. Salazars grieving family is shocked, heartbroken and angry about the new details on his death. The previous information we received from Pitman Farms lacked the depth and important factors that we believe contributed to my fathers death. After reviewing the new report, we are filled with sadness, as it seems his death was not merely an accident, but rather a result of neglect. We genuinely feel this tragedy could have been prevented, said Salazars daughter-in-law Lina Castillo. According to CDPH, FACE investigators do not enforce state or federal job safety and health standards regulations or determine fault. Our investigations consider all factors and do not focus on who was to blame, the agencys website said. In November, Cal/OSHAs cited Pitman with four serious violations and $56,250 related to Salazars death; the company is contesting the penalties. Castillo said her family initially believed the company valued Salazars hard work and well-being. In light of the report, she now says they believe the company values profits over the lives of its workers. It feels incredibly unjust and infuriating, she said. Multiple dangerous operations at California poultry business Salazars was one of two deaths at the poultry plant in less than a year. On Feb. 21, 19-year-old Jose Abrego died when he was crushed by a semi-truck in what police responding to the scene described as poorly lit working conditions with little safety precautions. Abrego had been cleaning poultry debris from the truck bed, when a coworker assigned to move the truck for loading and unloading purposes struck and killed Abrego, according to a Cal/OSHA inspection and police report. One occupational safety expert said his death was totally preventable. Two trucking experts calculated that according to the most recent available data, the Pitman-affiliated poultry transportation fleet, Western Grain and Milling, had an estimated accident rate around four times higher than industry standard, indicating poor safety and risk management programs. Safety regulators cited the trucking company with 242 mechanical, safety and other related violations in the past two years. The most recent CA/FACE report on Salazars death is not the first time a government agency has found Pitman Farms to have unsafe practices around a hazardous substance. Around two dozen workers were hospitalized between 2014 and 2016 following three toxic ammonia leaks at the processing plant. Ammonia is one of the top three chemicals that causes worker injuries and meatpackers often use large amounts of ammonia in their refrigeration system. According to a settlement agreement with the U.S. Environmental Protection Agency, the company didnt have an emergency response program in place as required by law at the time of the first leak. The EPA also said there were no records that employees received or understood training related to the process. Whats new in state report on Pitman worker death The investigation into the drowning was conducted in September 2023 after CDPH FACE investigators received a referral from the California Department of Industrial Relations on May 9, days after Salazars death. Fatality Assessment and Control Evaluation (FACE) Program Report 23CA002 by Melissa Montalvo on Scribd This embedded content is not available in your region. At the national level, FACE investigations are conducted by the Center for Disease Controls National Institute for Occupational Safety and Health, though some states, including California, can conduct their own investigations. NIOSH does not comment on state FACE reports, said an agency spokesperson. But in general, in-depth field investigations are conducted for selected cases in priority categories of fatal injuries. The federal agency prioritizes robot-related fatalities, tree care/arborist, powered industrial trucks/warehousing, tow truck drivers, and waste collection/sanitation, as well as other issues such as confined spaces, electrocutions, logging, deaths of Hispanic workers and youth worker deaths. State FACE programs can have additional priorities. Priorities are determined according to national and state priorities and are periodically reviewed and revised, a NIOSH spokesperson said. In CA, FACE reports also focus on worker drownings, palm trimming, solar installation and other wood chippers, firefighting, construction falls and Methalyne Chloride exposure, according to the CDPH website. The agency did not respond to requests for comment. The state report made several recommendations to prevent similar deaths, including: To develop and implement policies, procedures and inspections to prevent workers from being overexposed to hydrogen sulfide Ensure pit area is identified as a permit required confined space Maintain pumps and equipment in pit room are in operation so there is wastewater flow Ensure offal pits are guarded and covered Pitman Farms installed new guardrails and floor grates over the waste pit after Salazars death on May 6, 2023. A new report by the California Department of Public Health said if the pit had been guarded on all open sides and a hinged floor hole cover was used, it may have been less likely the victim would have fallen into the pit and died. ATHENS, Sept. 13 (Xinhua) -- Greek Prime Minister Kyriakos Mitsotakis requested on Friday an immediate response from the European Union (EU) to distortions in European energy market. The distortions have resulted in extremely high electricity prices in central, eastern European and Balkan countries compared to western European states, according to Mitsotakis. In a letter addressed to European Commission President Ursula von der Leyen, which was released by the Greek premier's office, he said that in Greece's case, wholesale electricity prices in the country have more than doubled from 60 euros per megawatt hour (MWh) (66 U.S. dollars/MWh) in April to 130 euros/MWh in August. Although Greece has made remarkable progress in accelerating energy transition, prices have risen to levels last seen in early 2023, amid the energy crisis, he said. A similar situation was also reported in other countries this summer, Mitsotakis said, adding that disparities in prices as well as other extra cost undermine the spirit of the internal market. In his letter, the Greek leader called upon EU to act. (1 euro= 1.11 U. S dollar) Donald Trump had used Erika Lees comment during the presidential debate against Kamala Harris - ALEX BRANDON/ASSOCIATED PRESS The woman whose social media post appears to have been among the first to spark claims that Haitian migrants are eating pets in Springfield, Ohio, has insisted she is not racist. Erika Lee, 35, claimed in a Facebook post that her neighbours friends cat disappeared, only to be found strung up outside a Haitian familys home who were preparing to eat it. Misinformation watchdog Newsguard found Ms Lee was among the first to write on social media about the allegations about the Haitian community. The claims were repeated to 67 million viewers by Donald Trump during Tuesday nights presidential debate, despite local police and officials insisting there was no evidence to support the claims. It just exploded into something I didnt mean to happen, Ms Lee told NBC News, adding that she never thought it would ever get past Springfield. Im not a racist, she said, adding: Everybody seems to be turning it into that, and that was not my intent. Ms Lee said she is mixed race, a member of the LGBTQ community and her daughter is half black. The Springfield resident added that the city had been struggling to cope with the arrival of thousands of Haitian migrants. Officials say between 15,000 and 20,000 have arrived in the small city of 59,000 people in four years. Screenshots of Ms Lees Facebook post, in which she claimed the pet was found hanging from a branch like youd do a deer for butchering, and they were carving it up to eat were shared across X. Ive been told they are doing this to dogs, they have been doing it at Snyder Park with the ducks and geese, she added and claimed to be told that last bit by rangers and police. Kimberly Newton, Ms Lees neighbour, told NewsGuard she had heard the rumour from someone else and that the owner of the cat had been an acquaintance of a friend, not her daughters friend as Ms Lee had claimed. I feel for the Haitian community, Ms Lee said, adding that she had pulled her daughter out of school and now fears for her safety. If I was in the Haitians position, Id be terrified, too, worried that somebodys going to come after me because they think Im hurting something that they love and that, again, thats not what I was trying to do. It comes after Trump vowed to swiftly deport migrants from Springfield and Aurora in Colorado, which he claimed had been overrun by criminal gangs. The former president showed no sign of backing down from his claims that migrants had been eating pets in Springfield, despite accusations that his allegations had triggered violence in the city. On Friday, police released video footage of an American woman being arrested for allegedly eating a cat 170 miles away from Springfield. The arrest took place in Canton, Ohio, on Aug 16 after police received several concerned calls from the public. Other posts that contributed to the false allegations included a photo of a man holding a dead goose taken in Columbus, Ohio, but was spread online as evidence of the claims about Springfield. It was also reported that Springfield city hall had to be evacuated on Thursday due to a bomb threat, with the mayor Rob Rue telling local media the threat came from someone who claimed to be a local resident and mentioned frustration with immigration. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. On July 18, 2008, Genai Coleman was sitting in her car near a transit station and reading her Bible when a man with a gun approached her. The assailant, who had walked out of a gas station across the street, pointed his weapon at her and demanded that she get out of her gold Dodge Stratus. He shot the 40-year-old in the chest, pulled her out of the vehicle and drove away. Coleman, a Gwinnett County, Georgia resident, didn't make it. SURVIVOR OF CASANOVA KILLER REVEALS WHY SHE WANTED MURDERER TO FALL IN LOVE WITH HER: 'ALL HELL BROKE LOOSE' The murder is being explored in Oxygens true-crime series, "The Real Murders of Atlanta." It explores "shocking, sinful and salacious cases" in the "metropolitan mecca of music, entertainment and tech." It features interviews with loved ones, investigators and others connected to the cases being profiled. Genai Coleman was murdered on July 18, 2008. She was 40. The Navy Reservist was gunned down in her car outside an Atlanta mall. Brittany Barrington, the crime scene supervisor of the Gwinnett County Police Dept., was on the scene. READ ON THE FOX NEWS APP "This is a crime of opportunity," Barrington explained to Fox News Digital. "She was doing what people do every day, and I still do it sometimes everybody does. Youre just sitting in your car on your phone, not paying attention, just in a random parking lot. He took advantage of that. Its truly a crime of opportunity. He needed her car, and he was going to take it." Colemans family later contacted the police, worried that the punctual mother of three adopted children had never returned home. Investigators confirmed that Coleman was the victim. Coleman was a beloved schoolteacher and soon-to-be grandmother, the episode revealed. Genai Coleman was a beloved Georgia schoolteacher and soon-to-be grandmother. She is seen here with a close friend. FOLLOW THE FOX TRUE CRIME TEAM ON X While Coleman was parked near a popular mall, the crime scene was "minimal," said Barrington. There was a pool of blood and zero suspects. "All the main evidence that would have provided leads right off the bat was gone," she said. "What stood out to me was that the car was missing. There were no fired cartridge casings on the ground. And we knew a shot was fired. So that immediately roused my suspicion that maybe the casing was left in the car. Maybe the casing was picked up. Maybe the firearm that was used was a revolver, so there would be no casings left behind." There was a witness. Genai Coleman was waiting for her daughter to come out of work when she was targeted by a stranger. According to the episode, a bus driver described how she had seen a man walk around to the driver's side of the vehicle, calling Coleman a "mothef----r." She heard a gunshot and saw the man pull Coleman out of the car to the ground. Colemans car was found parked in a lot about 40 miles away. A cigarette butt was found on the car floor. "The small chance of finding that cigarette butt provided a huge DNA lead," said Barrington. "It started up a whole new aspect of the investigation quickly." The cigarette was submitted for DNA. The episode also described how detectives reviewed surveillance footage and spotted a man who was purchasing a pack of cigarettes Bronson Lights from the gas station. The Bronson filters were a match to the filter they found from the recovered cigarette butt. The same man was also seen walking through a parking lot located about 15 feet from where the vehicle was dumped. SIGN UP TO GET THE TRUE CRIME NEWSLETTER A cigarette butt was found inside Genai Coleman's car. Family members confirmed that Coleman wasn't a smoker. The DNA results came back. It led to Donald Smith. According to investigators, Smith was previously charged with armed robbery, making him a "definite suspect." They obtained his cellphone records and discovered that his phone had pinged towers near the crime scene on the night the murder took place. He also looked just like the man spotted on surveillance. The police were certain they had their killer. But when Smith was brought in for questioning, he insisted that he had never seen Coleman or her car. When police told him they had his DNA, Smith replied, "So what?" "My DNA couldnt have been in that car, because Ive never been in that car," said Smith. One witness, a bus driver, heard a gunshot. She then saw Genai Coleman being pulled out of her car by a man. Smith was then shown the surveillance footage. "That is definitely not me," he said. Smith went on to share that the cellphone number police found had been his brothers an identical twin. "Donald and Ronald hes my twin," said Smith. Barrington said that a murder case involving identical twins is "extremely rare." "This is the only major homicide case that the department has had with identical twins," she explained. "Since then, I havent had an additional crime scene that involved identical twins. This was a unique experience. . . . You dont normally deal with this. Its usually a single person, or you do have twins, but theyre fraternal twins. But the uniqueness of identical twins is very rare." GET REAL TIME UPDATES DIRECTLY ON THE TRUE CRIME HUB The fingerprints discovered by police belonged to Ronald Smith. Smith initially refused to implicate his brother Ronald Smith, who also lived in Gwinnett County. But he later confirmed that the man in the surveillance video was Ronald. In addition to the cigarette butt, fingerprints were lifted from the car. They would be the key to figuring out which twin had done it. "Even if youre an identical twin, youre going to have unique fingerprint characteristics that set you apart from your sibling," said Barrington. "Thats what helped to determine which brother had their hands on the top of the roof of Genais car so we could identify which brother was the actual suspect." The fingerprints were a match for Ronald. Ronald, who was arrested, later admitted to killing Coleman and taking her car before leaving it behind. He claimed that the shooting had been "an accident." "It was a hair trigger," Ronald claimed, the Oxygen series shows. "I set out to take a car . . . at gunpoint. The gun went off." In October 2012, Ronald was convicted on charges of murder, felony murder, aggravated assault, hijacking a motor vehicle and possession of a weapon during the commission of a felony. The Gwinnett Daily Post reported that he had been sentenced to life plus 25 years in prison. Barrington said a crime like this "could happen to anyone at any time." "Be aware of your surroundings," she warned. "And also know that detectives, the police department, anyone investigating, has the passion to get justice. They want to help." "Theres an emotional toll," Barrington reflected. "Theres a professional toll, too. But this is why we are here. This is why we exist." Original article source: DNA from Georgia woman's murder leads to wrong twin, investigator says: 'Crime of opportunity' Docs: Mother arrested, accused of starving 5-day-old child and exposing her to drugs A five-day-old child is dead, and her mother has been arrested, accused of murdering her. On the morning of September 11, detectives with the Seattle Police Department say they arrested Ashlee Creighton after she reportedly tried to run from them. A man was also arrested for trying to help her escape. According to court documents filed in King County, Creighton called 911 in May to report shed had a stillborn, but an extensive investigation revealed that wasnt the case. Detectives believe the child was born on May 18 at the Civic Hotel in Seattle. Creightons call for help did not come in until May 23, according to police records. Court documents state that a combination of meth and fentanyl was found in the baby girls system and the child also showed obvious signs of malnutrition and dehydration. Creightons internet history reportedly included searches such as breastfeeding a baby born addicted and WA State law on hospital reporting to CPS. The court documents go on to state that her cellphone contained a message from who detectives believe is the father, stating I told you she needs to see a doctor. Ashlee Creighton knew her baby was not ok and needed essential medical attention, the court documents state. Despite being in a Co-Lead facility with 24-7 access to staff, resources, and a working cellphone, the defendant did nothing. Creightons bail has been set at $300,000 and the state is requesting a hearing to increase it because they consider her to be a flight risk. A doctor and another nurse from the Countess of Chester hospital were allegedly on some shifts at the time of many baby deaths - CHRISTOPHER FURLONG/GETTY IMAGES Staff at Lucy Letbys hospital were concerned that a doctor had been on shift at the time of many of the deaths and collapses of babies, leaked documents show. In the opening statement at Letbys trial, Nick Johnson KC, prosecuting, said consultants had become suspicious of the neonatal nurse after noticing incidents had one common denominator. But a leaked email between staff at the Countess of Chester Hospital shows that a senior nurse had raised concerns about a doctor who features in a number of cases of babies who collapse. At the Thirlwall Inquiry this week, Kate Blackwell KC, who is representing the hospital management, also said one of the reasons Letby had not been considered a risk earlier was because it appeared that there was also a doctor whod been on shift at the time of seven of the incidents. Leaked documents also show that Eirian Powell, the neonatal unit ward manager, had worked with Dr Stephen Brearey, the units lead consultant to draw up a staffing chart for the unexpected deaths and collapses, which included both nurses and doctors. But she was troubled to find that by the time the chart was sent to Alison Kelly, the executive director of nursing and quality care, the column relating to doctors had been removed, leaving only the nursing staff. Staffing analysis formed the basis of suspicion against Letby and during her trial, one of the most damning pieces of evidence presented to the jury was a chart revealing that the nurse was always on duty when the babies collapsed or died. Letby was convicted last year of the murders of seven babies and the attempted murders of six others, as well as the attempted murder of a seventh baby at retrial in July - CHESTER STANDARD/SOUTH WEST NEWS SERVICE The table, which covered a 13-month period between June 2015 and June 2016, showed that each of the 38 other nurses was in attendance on just a handful of occasions when suspicious incidents occurred. Letby was dubbed a constant malevolent presence by the prosecution. A second chart that included doctors and consultants did not appear to show any uptick or suspicious presence for any of the medics. But it has since emerged that a doctor and one other nurse had allegedly been on shift at the time of a high number of baby deaths. An email between Powell and Kelly from March 2016, published by the Thirlwall Inquiry this week, showed that, alongside Letby, a doctor had also been identified as a common theme among eight baby deaths. The email, which refers to Letby as a particular nurse said: High mortality eight as opposed to our normal two to three a year. A commonality was that a particular nurse was on duty either leading up to or during. A doctor was also identified as a common theme however not as many as the nurse. Despite reviewing these cases there was nothing obvious that we were able to identify. Leaked minutes of interviews from a grievance procedure lodged by Letby in the autumn of 2016, also reference a second nurse being on the ward at the time of many of the unexpected deaths or collapses. Powell said she mentioned the commonality and said the nurse stood out, although admitted she was not as prominent as Letby. Experts from several British universities have questioned the shift pattern data used in the trial, which showed Letby as the only staff member present at every death and attempted murder. Next week, the Royal Statistical Society (RSS) is convening a meeting to explore how statisticians can collaborate better with legal teams in the wake of the Letby case after becoming aware of concerns raised by members. The RSS has previously warned of a lack of knowledge in the legal profession over the interpretation of statistical evidence and in 2022, released a report specifically setting out how best to deal with cases of medical serial killers. Letby was dubbed a constant malevolent presence by the prosecution - CHRISTOPHER FURLONG/GETTY IMAGES In a written opening statement to the Thirlwall Inquiry this week, Ms Blackwell said that in the summer of 2016, following the collapses and deaths of the babies at the Countess of Chester, there was little to link the nurse to the incidents other than shift data. Aside from the link between Letbys shift pattern and the deaths, nothing specific was ever articulated by either Dr Brearey or Dr (Ravi) Jayaram, or any other consultant, to identify the wrongdoing by Letby. For example, there was never any suggestion that any consultant had witnessed an event involving Letby that raised suspicion about her behaviour towards any of the babies on the unit. There was nothing raised about any concerning test results. There was nothing raised about a failure in the care that Letby had given to any of the babies to indicate that her conduct had, or might have, contributed in any way to the deaths on the NNU (neonatal unit). The undefined concerns of the consultants were not shared by nursing staff. Indeed, the NNU Manager, Eirian Lloyd Powell, was firmly of the view that Letby was a good and competent nurse. Neo-natal unit at Letbys hospital Since Letbys conviction, several statisticians have come forward to question the way data was used however the prosecution has argued the case was not only based on statistical evidence. Peter Skelton KC, who is representing the parents of children A, B, I, L, M, N and Q, has addressed the ongoing speculation that Letby may be the victim of a miscarriage of justice at the Thirlwall Inquiry this week. Lucy Letby was not convicted on the basis of questionable statistics but because the factual and expert medical evidence demonstrated beyond reasonable doubt that she had harmed children at the hospital, he said. Dr Jane Hutton, a professor of statistics at the University of Warwick, has previously raised concerns about how the staffing chart was compiled. All staff, cleaning and technical as well as healthcare should be on the list, she said. By removing doctors, it could prejudice future investigations. It also effectively assumed harm was caused by a nurse. It should also include basic information on gestational age, birthweight, multiple pregnancy, reason for premature birth, at a minimum. Management ought to have gone to Public Health England, Health Security Agency, which has the relevant expertise. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. HONOLULU (KHON2) The Hawaii State Department of Education (HIDOE) is set to enhance world language programs at schools in the Aiea-Moanalua-Radford and Kailua-Kalaheo Complex Areas, thanks to two grants from the Department of Defense Education Activity (DoDEA). Get Hawaiis latest morning news delivered to your inbox, sign up for News 2 You The DoDEA grants, which aim to support military dependents education, will fund new initiatives to expand language learning opportunities. Since 2008, DoDEA has invested around $32.5 million in Hawaii public schools, helping to improve educational outcomes for students with military parents. John Erickson, superintendent of the Aiea-Moanalua-Radford Complex Area, expressed gratitude for DoDEAs ongoing support. Were thrilled about the new learning opportunities this funding will bring. It will allow us to hire new language teachers, introduce cutting-edge technology, and offer a more comprehensive language curriculum from kindergarten through 12th grade, Erickson said. The Aiea-Moanalua-Radford Complex Area has been awarded a $2 million grant spread over five years. This funding will establish a K-12 world language pathway, starting with new language programs at the elementary and middle school levels and encouraging high school students to advance to higher-level language courses. The grant will benefit Aliamanu Elementary, Aliamanu Middle, Aiea Intermediate, and Radford High. In addition, the Kailua-Kalaheo Complex Area received a $1.25 million grant. This grant will increase access to Spanish and Japanese language instruction for K-6 military-connected students and offer world language programs through out-of-school-time activities. The grant will support Aikahi, Kainalu, Keolu, Maunawili, and Mokapu elementaries. Download the free KHON2 app for iOS or Android to stay informed on the latest news For more information about DoDEA and its global educational support for military dependents, visit www.dodea.edu. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KHON2. A group of tenants at the Waldo Heights apartment complex in south Kansas City is suing the facilitys ownership, alleging residents have been forced to live with pest infestations, security problems, garbage build-up and other problems. Attorneys for the three plaintiffs, Valiera Brooks-Davis, Sherry Willaughby and Whitney Harris, who are residents or former residents of the complex, wrote in a complaint that Waldo Heights aggressively collects rent from hundreds of residents and yet is reluctant, if not outright hostile, to respond to tenant complaints. In an interview with The Star, Brooks-Davis, who lived at the complex from July 2018 through December, recalled mice setting up residence in a shoe box in her home, not wanting to invite people over because roaches would tumble from the ceiling, and how garbage would pile up in dumpsters for three to four weeks. At one point, a resident died in a unit in her building, and the body stayed in that persons unit for three weeks, the odor masked by the smell from a nearby dumpster, she said. Last year, residents were left without water for days after a main broke. During her time at the apartments, the rent for her two-bedroom unit climbed from $605 per month to $699, Brooks-Davis said. Dogs live better, she said. People treat their dogs better than we were being treated. Its just not right. The property, owned by San Francisco-based Landmark Realty, touts its management of over 7,000 units across more than 80 properties nationwide. Alongside Waldo Heights, the company also runs a handful of other properties in the Kansas City metro. Requests for comment to both the company and Nicholas Porto, whos listed as the companys Kansas City-based attorney for the lawsuit, were not returned. Profit over people The lawsuit, originally filed in Jackson County Circuit Court and moved to U.S. District Court in Kansas City in June, said the Kansas City Health Department has identified nearly 50 code violations at the property since 2019. Attorneys wrote the site operates in violation of several Kansas City ordinances that govern trash disposal, pest infestations and other requirements. Robberies and violence frequently occur in the complex parking lot, and the site does not have any secured exterior doors, gates or lights in the parking lot, according to the lawsuit. Non-residents are often found sleeping in unsecured common areas and stealing clothes from the laundry room, attorneys wrote. Brooks-Davis recalled returning home one night around 10 p.m. to find someone sleeping in front of her door. She grappled with what she should do and eventually stepped over the person, slipped through her door and called for security. She spearheaded an effort to unionize residents with KC Tenants, which she believes led management to not renew her lease at the end of last year. Despite the poor conditions, the move sent her into depression. She now lives across the street from her old complex, and the conditions there still haunt her. I wanted to pursue this because they put profit over people, she said of the lawsuit. They need to understand that we deserve to live in safe, habitable, clean conditions. We deserve that. Pocket the money Harris, a resident of the property since 2018, has a door thats not flush with the ground, leaving a two-inch gap that allows for rodents and roaches to enter her home, attorneys wrote in the lawsuit. The gap keeps her from regulating the spaces temperature, which the lawsuit said creates freezing winter and sweltering summer temperatures. Harris had tires stolen from her car, her vehicle broken into, and shes frequently found strangers sleeping in the laundry room. Because of safety concerns, she washes her clothes at a laundromat and doesnt allow her children to leave her apartment, attorneys wrote. Willaughby, a resident from April 2019 through early August 2023, also dealt with a rodent and roach infestation in her unit and had her car broken into at the complex. Frustrated by the conditions, she began to participate in the union and moved out when issues did not improve. The lawsuit notes that Waldo Heights pursued a civil claim against her despite knowing she was no longer in possession of her residence, which her attorneys wrote was in retaliation for her complaints and union activity. Gina Chiala, the executive director at the Heartland Center for Jobs and Freedom and one of the attorneys representing the women, said that while the lawsuit names three specific tenants, her group has heard from others with similar stories throughout the property. Tenants at Waldo Heights are predominantly low-income and people of color, according to the lawsuit. Too often, apartment complexes that rent to low-income people, choose to pocket the money that theyre supposed to be using for repairs and for upkeep, Chiala said. We want to see those profit models change, and we want to see them shift. We want to see these companies pay a price for violating the law. Donald Trump calls Kamala Harris the worst vice president ever. Where does she rank? Reality Check is a Bee series holding officials and organizations accountable and shining a light on their decisions. Have a tip? Email realitycheck@sacbee.com. Donald Trump said during his debate with Kamala Harris that she has been the worst vice president in the history of our country. So we asked two scholars who have studied the vice presidency if that was so. Harris, vice president since 2021, was rated 11th of 18 modern vice presidents in a study by Justin Vaughn, associate professor of political science at Coastal Carolina University, and Brandon Rottinghaus, professor of political science at the University of Houston. they are co-directors of the Presidential Greatness Project, which conducted the study. The findings involve ratings of vice presidents starting with Franklin D. Roosevelts administration in 1933, the year scholars consider the beginning of the powerful American presidency. Stay Informed On Election News Sign up here to get our Election 2024 newsletter, with local, state and national political news and analysis sent right to your inbox. The professors asked scholars and political experts to rate modern vice presidents in different areas. The study was conducted from November 15 to December 31, 2023. Among the areas rated: Balancing the ticket, policy adviser, maintaining relations with Congress and serving as a surrogate for the president. We asked Vaughn for more details. Below is our interview with him: Q. Donald Trump says Vice President Harris is the worst vice president in the history of our country. Your study has her #11 . Is there any evidence to back up Trumps claim? A. I dont think there is any evidence to back up the idea that Harris is the worst ever, but it is equally hard to make the argument that she has been an impactful vice president. Prior to this summer, there is little to suggest that she played a major role in the administration or that she had a strong role in President Bidens decision making, as opposed to the role Biden played in the Obama White House, for example. Harriss vice presidency had some mild chaos with turnover and occasional negative media coverage and she didnt perform with aplomb on the signature issue she was handed (immigration), but at the same time there havent been the disastrous performances we associate with other, more infamous vice presidents. Since Harris replaced Biden at the top of the ticket, theres been a bit of revisionist history taking place on both sides, but for the most part I think an objective read of the Harris vice presidency was that she wasnt given a large role and didnt do much with the role she was given, at least not until this summer. Q. Could you break down how you arrived at rating Harris #11? A. The ranking is based on expert ratings. We surveyed over 150 scholar experts in the American presidency in November-December 2023, and one of the things they did was rate each modern vice president on a scale of 0-100 for their overall greatness. The ranking is based on the average rating for each vice president. Harriss average was 46.03, which rated her just under Dick Cheney and just over Nelson Rockefeller and Mike Pence. (Cheney served under President George W. Bush, Rockefeller under President Gerald Ford and Pence under President Donald Trump.) While we dont have individual explanations from the participating scholars for why they rated each vice president the way they did, the story about Harris is relatively clear. She generally wasnt seen as playing a major role in the Biden Administration, the relationship between Harris and Biden specifically didnt appear to be strong, particularly as she seemed to be the subject of various leaks expressing dissatisfaction with her performance, and the one major issue she was assigned immigration was not a whopping success, though there are a range of explanations why. Q. Where does Harris rate as a policy adviser? A. Harris didnt rate terribly well as a policy adviser. In addition to asking our experts to rate the overall greatness of modern vice presidents. We also asked them to rate specific dimensions of a subset of more recent presidents (i.e., contemporary presidents from Walter Mondale through Kamala Harris) on key attributes of the vice presidency, including service as a policy advisor to the president, on a 0-100 scale. Harris came in sixth out of eight, and is grouped together at the bottom with Mike Pence and Dan Quayle, who also were not regarded as important policy voices in their administrations. (Quayle was President George H.W. Bushs vice president). Q. Harris seems to get praise from the experts in your survey as a ticket balancer. Why? Harris offset Biden in just about every important way: age (essentially Gen X vs Boomer/Silent), race & gender (multi-racial woman of color vs white man), ideology (West Coast somewhat progressive vs traditional liberal), and geography (Bay Area California vs East Coast). Q. Why do you only rate post-1933 vice presidents? A. We only asked our participating scholars to rate post-1933 vice presidents, or modern vice presidents as we refer to them, for a couple of reasons. First, the institution of the presidency fundamentally changed in 1933 with FDR; that has become a natural cut-off point when scholars explain the institution today. Second, and more pragmatically, the further back we ask people to evaluate vice presidents, especially if we were to go back into the 19th Century, youd have a situation where a lot of scholars just dont know a lot about individual figures and the opinions would be less meaningful. Q. This goes beyond the study, but is there anything about a persons performance or behavior as vice president that tells us what sort of president they could be? A. In general, the characteristics a person demonstrates throughout their career are the ones they demonstrate as president. So if a vice president isnt a good manager or a good communicator, they arent going to become one as president when the task is even more significant. On the other hand, if they have good relations with members of Congress or a knack for policy as VP, they probably will further showcase those skills as POTUS. Q. Why is Spiro Agnew last? A. Agnews lack of contributions to the Nixon Administration were overshadowed by his divisive political style and, especially, his personal scandals and legal troubles, which led to him having to resign from the administration. (Agnew, President Richard Nixons first vice president, resigned in 1973 after pleading no contest to a single charge of federal tax evasion.) Q. Why is Al Gore first? We dont have individual explanations for why our experts rated Gore as highly as they did, but the fact that he was a strong partner with Bill Clinton and that he handled a relatively high-profile policy assignment (reinventing Government/streamlining bureaucracy) well and served as a skillful messenger for the administration without creating any scandals is a major reason why he is looked at as a successful contemporary vice president. CEDAR CITY, Utah (ABC4) A driver was arrested in Iron County on Thursday after allegedly passing a Utah Highway Patrol trooper at speeds well over 100 mph, then continuing to flee as the trooper pursued. Kevin Douglas Robinson, 60, was arrested on charges of reckless driving (class B misdemeanor) and failure to stop at command of police (third-degree felony). A UHP trooper was on patrol on Southbound I-15 at mile marker 99 when he was initiating a traffic stop on a speeding vehicle. The trooper was reportedly driving 100 mph with his lights on when another vehicle passed him on his right. Police seize 90 pounds of marijuana in Emery County traffic stop The trooper turned on his siren and began following the vehicle that passed on the right, clocking it at speeds up to 114 mph, according to a probable cause affidavit. The driver later identified as Robinson fled, and a few miles down the road, used the right shoulder of the freeway to pass a dump truck. Robinson reportedly fled at high speeds, and at mile marker 95, nearly came to a stop before speeding up and continuing to flee. At Exit 82, Robinson pulled over and stopped. He then fled once more before finally stopping just past the bridge on I-15, according to the affidavit. He was taken into custody at that location. Robinson was booked into Iron County Jail on the charges previously stated. No further information is available at this time. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. Drug dealing squatter took over owners NYC apartment after she died from OD, continued to peddle narcotics: cops Drug dealing squatter took over owners NYC apartment after she died from OD, continued to peddle narcotics: cops An alleged drug dealer took over a Queens apartment after the owner died of an overdose then squatted in the space for months while peddling narcotics from it, according to neighbors and law enforcement. Joseph Pisano, 53, allegedly finagled his way into the Jamaica Estates home of Anneliese King, a retired former administrative assistant, after the pair met at a senior center near her building, Kings neighbors and landlord told The Post. King, 64, who a neighbor and ex-boyfriend described as a longtime heroin addict, let Pisano into her fifth-floor one-bedroom unit on Ava Place where she paid $1,451.40 a month, according to Queens housing court records and residents, who said the alleged dope seller was seen around the builder using a walker. Joseph Pisano allegedly squatted in an apartment after the tenant died from a drug overdose and peddled drugs from it. Obtained by The New York Post [Pisano] took advantage of her, one neighbor, 53, told The Post. Some people have a way of recognizing weakness. He recognized she was weak. Drug sales went down in the dead of night, the neighbor said, adding that addicts would mistakenly ring her bell looking for Pisano in Kings apartment in the six-story, 52-unit building. The audacity of this man . . . to have [his alleged drug dealing] so visible and putting all our lives in jeopardy, the neighbor fumed. King was found dead May 20 in her apartment. She had overdosed on heroin and fentanyl, according to a medical examiners report obtained by The Post. Hours after authorities removed Kings body, Pisano returned to the apartment with another woman and continued occupying the unit, according to Queens Housing Court records. Pisano allegedly met the legal tenant, Anneliese King, at a senior center near her apartment building. J.C. Rice She died and they went on with their business, said Robert Miller, an attorney for landlord Herb Donner. Pisano and the woman lived in Kings apartment for more than two months rent-free, the frustrated landlord alleged in the housing court suit. The quiet, middle-class building further devolved into a hotbed of drug use and sales following Kings death, shattering many residents sense of security and peace in the otherwise posh neighborhood. Over the past few weeks, squatters have moved into our building on the 5th floor (apt 5B) and are attracting individuals who appear to be drug users. These individuals are engaging in drug transactions right on the sidewalk in front of our building on a daily basis, one outraged neighbor wrote in a June 28 letter to the buildings management, a copy of which was obtained by The Post. We no longer feel safe in our own home and are increasingly worried about our safety and property, they added. An NYPD spokesperson said there were no drug-related 911 calls for the building this year. Pisano and another woman squatted in the fifth-floor unit for two months after King died. J.C. Rice The NYPD was initially reluctant to do anything, according to housing court records, despite new state laws passed earlier this year intended to let cops intervene more easily in squatter situations. Donner issued an eviction notice in June against Pisano and his companion before asking a Queens Housing Court judge to boot the unlawful residents, housing court records show Pisano was finally busted July 31 during a 6 a.m. raid, where cops found a scale covered in cocaine residue on the dining room table, along with 32 vials of coke, 21 glassine envelopes containing heroin, a ledger containing names and cash amounts and other paraphernalia, according to a criminal complaint. A woman named Kelly King was also arrested and indicted on a slew of drug charges, including possession and intent to sell. Its unclear if King, 52, has any relation to the dead tenant. Cops found heroin, cocaine and a slew drug paraphernalia in the apartment. J.C. Rice Video surveillance obtained by The Post shows Pisano slowly being shepherded out the door as he used his walker, while King was led away in handcuffs. Pisano pleaded guilty on Aug. 20 to criminal possession of a controlled substance and was sentenced to nine months in Rikers, according to Queens District Attorney Melinda Katzs office. King was sentenced to nine months probation after pleading guilty to second-degree criminal use of drug paraphernalia, officials said. The apartment, meanwhile, remains empty and bolted shut, with Donner waiting for a housing court judge to grant him an eviction warrant to allow him to legally re-enter the space. The bright white feathers of a pair of Pekin ducks ruffled in the summer breeze as they snoozed on concrete steps leading into the Chicago River at Ping Tom Memorial Park. The birds were unfazed by the whistling trains, the rumbling traffic of the city and the nearby gaggles of Canada geese and wild mallards. As former pets, humans didnt disturb them either. That is until a woman from a bird rescue group tried to net them. When the ducks quickly dispersed, she took out a walkie-talkie and called for reinforcements. In moments, a volunteer whizzed by in a kayak, ready to catch the waterfowl with his bare hands as he expertly maneuvered the vessel. Chicago Bird Collision Monitors rescues thousands of birds annually, said the organizations director, Annette Prince. Over a hundred of those rescue calls involve former pet ducks such as the Pekins, who had been at the park in Chinatown for a few months. They are left to fend for themselves but experts say its often a death sentence. Theres no official data on how often this happens, but according to an estimate by an anthrozoologist in a 2023 National Geographic report, tens of thousands of domestic ducks are dumped each year throughout the United States. This is a true education problem, said Adrienne Eyer, a volunteer with the bird monitors project. Domestic ducks in the wild become easy targets for predators; they are literally sitting ducks. Being bred and reared for the meat industry means most domestic ducks cant fly because their bodies are oversized and their wings are too small. And Chicago has no shortage of possible predators, including coyotes, foxes, raccoons, hawks and great horned owls. As pets, ducks also learn to depend on humans for food so they arent prepared to forage. Every spring, families purchase chicks, rabbits and ducklings from local feed sellers and pet stores to include the cute animals in their Easter baskets and seasonal pictures. After a few months, the novelty often wears off. As temperatures drop, owners realize they cant keep a growing duck in their backyard or inside their home and some mistakenly believe the winged animal will be able to survive in the wild. Instead, they are effectively putting it in harms way, which is also illegal. They are not expendable party favors, theyre living things you should only plan on getting if youre planning on being a responsible owner, Prince said. Needlessly abandoned The bird collision volunteer network tries to respond to all calls in the Chicago area about domestic ducks, but Prince said the efforts divert precious energy from saving birds injured on the countrys largest migratory route the Mississippi Flyway to birds needlessly abandoned. Other domestic breeds frequently dumped in ponds and nature areas around Chicago include Swedish Blue and Indian Runner ducks. She said pet ducks, like any other pets, represent a commitment for as long as they live, which can be eight to more than 10 years in captivity. But their life expectancy can be easily halved in the wild, and experts say ducks likely experience traumatizing emotions from abandonment. During a Humboldt Park rescue, Eyer said she was approached by a family who told her they had watched a crying and clearly distraught woman dump a pair of ducks earlier that day. Domestic ducks are kind of like dogs or cats, she told the Tribune. Theyre very people-oriented, they have big personalities, they very endearing and very charming. Duck dumping is illegal in Illinois under the section for cruel treatment in the states Humane Care for Animals Act: No owner may abandon any animal where it may become a public charge or may suffer injury, hunger or exposure. Violating this state law is a criminal offense considered a Class A misdemeanor the most severe of its kind, which also includes theft, criminal trespass, battery and aggravated assault. Such offenses can be punished by up to a year in jail, a fine of up to $2,500 and mandatory court assessments. Chris Anchor, a senior wildlife biologist at the Forest Preserves of Cook County, said visitors dump all kinds of pets in their natural areas, including ducks but also cats, dogs, parakeets, exotic snakes and gerbils. But as the countys 22 nature preserves span tens of thousands of acres, rarely does the staff get to the abandoned pets in time to give them a second chance.Many times, we find out when we find an animal that has died, Anchor said. Sometimes, a singular pile of feathers will be how staff discovers a duck was left behind and became prey. The vast majority of pet owners doing the dumping, he said, likely do so because they had no idea what they were getting into in the first place and then it became too much of a burden or too messy to keep the animal. Besides violating state law, abandoning animals in the forest preserves of Cook County is punishable per the district code by a fine of $75 to $500. The Chicago Park District, which owns some of the city parks where volunteers like Prince and Eyer have rescued ducks, said in an emailed statement that it discourages the disposal of unwanted pets for public safety reasons and the health of the animals and habitats. Our park-based ponds are teeming with wildlife. However, we are unaware of any pet ducks being left behind at ponds, the statement said. Unknown disposal of pets in our parks and facilities is a very rare occurrence. The Park District also warned the public not to engage wildlife without proper permission and precautions and suggested that people contact Chicago Animal Care and Control at 311, to report an injured or domestic duck. An education problem Advocates and experts say education should start from the beginning of the supply chain. You can have your Instagram moment, your big, grand gesture, your perfect little Easter, without affecting the welfare of these animals, Eyer said. Ducks can be purchased from feed stores for $5 to $20 each but generally must be bought at least two at a time because they require company. Little information is passed on to potential owners about their responsibilities. On the other hand, the process of getting a dog or cat can be expensive, and adopting one can also require a background check. Ten years ago, Grayslake resident Kerri Gburek started taking stray chickens and ducks home from her job as a veterinary technician. Her passion has grown into a small business the Chicken Eggspert educating potential owners with home consultations and classes at the Lake County Farm Bureau, offering expertise in village board meetings in municipalities passing poultry ordinances, and renting out chicks and ducks around the Easter holiday so families can take photos and interact with the birds without having to commit. She also offers day or weeklong hatching projects for school classrooms and families at home. I try to offer a solution so that those folks who maybe arent as educated about the husbandry requirements arent going out and purchasing them, she said. At a 5-acre farm a few miles west in Lakemoor, Gburek has 180 chickens and ducks, including adults and younger ones. From the end of February to the end of June every year, she will hatch 1,200 to 1,500, most of which she sells to families she mentors through the ownership process or supplies to petting zoos. Her business has taken her across suburbs and cities in Cook, Lake, McHenry, DuPage and Kane counties, even up to Kenosha and Walworth counties in Wisconsin. I wouldnt give it up for the world, educating people and allowing the kids to have the experience. It teaches them how to care for something and (take) responsibility, she said. And I try to be very transparent and upfront with people and let them know what theyre getting into. You know, raising them is kind of a messy commitment. Theres a lot of bedding and spilled water and poop involved. Team efforts Eyer got involved in rescues earlier this summer after she saw a domestic duck with a flock of mallards at Columbus Park on the citys West Side, near her Oak Park home. After a sleepless night, she returned the next morning with her daughter, ready to spend all day building trust with the bird. Luckily, it took less than an hour but still required the help of a nearby birder. A wildlife biologist and trained animal handler, Eyer has been volunteering to save ducks from local nature areas ever since. She recalled a rescue in early August that lasted seven hours. When Eyer got to Riis Park, she found a female Pekin duck commingling with a flock of mallards, and a bonded pair a male Pekin and a female Swedish Blue, later named Whitey and Bluey that were keeping to themselves. It took the combined efforts of her husband, a family passing by and a fisherman to finally corral the ducks just before sunset. They were only able to capture the last one after catching its partner. The two of them had evaded us for hours at this point, she said, and within seconds of going into the crate, with all the noise the duck was making inside the crate, the other one just came walking out of the bushes and gave himself up. He was like, OK, I surrender. It was happy and sad all at the same time. Princes recent rescue at Ping Tom Park took roughly half an hour a quick turnaround for an often complicated operation. She had invaluable help saving the ducks later named Ping and Tom from the volunteer on the water. A resident of the village of Wayne 40 miles west of Chicago, Jim Tibensky began kayak racing in 1967 and for 20 years has been volunteering for waterfowl rescues with the Chicago Bird Collision Monitors. Earlier that morning, Prince and Tibensky had rescued a Canada goose in Glenview whose leg had been injured with a fishing line. After being radioed in, Tibensky chased the ducks to the riverbank opposite the park wings furiously fluttering but never taking flight and shepherded them one by one toward Prince. So you see that they can get away, but they cant fly away, so its ultimately wearing them down, she said as she put one of the ducks in a canvas carrier and picked off white feathers stuck to her hands. Even if they cant fly, waterfowl can swim and go underwater to escape predators and threats. But its not a sustainable survival strategy in Chicago when water freezes in the winter. When theres partial ice, we never want them to dive under, because they then drown, Prince said. The bitter cold and icy ponds can not only be dangerous for the birds but also for rescuers, so volunteers try to save as many domestic ducks as possible before temperatures drop too much and bodies of water ice over. Our time is running out, Prince said. Their time is running out. adperez@chicagotribune.com PRAGUE, Sept. 14 (Xinhua) -- From a military perspective, Ukrainians should not be restricted in using delivered weapons, the North Atlantic Treaty Organization (NATO) Military Committee Chair Rob Bauer said here on Saturday. Speaking after a meeting of the NATO Military Committee in Prague, Bauer said lifting the restrictions on the use of supplied weapons to Ukraine would be logical from a military point of view. However, he noted that countries providing these weapons to Ukraine have the right to put limits on individual weapons, adding that there is a political discussion on the matter. According to the Czech News Agency, debates on restrictions on the use of weapons supplied to Ukraine are taking place at various levels. Italy has introduced them, while countries such as the Czech Republic, Sweden and the Netherlands do not set any conditions for the use of weapons. Russian President Vladimir Putin warned on Thursday that by providing Ukraine with long-range weapons, Western countries risk involving directly in the Russia-Ukraine conflict. In such circumstances, Russia would be forced to make "appropriate decisions" based on the new threats, Putin was quoted by Russian media as saying. According to Western media reports, Ukraine has been pleading with its Western allies for it to use their missiles, including long-range ones, to fire deep into Russian territory. East Providence residents push back against plans for flood-prone neighborhood EAST PROVIDENCE, R.I. (WPRI) East Providence residents are grappling with a voluntary home buyout program introduced earlier this year. In January, Mayor Bob DaSilva announced plans to revamp a flood-prone neighborhood that includes Abbott, Marsh, and State streets. The initiative is part of a $7 million federal program designed to allow the city to purchase properties, tear them down, and create open public spaces in their place. MORE: How East Providence is trying to transform a flood-prone neighborhood To date, the city has bought 14 homes and five vacant lots, with demolition scheduled to begin at the end of September. Abbott Street resident Miriam Bamba chose not to sell her home, explaining that she moved in only two years ago. I love my house, she told 12 News. Thats why I made it my dream house. Leaving would be heartbreaking. Bamba also raised concerns about safety in the neighborhood with the introduction of open public space and the potential for wildlife. My safety and my familys safety is my concern, Bamba added. 12 News reached out to Mayor DaSilvas office for comment but was told he was unavailable. NEXT: East Providence roadway to close for Washington Bridge work Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Breaking News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WPRI.com. The career and impact Judge Leon Schydlower has had and continues to have on El Paso and the legal community was celebrated at an investiture ceremony for the newest federal judge for the U.S. District Court for the Western District of Texas in El Paso. Schydlower's investiture ceremony was attended by more than 200 people from El Paso and throughout the state of Texas. The investiture ceremony was the formal investing of Schydlower with rank of U.S. District Court Judge. "It was a long process getting here, but I'm so honored to be the newest U.S. district judge in El Paso," Schydlower said after the ceremony. "The best part about that is I'm sitting in the El Paso division, which is my home. I'm an El Pasoan to my core, and I hope I serve in a way that the people of El Paso will be proud of." Attendees applaud during the investiture of federal judge Leon Schydlower at the Albert Armendariz Sr.EFederal Courthouse on Sept. 12, 2024. The ceremony was held Thursday, Sept. 12, at the Albert Armendariz Sr. Federal Courthouse in Downtown El Paso. He was officially sworn in as U.S. District Court judge March 23. The prominent attendees included Western District of Texas Chief U.S. District Judge Alia Moses, all of the federal judges in El Paso, judges throughout the Western District of Texas, El Paso County Judge Ricardo Samaniego, former El Paso Mayor Dee Margo, El Paso state Judge William E. Moody and El Paso attorneys Sergio Saldivar, Joe Spencer, Felix Valenzuela and Leonard Morales. "Judge Schydlower was the right selection to be our next U.S. District Court judge," Saldivar said. "He has the experience from his military background, his career as a practitioner and a U.S. magistrate judge. El Paso and the Western District of Texas will benefit greatly from his many years of experience. He is a fair, intelligent and impartial judge who will be a great gatekeeper of our criminal justice system." Judge Leon Schydlower laughs during his investiture ceremony for a federal judgeship for the U.S. District Court for the Western District of Texas in El Paso at the Albert Armendariz Sr. Federal Courthouse on Sept. 12, 2024. Videos from U.S. Sens. Ted Cruz and John Cornyn, who both recommended Schydlower to President Joe Biden to fill the judicial seat, were played during the ceremony. "I was glad to join with Sen. Cornyn in recommending Judge Schydlower to the president for appointment to the Western District of Texas," Cruz said in his video. "I am confident he will serve with the same distinction that he has demonstrated in his many years of service as a magistrate judge, and as a judge advocate in both the Navy and Air Force. Thank you Judge Schydlower for your willingness to serve and to uphold the constitution and rule of law." Schydlower, who had served as a federal magistrate judge since 2015 for the U.S. District Court for the Western District of Texas, was recommended by Cruz and Cornyn, along with U.S. Rep. Veronica Escobar, D-El Paso, to Biden to fill the vacant judicial seat. He was nominated Dec. 19 by Biden. Judge Leon Schydlower wipes a tear as he speaks of the sacrifices his wife made during his career at his investiture ceremony for a federal judgeship for the U.S. District Court for the Western District of Texas in El Paso at the Albert Armendariz Sr.EFederal Courthouse on Sept. 12, 2024. Schydlower received 20-1 votes from the judiciary committee during a Feb. 29 meeting and his nomination was then sent to the full Senate for vote. He was easily confirmed March 22 by the U.S. Senate in a 90-8 vote as a federal district court judge for the U.S. District Court for the Western District of Texas. Schydlower served in the U.S. Navy as an attorney, and continues to serve as a judge and legal educator in the U.S. Air Force, where he holds the rank of lieutenant colonel. He is a graduate of Hanks High School and University of Texas School of Law. Aaron Martinez may be reached at amartinez1@elpasotimes.com or on Twitter @AMartinezEPT. This article originally appeared on El Paso Times: El Pasoans come together to honor newest federal Judge Leon Schydlower Elderly ringing GP for help are giving up on NHS treatment after failing to get through Elderly people are giving up getting help from GPs after struggling to get through on the phone, ministers have been warned. Just half of patients now find it easy to reach their family doctors surgery on the phone, analysis reveals. The Government has vowed to tackle the 8am scramble, which means growing numbers of patients are struggling to get care. But many surgeries are pushing online booking systems, which vulnerable patients are struggling to navigate. Analysis of the nationwide GP survey of 760,000 people shows less than half of people find it easy to contact their local practice by telephone. One in eight unable to speak to anyone at all Trends show that the number dipped to less than half for the first time in 2023, with 49.8 per cent of respondents finding it easy to contact their local practice by telephone. The figure has fallen from 80.8 per cent since 2012. While the methodology has since changed, this years data show an almost identical figure of 49.7 per cent. One in eight patients contacting a GP practice were unable to speak to anyone at all, the latest research shows. The 2024 data show thousands of people who got stuck in an automated system, or gave up after failing to get an answer, or being left in a queue. On Thursday, an independent investigation into the NHS found that the service was failing the elderly at a vital moment. Lord Darzi, a leading academic surgeon, said he was deeply concerned about the treatment of the elderly, saying: After a lifetime of contributing to the NHS, they rightly expected it to be there for them in their hour of need. But the NHS is no longer able to hold up its end of the bargain. During the pandemic, the NHS introduced a system of total triage to reduce the number of patients seen in person. Since then, family doctors have been told that they must respect patients wishes if they want to see a doctor face-to-face. The latest contract for GPs, which came into force in April, says GP practices must allow to book an appointment over the phone or by walking in, if they wish to. However, patients groups said this is frequently ignored, with websites heavily promoting the use of online systems, and receptionists instructing those who walk in that they must book online. Dr Chaand Nagpaul, a practising GP and former chairman of the British Medical Association, said far too many surgeries were forcing patients to use online systems. He said: No one should be forced to use the digital route. There are far too many GP practices that are making it compulsory for patients to use online triage, or in some cases saying that if they cant, they have to dictate details to a receptionist to go through the system. If we have a digital first policy for the NHS, then you make it the default setting, and that excludes people; that is just not right. That goes against the values of the NHS. Older lady says she dreads online booking system Caroline Abrahams, director of charity Age UK, said: We have heard of experiences of older people completely giving up with seeking treatment as they cant get the systems to work for them. There is an increasing risk of digital being the default in too many spaces in society at the moment, and there needs to be careful consideration into how its working in practice and ensuring that it is not the only way to access vital services for older people. Recently, we heard from an older lady who dreads becoming ill as her GP Surgery now has an online booking system for appointments and she doesnt use computers. She told us she feels quite abandoned. We cannot have a system where older people who are offline are locked out of the care they need. Shutting older people out of services if they are not online is a flagrant and grotesque breach of the right of everyone in our country to have equal access to the NHS. It has to stop. Dr Adrian Boyle, president of the Royal College of Emergency Medicine, said: I worry about digital exclusion. This is not just about the elderly, it is about a lot of people, those with mental health problems, those with learning disabilities, many people who are vulnerable in some way. For patients in the early stages of dementia, trying to remember passwords and navigate systems can prove a major barrier. We are increasingly moving to a digital system which is a good thing for the population at large. But this needs a very careful transition. You have to have the capacity for someone to talk to a human. Just 8 per cent of patients at the Your Health Partnership group of practices in the West Midlands said they found it easy to contact their GP by phone. Yet over 90 per cent of patients in the Oxford Central GP described the experience of calling their local practice in this way. Meanwhile, almost three quarters of patients in Holderness GP network said they were unable to get through to a person, either hanging up or requesting a callback via an automated message. Risk of unequal service for patients Rachel Power, the chief executive of the Patients Association, said: Data from a range of resources show the same thing many patients find it difficult to get in touch with their GP practice. The 8am rush to get an appointment seems to have been replaced for many with having to complete an online form to get an appointment. She added: Practices which have moved to exclusively using online appointment booking are not complying with their contract with the NHS and are risking creating an unequal service for their patients. An NHS England spokesman said: GP teams are working incredibly hard to see increasing numbers of patients and a recent survey found nine out of 10 patients said their needs were met at their last appointment, but these findings make clear there is more to do to improve patients satisfaction and experience in accessing primary care services. Practices are contractually obliged to allow patients to walk in, phone or go online to make appointments and if this is not possible local commissioners should support practices to address this, while every GP practice must offer face-to-face as well as telephone and online appointments with around six in 10 appointments taking place in person. A Department of Health and Social Care spokesman said: The NHS is broken and it is unacceptable that so many patients cant easily book appointments with their GP. We are hiring an extra 1,000 GPs into the NHS by cutting red tape, and will modernise the way people book GP appointments so we can end the 8am scramble. This government is committed to fixing the front door to the NHS and we have provided a further 311 million towards GP contract funding in 2024-25. We will ensure GPs have the resources they need to offer patients the highest quality care. Click here to view this content. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Elon Musk's ideas about government efficiency are all wrong, political scientists say Elon Musk is calling for deregulation and spending cuts to make the government more efficient. The billionaire's mindset echoes previous efforts to run the US government like a business. Political science experts said that approach fundamentally misunderstands American federalism. Elon Musk is on a mission to make the US government more efficient, touting deregulation and decreased spending in public appearances as he courts a role in a potential second Trump administration. The billionaire evangelized about efficiency during a live appearance at the All-In podcast Summit in Los Angeles on Monday, suggesting he would draw on his business acumen to slash federal spending if chosen as the head of Trump's would-be government efficiency commission. "We do have an opportunity to do a once-in-a-lifetime deregulation and reduction in the size of government," Musk said at the summit appearance about a potential Trump win. But two political scientists told Business Insider that Musk's desire to run the US government like a private business an approach many private sector professionals have supported for decades isn't as foolproof as the CEO might think. Musk did not respond to a request for comment. Musk has done a 180 in his support for Trump. The billionaire endorsed Hillary Clinton in 2016, but after Trump won, accepted a role on two of the then-president's economic advisory councils. He later departed the administration, citing Trump's environmental policies. But since Musk officially endorsed Trump in July, he's repeatedly posted on X about the need for government efficiency. "The idea that you can run the entire government as a business, it's just not designed that way," said Patricia Crouse, a practitioner in residence of political science and public administration at the University of New Haven. "You have to work around our system of federalism." Trump, a businessman turned politician, based much of his first presidential campaign on the notion that his experience in the private sector would guide his approach to politics. While perhaps misguided, both experts said it's not an entirely unfounded line of thinking. According to the academics, the government is inefficient in many ways, and accusations that federal agencies waste time and money are not always unwarranted. But the push to make the government work more like a business misunderstands a fundamental element of US federalism profit is not the end-all, be-all, political science experts said. "Government is almost always more inefficient than the private sector because their motives are just different," said Christian Grose, a professor of political science at the University of Southern California. Former Vice President Al Gore spearheaded the Reinventing Government initiative, which sought to streamline government efficiency Cynthia Johnson Profit vs. Protection Efforts to privatize parts of the US government date back decades. The modern-day push for a business-like government has its roots in Reaganomics, the economic policy put forth by President Ronald Reagan in 1981, which reduced regulation, slashed government spending, and scaled down the federal workforce. The other side of the aisle took a similar approach in 1993 when then-Vice President Al Gore launched the Reinventing Government initiative, which attempted to streamline government processes and minimize bureaucracy. Former President George W. Bush also had a business background, making his pre-presidency fortune in the Texas oil industry. Once in office, Bush cut regulations with his Faith-Based and Community Initiative, which sought to outsource some social services to faith-based groups. Grose said the pro-business mentality is present in local and state government, too, adding that private sector professionals often run gubernatorial races on such platforms. Political experts said it makes sense that business leaders like Musk would see only inefficiency and wastefulness in the government's operations. "In the private sector, the motive is profit. The less efficient you are, the less profitable you are," Grose said. "But inefficiency in the private sector isn't the same." The government's job isn't to make money, but to regulate and keep people safe. "Sometimes that's not efficient in an economic sense," Grose added. Consider, too, that the government and businesses serve very different stakeholders, Grose said. CEOs have to answer to stockholders and a board of directors the president has to answer to every American in the country. Elon Musk suggested a second Trump presidency would be a "once-in-a-lifetime" change to deregulate and cut spending. The Washington Post The pitfalls of privatized government Crouse said the consequences could be dire if Trump and Musk are able to make massive cuts to federal agencies. "If you decide you want to run the government entirely like a business, you're going to see things like poverty and unemployment increase because it becomes every man for himself," Crouse said. For all of its faults, the federal government has a fail-safe of sorts, thanks, in part, to its massive size, Crouse said. She added that outsourcing critical government services to private companies runs the risk that those services could disappear if the company went bankrupt. "A business isn't really self-correcting, at least not as easily as government is," Crouse said. Crouse said that privatizing the federal government could also lead to increased corruption, pointing to the for-profit prison industry as one example. In 2008, two Pennsylvania judges were convicted of accepting money in return for sending kids to private detention centers to increase occupancy. "Businesses are out to make a profit, so they're going to do what they can to increase profits, and if the consumer gets hurt in the process of doing that, well, that's all a part of business," Crouse said. Beyond the logistical issues associated with a business-like government, Grose said he was skeptical of the sentiment at the heart of Musk's comments earlier this week, casting doubt on whether a second Trump presidency would result in "once-in-a-lifetime" deregulation. While Trump did engage in some deregulation during his first term, Grose said he didn't behave like a traditional business Republican, citing his tariffs and tax cuts, the latter of which helped the national debt surge. "It's not obvious to me that he is a massive budget cutter based on past experience," Grose added. Both Crouse and Grose also expressed hesitation about taking business advice from Musk, pointing to the breakdown of Twitter, now X, after the billionaire bought the site in 2022. Ultimately, however, both said the 235-year-old federalism that governs America simply isn't equipped to handle the pressures faced by private businesses. "My fear is that it would collapse," Crouse said. Read the original article on Business Insider Salva Kiir has been president of South Sudan since independence in 2011 [Getty Images] The government of South Sudan is holding an emergency cabinet meeting hours after it announced that long-delayed national elections would be postponed by two years. The polls were due to take place this December. A 2018 peace deal that ended a civil war allowed president Salva Kiir to remain in charge of a transitional government with his former foe Riek Machar serving as his deputy. South Sudan, the world's youngest country, has not held national elections since independence in 2011. The decision to postpone the vote by another two years has not come as a great surprise. The political leaders of South Sudan have not exactly been racing to get ready for a vote. This has fuelled suspicion that they are clinging onto power in the oil-rich country. President Salva Kiir's office says essential tasks like writing a new constitution have to happen before an election. Officials have also cited logistical and security challenges, which could not be overcome by the end of the year. Those in charge are the same leaders who plunged the country into a five-year civil war. Given the current relative peace and the fact that neighbouring Sudan is being destroyed by conflict, South Sudan's politicians may not come under much international pressure. More BBC stories on South Sudan: [Getty Images/BBC] Go to BBCAfrica.com for more news from the African continent. Follow us on Twitter @BBCAfrica, on Facebook at BBC Africa or on Instagram at bbcafrica BBC Africa podcasts (KRON) Alameda County District Attorney Pamela Price responded on Friday to a letter sent on behalf of her acting employees that accused her of making threats and using mob boss tactics. The letter, which was sent to Prices team and written by a lawyer representing the employees, claims she uses armed private guards in her office and threatened to arrest employees who financially supported the recall effort against her. Price called the language in the letter not only unprecedented and disrespectful but also a racially charged dog whistle. Armed guards in the office According to the letter addressed to Price, the DA hired private security personnel armed with guns. Employees claim this is unprecedented in the Alameda County DAs office, as the Alameda County Sheriffs Office previously provided security. These unverified personal armed guards created an atmosphere of fear in the office, the attorney, Daniel Horowitz, wrote. The letter claims that the sheriffs office banned these guards from the courthouse, causing Price to relocate to 7677 Oakport St. in Oakland. DAs employees claim they are afraid to go to the new location, as there are no sheriffs deputies present. Kamala Harris destroyed San Francisco, Trump claims Employees further claim that gang members and criminals are present at the office. In a response from Prices office on Friday, she called the allegations regarding the armed guards one hundred percent false. She claims the armed staffers at her office are retired law enforcement officers who work for Alameda County, are Peace Officer Standards and Training certified and have passed background checks. Threats to arrest employees who support recall movement The letter states that Price recently issued threats of arrest to members of the district attorneys office who actively raised money to support the recall campaign. On Feb. 16, 2024, Price allegedly sent an email with the subject Election Season Reminder re: Campaign Activities. In it, as part of a Grand Jury report, she claimed that California Government Code 3205 is a criminal offense, the lawyer wrote. The code reads: An employee of a government agency shall not solicit political contributions from an employee of the same or another government agency. In short, the employees are claiming that Price threatened to arrest any of them who raised money for the recall effort against her, citing Section 3205. She added that employees should govern yourselves accordingly. However, in July 2023, a court ruled that the law violates the First Amendment. After this, California Attorney General Rob Bonta sent a formal notice to every district attorney in California telling them not to enforce Section 3205, the letter claims. Prices email allegedly came after this notice, disobeying Bontas order. This threat to make an arrest under a statute ruled unconstitutional is consistent with Pamela Prices history of abuse of power, the letter said. Section 3205 was again cited in an email sent in May by Chief Assistant District Attorney Royl Roberts, the letter claims. In her response to the letter on Friday, Price said it was completely false that she threatened employees. She acknowledged that Section 3205 is no longer enforced and said that has been communicated to her staff. Demands The employees listed three demands for Price at the end of the letter: Stop using armed private security guards at the courthouse and the DAs office Stop threatening job actions against employees who oppose her Retract her assertion that Section 3205 is operative and state that county employees are permitted to solicit funds to support her recall. The group is considering legal options, including state and federal intervention. In her response, Price accused the letter of using racially charged language. Calling DA Pamela Price a mob boss is not only unprecedented and disrespectful but is also a racially charged dog whistle designed to undermine trust in the countys first Black district attorney in the minds of the public. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. RALEIGH, N.C. (WNCN) Enrollment across University of North Carolina System schools is up this school year. North Carolina Central University say the largest increases. The UNC system reported enrollment this year was up 2.2% over the last year and 3.5% since 2022. NCCU reported a 7.7% increase in enrollment between the Fall 2024 and Fall 2023 school year. Fayetteville State Universitys enrollment is up 3.8%. Enrollment at N.C. A&T was also up 3.1%. NC States enrollment increased 3.1% over that time period. UNC-Chapel Hills enrollment increased 0.6%. The UNC System reported campuses added more than 5,400 students this fall statewide. No schools within the system saw a reduction in enrollment. The system says this growth comes after years of focused investment in low tuition, student support programs to encourage retention and graduation, and improvements to financial aid, transfer programs and online learning. Weve worked hard to keep higher education affordable, to make sure students are graduating with great prospects and less debt, said UNC System President Peter Hans in a release. Our universities are focused on delivering a valuable education thats truly accessible for the people of this state, and that message is getting across. David English, the UNC Systems senior vice president for academic affairs reported some of this years growth, resulted from re-enrolling students who had previously stopped out of UNC System schools without a degree. A focused effort to reconnect with those students and offer a pathway to graduation helped boost overall enrollment at several institutions, English said. The UNC System also reported public Historically Black Universities have benefited from changes to policies that allow more out-of-state students at UNC campuses with strong demand and open capacity. Our public HBCUs are tremendous assets for North Carolina, and theyre bringing in talented students from across the country, Hans said. Thats great news for those schools and for the states economy. UNC also states the recent launch of a simpler, more generous scholarship is putting higher education within reach of more North Carolina families. The Next NC Scholarship helps North Carolinians from households making $80,000 or less pursue higher education by covering more than half, if not all, of tuition and fees at any public university. NC College Connect, a new pilot program, will simplify admissions at some universities and encourage more qualified high school graduates to pursue an affordable college degree. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CBS17.com. Pennsylvanias unemployment rate is seeing an all-time low. However, some local businesses continue to face staffing challenges. The Pennsylvania Department of Labor and Industry reports that the states unemployment rate is near a historic low. In July, the rate was at 3.4%, which is the 10th consecutive month that its gone unchanged. According to the department press secretary, Pennsylvanias seasonally adjusted total nonfarm jobs are up 12,200. Although, it ranges with each industry. New nurse liaison program to extend healthcare services for PA veterans But the question is, are these numbers reflecting local businesses? More specifically, the service industry? It gets busy. Lunch rush is busy dinner is busy. We have anywhere between 28 and 32 people on staff. most part time some full time, said Anthony Santoro, co-owner of MP Coney Island. MP Coney Island opened its doors in May and Santoro said that although theyre always looking for help, their employment is decent at the moment. The hot dog/chili restaurant is located at 6360 Sterrettania Road, just off Exit 18 on Interstate 90. Santoro added that they have been very lucky business and employment-wise. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News For people that arent from Erie, who are visiting our city, for them to see a popular gas station and up-and-coming hot dog restaurant, I think is really important, he said. One owner of a local restaurant said seasonal needs can also present staffing challenges as well. I do fine nine months out of the year but those three summer months, you have to carry three or four extra employees not just because of the sales, your people need time off. they have children, they have lives. so we carry extra people to accommodate the employee as well, said Lynn Fogle, owner of Shirleys Fogle said it can be difficult to find employees because its harder to advertise. Over $200K in funding awarded for demolition on former Bel-Aire Hotel The breakfast/lunch shop has attempted more common ways such as Facebook or a sign on the door. However, Fogle explained that once an employee is a part of the team, they typically stay. They do well and they do well because I think we really do care. Were the whole package, she said. Both restaurants are still in the hiring process so if youre looking for a job, thats where you can go. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJET/WFXP/YourErie.com. The European Parliament has had to pay out for failing to protect a whistleblower who was sacked after reporting harassment and fraud by an MEP. It is another embarrassment for the Brussels institution after the Qatargate corruption scandal, which saw Belgian police arrest MEPs and seize 1.5 million (1.3 million) in cash. The EUs General Court ordered the parliament to pay 10,000 (about 8,500) to the victim, who, like the MEP, has not been named. It said: The European Parliament infringed certain protection rules relating to the status as an informant of a parliamentary assistant. Whistleblower rules are supposed to shield people, including parliamentary assistants, if they report wrongdoing. Han ten Broeke steps down as a Dutch MP after 12 years - ROBIN UTRECHT/SIPA US/ALAMY The European Parliament has called for EU-wide whistleblower rules but its own rulebook is weaker than the other EU institutions. The assistant involved in Wednesdays ruling was exposed as a whistleblower by the parliament after reporting an MEP for harassment and fraud. This left him vulnerable to retaliation, the court said. He was transferred to another MEP but fired soon afterwards, before later taking the parliament to court in a legal battle that began in December 2022. The parliament did not comment on whether it would appeal the decision but said it was analysing the ruling. Nick Aiossa, of Transparency International EU, told the EU Observer website: Its a parliament that is not taking its responsibility to protect staff seriously. Every single assistant who has blown the whistle in the past seven years has been fired. And that for us is unacceptable. Perhaps this will inspire the parliament to take things seriously. The court ruling came in the week it emerged that a controversial Dutch MP was being lined up to join the office of the Netherlands EU commissioner. The Dutch government wants Han ten Broeke to be Wopke Hoekstras head of cabinet in the European Commission. Mr ten Broeke stepped down as a centre-Right MP of 12 years standing in 2018 after it emerged he had had an unequal relationship with a party assistant. Unequal relationship At the time, the 25-year-old woman was 19 years younger than the MP, who was 44. She accused him of sexually deviant behaviour but never pressed charges, the Politico website reported. Mr ten Broeke quit after both signed a non-disclosure agreement. He is currently chairman of a Dutch automotive lobby group. An official close to Commissioner Hoekstra said reports of Mr ten Broeke getting the plum job were speculation. After resigning, Mr ten Broeke admitted to a brief sexual relationship with the party employee in 2013 but insisted he did not sexually harass her. He said he would describe it as an unequal relationship. Mr ten Broeke added: Not in the sense of power or authority, but in view of the fact that I was a member of parliament and she was an employee in her mid-20s. If I look back on that now, I should never have allowed myself that. It was not OK, should not have happened and will never happen again. At the time he said the matter went before the integrity committee of his pro-business VVD party and that he and the woman agreed the matter would be left at that. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Emergency responders in the Czech Republic and Poland were grappling with flooding on Saturday while Austria declared some areas disaster zones amid historic rainfall in central Europe and as forecasters warned that worse is ahead. Emergency forces in both countries, along with parts of Austria, have been preparing for severe weather since Friday. Heavy rains in the Czech Republic led the Elbe river to rise, with the Czech weather service reporting up to 170 millimetres of precipitation in the worst affected regions in the previous 24 hours. Authorities have issued the highest flood alert level in around 35 locations, Czech news agency CTK reported. More than 60,000 households have been left without electricity, CTK also reported, citing energy suppliers. The north-western administrative region of Usti nad Labem bordering Germany is worst affected, with more than 20,000 households temporarily affected by power outages due to trees falling on overhead powerlines amid the strong winds. In Prague, preparations for the expected flooding of the Vltava river were in full swing, with flood barriers being erected along the river banks. Shipping traffic has been suspended. A hospital in Brno was evacuating 180 patients to other facilities, the management said. AMbulance services and the fire brigade helped transport patients as the Svratka River continues to rise and water entered the basement where the power supply is located. Meanwhile, the mayor of the Spindleruv Mlyn resort in the Giant Mountains recommended all tourists and visitors leave town as the Elbe river looks set to burst its banks and the access road could be flooded. The highest flood alert level was also in effect at more than 40 other gauging stations nationwide with areas around Jesenik in the Altvater Mountains in the east and Frydlant in northern Bohemia worst affected. The authorities declared an emergency situation in the eastern region of Moravian-Silesia and a flow rate of 800 cubic metres of water per second was expected in Prague during the course of the day. Water levels in rivers in the Czech Republic are expected to rise further over the weekend, meteorologists warned, with the peak expected in Prague on Sunday morning. Poland hit by flooding Across the border in south-western Poland, Krakow is also struggling after the heavy rainfall, and city officials offered people sandbags at 28 locations to protect their homes. Public transport in Krakow was temporarily disrupted after several underpasses in the centre were flooded and trams and buses were temporarily diverted. In Jarnoltowek, near Mikulovice, meteorologists recorded rainfall reaching 161.5 millimetres, leading its mayor to order the evacuation of the village and two further communities along the Zloty Polok river. Some 400 residents of the nearby village of Glucholazy also had to be evacuated. Interior Minister Tomasz Simoniak visited the scene, posting pictures of rescue efforts on X. Some 100 firefighters and 60 police officers had been deployed to the village, he said. "The situation has come to a head in just a few minutes. We really don't have much time," Simoniak warned after a meeting of the crisis management team in Nysa. "The next 24 to 36 hours will be the most difficult." Some of the residents of the village of Morow also had to be evacuated because the Mora river burst its banks there. The fire department responded to a total of 400 calls in the region. Poland's Meteorological Institute expects the rains to continue, with 47 locations already facing the highest alert level. Once-in-a-century floods expected in Austria Austrian emergency authorities declared 12 municipalities to be disaster zones after persistent heavy rainfall. In the Waldviertel region, around 120 kilometres north-west of Vienna, the floods are expected to reach levels generally seen only once in a century. "The coming hours will be the moment of truth for flood protection and a massive test for our emergency services and many of our fellow citizens," said governor of Lower Austria, Johanna Mikl-Leitner. In the Waldviertel region in particular, we expect challenges of historic proportions. The emergency services are preparing to evacuate people to safety as a precaution amid fears the Ottenstein reservoir could overflow. If this were the case, it would cause considerable flooding along the Kamp, a tributary of the Danube. Amid the rainfall, the ground is completely saturated, while the area is also being battered by heavy winds. Parts of eastern and southern Germany have also been battered by heavy rainfall, with the German Weather Service forecasting more storms to come. The level of the Vltava in Prague has risen sharply after extreme rainfall. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. ihova Michaela/CTK/dpa A car stands in the water under a railroad line in a flooded underpass. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. The highest warning level 3 ("danger") was in force at more than 25 gauging stations on Saturday morning. Water levels are expected to rise further over the weekend. Sznapka Petr/CTK/dpa A person with an umbrella looks at the Male River. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. Pancer Vaclav/CTK/dpa NEW YORK, Sept. 13 (Xinhua) -- Apple and Huawei launched their new models almost at once earlier this week, drawing wide discussion about the innovations behind the two tech giants. Huawei launched the world's first commercial tri-fold smartphone, the Mate XT, on Tuesday, with several technological breakthroughs such as a dual-hinge system and screen-bending features. Huawei's new release came just hours after the launch of Apple's iPhone 16 and iPhone 16 Plus, both built for Apple Intelligence. The iPhone 16 lineup's camera system features a 48MP Fusion camera with a 2x Telephoto option, while a new Ultra-Wide camera enables macro photography. The two company's debuts commanded attention and discussions among media reports, experts, and consumers. In an article titled "Apple's China rival Huawei just upstaged the iPhone 16 launch," AOL, an American online service provider company, reported that Apple is used to being the main act in the smartphone world each Fall when the tech giant launches its latest iPhones. "This year, however, one of its top rivals in China has tried to rewrite the script." "It shows how confident Huawei is in taking on Apple in the smartphone game as the Silicon Valley firm faces a tricky period in its most important international market," it said. Jamie MacEwan, senior research analyst at Enders Analysis, told Business Insider that despite the "eye-catching" Mate XT targeting a fairly small niche at the very top of the price range, it is a sign of "the return in force of Huawei." "The close timing of Huawei and Apple's product launches signals the start of a new competitive wave in the Chinese premium market," Amber Liu, a research manager at market research firm Canalys, told CNN. Key areas of competition will include high-end products, software capabilities, and AI deployment, she added. Liu said Huawei's rapid recovery "directly challenges" Apple's performance in China, its second-largest market which accounts for over 20 percent of its global shipments. It also comes after Chinese smartphone makers dominated the top five spots domestically quarterly for the first time, she said, pushing Apple to sixth place. Since releasing its Mate 60 Pro smartphone series last August, Huawei has outpaced Apple in the Chinese market, according to a report from Quartz, an American business news website. In April, Huawei reported a rise in profit for the fourth consecutive quarter, showing resilience against U.S. sanctions. Meanwhile, Apple's iPhone sales fell 19 percent over the same period -- its worst performance in China since the start of the COVID-19 pandemic in 2020, said the report. Apple's share of China's smartphone market also fell year-over-year in the first quarter of 2024 from 19.7 percent to 15.7 percent. According to the report, the company has had to cut prices on some iPhone models in the Chinese market as competition grows with Huawei and other homegrown smartphone makers. Apple's smartphone shipments in China fell by 6.7 percent in the second quarter of this year, according to data from Canalys. As Washington barred companies like Google from providing Huawei with its Android OS, some analysts projected the ban would cripple the Chinese tech giant's smartphone ambitions and competitiveness. "Now, the company (Huawei) is once again making its way back to the top. It's also venturing into new businesses," said a CNN report. Apple's new iPhone 16 failed to excite investors with long-expected artificial intelligence (AI) features still in test mode even as an industry-first tri-fold phone from Huawei raised the stakes in a battle to dominate the global smartphone market, said a Reuters report. The rise of foldable smartphones reflects a growing consumer demand for more flexible and innovative form factors, said analysts. In the coming years, foldable smartphones are likely to become more mainstream, potentially reducing the cost barrier and driving higher adoption rates across global markets. "As screen technology advances and consumer expectations evolve, devices like the Mate XT showcase the future of mobile design -- where functionality and aesthetics converge," said a report on technology.org, a science and technology news website. AI integration is another key trend shaping the future of mobile technology. From image editing to real-time translations, AI is becoming a core differentiator in smartphones. "The Mate XT's advanced AI features illustrate the growing importance of artificial intelligence in everyday devices, both for enhancing user experience and also for transforming how we interact with technology," said the tech news website. Emergency responders in the Czech Republic and Poland were grappling with flooding on Saturday while Austria declared some areas disaster zones amid historic rainfall in central Europe and as forecasters warned that worse is ahead. The first villages were evacuated in the Czech Republic and Poland, and communities in Austria have been declared disaster areas. In Romania, at least four people died in the floods after heavy rainfall. Emergency forces in the Czech Republic and Poland, along with parts of Austria, have been preparing for severe weather since Friday. Heavy rains in the Czech Republic led the Elbe river to rise, with the Czech weather service reporting up to 170 millimetres of precipitation in the worst affected regions in the previous 24 hours. Authorities have issued the highest flood alert level in around 35 locations, Czech news agency CTK reported. More than 60,000 households have been left without electricity, CTK also reported, citing energy suppliers. The north-western administrative region of Usti nad Labem bordering Germany is worst affected, with more than 20,000 households temporarily affected by power outages due to trees falling on overhead powerlines amid the strong winds. In Prague, preparations for the expected flooding of the Vltava river were in full swing, with flood barriers being erected along the river banks. Shipping traffic has been suspended. A hospital in Brno was evacuating 180 patients to other facilities, the management said. Ambulance services and the fire brigade helped transport patients as the Svratka River continues to rise and water entered the basement where the power supply is located. Meanwhile, the mayor of the Spindleruv Mlyn resort in the Giant Mountains recommended all tourists and visitors leave town as the Elbe river looks set to burst its banks and the access road could be flooded. The highest flood alert level was also in effect at more than 40 other gauging stations nationwide with areas around Jesenik in the Altvater Mountains in the east and Frydlant in northern Bohemia worst affected. The authorities declared an emergency situation in the eastern region of Moravian-Silesia and a flow rate of 800 cubic metres of water per second was expected in Prague during the course of the day. Water levels in rivers in the Czech Republic are expected to rise further over the weekend, meteorologists warned, with the peak expected in Prague on Sunday morning. Poland hit by flooding Across the border in south-western Poland, Krakow is also struggling after the heavy rainfall, and city officials offered people sandbags at 28 locations to protect their homes. Public transport in Krakow was temporarily disrupted after several underpasses in the centre were flooded and trams and buses were temporarily diverted. In Jarnoltowek, near Mikulovice, meteorologists recorded rainfall reaching 161.5 millimetres, leading its mayor to order the evacuation of the village and two further communities along the Zloty Polok river. Some 400 residents of the nearby village of Glucholazy also had to be evacuated. Interior Minister Tomasz Simoniak visited the scene, posting pictures of rescue efforts on X. Some 100 firefighters and 60 police officers had been deployed to the village, he said. "The situation has come to a head in just a few minutes. We really don't have much time," Simoniak warned after a meeting of the crisis management team in Nysa. "The next 24 to 36 hours will be the most difficult." Some of the residents of the village of Morow also had to be evacuated because the Mora river burst its banks there. The fire department responded to a total of 400 calls in the region. Since Friday morning, more rain has fallen in south-west Poland than during the so-called millennium flood of 1997, and the alert level has been exceeded at 47 gauging stations across the country. Prime Minister Donald Tusk appealed to citizens in the evening to get to safety in good time. Once-in-a-century floods expected in Austria Austrian emergency authorities declared 12 municipalities to be disaster zones after persistent heavy rainfall. In the Waldviertel region, around 120 kilometres north-west of Vienna, the floods are expected to reach levels generally seen only once in a century. "The coming hours will be the moment of truth for flood protection and a massive test for our emergency services and many of our fellow citizens," said governor of Lower Austria, Johanna Mikl-Leitner. In the Waldviertel region in particular, we expect challenges of historic proportions. The emergency services are preparing to evacuate people to safety as a precaution amid fears the Ottenstein reservoir could overflow. If this were the case, it would cause considerable flooding along the Kamp, a tributary of the Danube. Amid the rainfall, the ground is completely saturated, while the area is also being battered by heavy winds. Parts of eastern and southern Germany have also been battered by heavy rainfall, with the German Weather Service forecasting more storms to come. The level of the Vltava in Prague has risen sharply after extreme rainfall. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. ihova Michaela/CTK/dpa A car stands in the water under a railroad line in a flooded underpass. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. The highest warning level 3 ("danger") was in force at more than 25 gauging stations on Saturday morning. Water levels are expected to rise further over the weekend. Sznapka Petr/CTK/dpa A person with an umbrella looks at the Male River. Heavy continuous rain has led to flood alerts on many rivers and streams in the Czech Republic. Pancer Vaclav/CTK/dpa DAKOTA CITY, Neb. (KCAU) In the second day of the Jason Jones quadruple homicide trial, jurors saw the details of the crime scene up close as investigators testified. Day two of the trial began with a family member of the three Twifords who were killed. The family member said Gene Twiford had some cognitive issues, but was a friendly man. Sioux City mental health counselor charged with allegedly fraudulently billing insurance, Medicaid Nebraska State Patrol investigators then took the witness stand for several hours. State prosecutors introduced a series of crime scene photos, as well as video taken from inside and around the home of Michele Ebeling, the fourth of the victims Jones is accused of killing. State patrol investigator Tim Doggett was asked to identify exhibits and explain to the jury what they were seeing. Doggett made mention of items, including shell casings and a gas can, as well as the location and condition of Ebelings body. This is Ms. Ebeling rolled on to her left side, showing more of her burnt clothing and her blood on her backside shirt, Doggett said then. Fellow investigator Jeremy Hampton also spent considerable time on the witness stand, detailing information gained through ballistics as it relates to Ebeling. Milford man dies of injuries from motorcycle crash, police say Upon initial observation, I observed several areas of her body with varying degrees of burns, Hampton said. I observed what appeared to be a bullet hole in her forehead and one in the left chest area by the collarbone. Her clothes had burn marks on some of them and had been burned off. Hampton told jurors that based on gunpowder burns, the gun used in Michele Ebelings murder was approximately two feet away from the victim when it was fired. Testimony is scheduled to resume Monday at 9 a.m. in the Dakota County Courthouse. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to SiouxlandProud | Sioux City, IA | News, Weather, and Sports. Ex-Hochul, Cuomo aide accused of being Chinese spy went on White House tour while under fed investigation Its Ms. Sun goes to Washington. The alleged Chinese spy who infiltrated the New York governors office was able to get into the White House while under federal investigation, The Post has learned. Linda Suns tour of the Executive Mansion came just two months before the FBI raided her gaudy $3.5 million Long Island home as they wrapped up their case into her alleged work for Beijing. The May 8 visit was facilitated by Suns former boss Queens Rep. Grace Meng (D-NY), the congresswomans office confirmed Friday. Sun a former high-ranking aide for Gov. Kathy Hochul and former Gov. Andrew Cuomo gushed about the jaunt to Washington DC in an emoji-filled Facebook post several days later. Linda Sun and her husband Chris Hu were indicted in Brooklyn federal court earlier this month. Paul Martinka Tour of the White House [check emoji], See our favorite Congresswoman [check emoji], Tour of the Capitol with our favorite Congresswoman [check emoji], Sun wrote in the May 10, 2024 missive, obtained by The Post. Her trip to the nations capital also included one other notable stop a visit to the International Spy museum, the post said. One of the photos included in the post shows a card from the museum labeled undercover mission. But at the time of the visit, Suns purported cover was about to be blown. A source confirmed that the former political operative was actively under investigation at the time. A Facebook post by Linda Sun says she and her family visited the International Spy Museum on a trip to D.C. in May. Obtained by NY Post Last week, Sun, 41, and her husband, Christopher Hu, 40, who also is listed on White House visitor logs, were slapped with sprawling charges of violating and conspiring to violate the Foreign Agents Registration Act, visa fraud, alien smuggling and money laundering conspiracy. They have pleaded not guilty. Brooklyn federal prosecutors allege Sun used her position under Hochul and Cuomo to turn the governors office into a virtual mouthpiece for the Peoples Republic of China and Chinese Community Party. In exchange, she allegedly received gifts and millions of dollars in bribes which she and her hubby used to buy real estate, including their Manhasset mansion, and luxury cars like a 2024 Ferrari Roma. Sun joined the Cuomo administration, working as a liaison to Queens and the Asian American community, after a stint as Mengs chief of staff when the pol was first elected to the state Assembly in 2009. But the two apparently were in still in touch recently a spokesperson for the congresswoman said her office helped facilitate the White House tour, which is how such visits are commonly organized. Meng did not give Sun and her family a personal tour of the US Capitol, but the two did take a photo on the steps outside the House chamber, the rep noted. Obviously, nobody knew anything about what she is now accused of doing which continues to be deeply troubling and shocking, Mengs spokesperson wrote to The Post in a statement. If anything was known, her request would have clearly not been considered. The tour does not include the Oval Office, West Wing, interaction with officials or anything along those lines, the statement said. A spokesperson for the White House said the visit consisted of the standard tour given to members of the public White House visitors on such tours generally do not meet with officials though they do access sensitive areas that host official functions just one floor beneath the presidents bedroom. White House tours are museum visits that consist of a walking tour through historic rooms and do not include access to White House offices, personnel, or non-public information, a White House spokesperson said. Tours are available to any members of the public, through congressional offices, and this was arranged through that standard process, by Rep. Meng. Still, the visits raised eyebrows for intelligence and foreign influence hawks curious of how Sun wouldve gotten through US Secret Service background checks with the active probe into her and her husband. The Biden-Harris administration must crack down on tighter security controls on all federal government buildings, including the White House, to ensure Chinese and Russian operatives do not gain access, Rep. Michael McCaul (R-TX), Chairman of the House Foreign Affairs Committee, told The Post. Michael Lucci, founder of State Armor, a group that advocates on issues involving foreign adversaries and state governments, said he was less concerned about the White House tour itself, and more about how information may or may not have been shared about the investigation into Sun. A spokesperson for Rep. Grace Meng called the indictment of Linda Sun troubling and shocking in a statement. AP It could be the case that shes a target of the investigation and to deny her access on what was possibly just a normal tour might have just thrown up a flag for her that they just didnt want to throw up yet, Lucci said. Lucci also questioned why federal authorities appeared not to have alerted the governors office when investigators first interviewed Sun and informed her about requirements of the Foreign Agents Registration Act in 2020. There needs to be better communication, Michael Lucci said of coordination between federal authorities and state governments. rfaraino There needs to be better communication, he said. If the FBI agents are telling her about obligations under FARA, in my opinion, they should say something to the governors office. Sun stayed on as a staffer in state government following the interview, bouncing around several other executive branch positions before being promoted to a deputy chief of staff under Hochul soon after the gov took office in 2021. The damning 64-page indictment laid out how Sun and her husband received lavish gifts from Chinese officials as she used her position as a mid to upper level staffer in New York government to help advance Chinas agenda. The charges detail how Sun worked to stop state officials from recognizing Taiwan as an independent nation and at one point falsified Hochuls signature to help facilitate Chinese nationals entries into the US. Meng, one of New Yorks most prominent Asian American elected officials, took the opportunity to condemn Chinese influence campaigns, but also cautioned against stereotyping. Congresswoman Meng condemns public corruption and firmly opposes any effort by a foreign government to influence or undermine American society and democracy, Mengs spokesperson wrote. For too long, Asian Americans have often been viewed as not real Americans and continue to have their loyalty questioned. The Congresswoman believes that cases like these must not further fuel those harmful stereotypes and paint the entire Asian American community with one broad brush, the rep said. Additional reporting by Joshua Christenson Ex-teacher accused of sex crimes may be escaping to Yosemite, deputies say Ex-teacher accused of sex crimes may be escaping to Yosemite, deputies say FRESNO COUNTY, Calif. (KSEE/KGPE) A former elementary school teacher facing charges of lewd and lascivious acts with a child could be escaping to Yosemite National Park after missing his court date in Santa Barbara County, according to the Fresno County Sheriffs Office. Deputies say they are looking for 54-year-old Steven Carl Schapansky, who was reported missing in Fresno on Wednesday. Schapnasky was due in a Santa Barbara County courtroom on Friday to face the two felony counts of lewd and lascivious acts with a child under 14 and 70 misdemeanor counts of electronic peeping, but he did not show up. 54-year-old Steven Carl Schapansky (image courtesy of the Fresno County Sheriffs Office) According to YourCentralValley.coms sister station KTLA, 54-year-old Steven Carl Schapansky was accused of recording minors undressing, including students at the school he taught at: Santa Barbara Charter School. Schapansky had taught fifth and sixth grade at the charter school. The Fresno County Sheriffs Office says Schapansky was last seen in Fresno on Wednesday riding a 2021 blue Honda Monkey motorcycle with a California license plate of 25H7377. It is believed that he is heading to Yosemite National Park. Man facing sex charges could be escaping to Yosemite, deputies say Schapansky is described as 6 foot 2 inches and 210 pounds. He has blue eyes and blonde hair and was last seen wearing a blue polo shirt, blue shorts and white shoes. Anyone who sees him is asked to contact the Fresno County Sheriffs Office at 559-600-3111. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. Explainer: What is the constitutional amendment, Act 408? LOUISIANA (KTAL/KMSS)To save time at the polls, voters should know the proposed constitutional amendment for the November 5, 2024 presidential election. The Louisiana Secretary of State explained, Constitutional amendments are placed on statewide election dates as determined by the legislature. In November, Louisiana voters will decide if Act 408 becomes an amendment to the state constitution. Do you support an amendment to require that federal revenues received by the state generated from Outer Continental Shelf alternative or renewable energy production be deposited into the Coastal Protection and Restoration Fund? The present constitution notes the State Treasurer must make annual deposits from federal revenues received by the state from Outer Continental Shelf oil and gas activity. According to the American Petroleum Institute 2020 report, in 2019, Louisianas oil and gas industry contributed $73.0 billion to state GDP. However, it also contributes to rising sea levels, increased carbon emissions affecting human and animal health, and land loss. The proposed amendment changes the language and adds other federal revenue to include alternative/renewable energy production sources, such as wind, solar, tidal, wave, and geothermal. Explainer: How accessible are the election polls, and do you need a lift? Louisianas Coastal Protection and Restoration Authority (CPRA) works to protect Louisianas coastal areas through coastal restoration projects, such as: Creating berms to block oil threatening Louisianas coast; monitoring land loss from dredging canals for oil and gas production, which have forced families to relocate; Protect the public and property from hurricane storm surge damage by levee and other improvements; Conserve and restore habitats such as the Atchafalaya Basin, which improves water quality for fish and other wildlife; And deploying resources from the Department of Natural Resources, the Department of Transportation and Development, and other state agencies to fight for the future of Louisianas coast. To see the CPRAs 2029 Coastal Master Plan. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTALnews.com. Explanation finally revealed for viral image of man holding geese that fueled bizarre pet-eating claims in Ohio A viral photo of a man carrying two geese in Ohio fueled Donald Trumps wild, now-debunked conspiracy that Haitian migrants are eating pets in the state but turns out it was a wild goose chase. The Ohio Division of Wildlife told TMZ that the man was picking up the two geese that had been hit by a car in Columbus, which is about 45 minutes from Springfield, where Trump had previously claimed that migrants were chowing down on the birds. In order to collect a carcass, people need documentation from a county sheriff or wildlife officer, the wildlife organization explained, but according to the Franklin County Wildlife Office, this is not required for geese, meaning the man had a right to them. TMZ reported that there is no evidence that the man is Haitian, an immigrant or that he even intended to eat the geese. At a rally in Tucson, Arizona, this week, Trump dragged geese into his narrative. A recording of 911 calls show that residents are reporting that the migrants are walking off with the towns geese, Trump said. Theyre taking the geese. You know where the geese are? In the park, in the lake. And even walking off with their pets. Trump once again offered no evidence to support his claims. The Ohio Division of Wildlife told TMZ that the man was picking up the two geese that had been hit by a car in Columbus, which is about 45 minutes from Springfield (isitmeyourelooking4x/Reddit) The claims about geese come just days after the former president wildly pushed the false narrative about Haitian migrants eating pets as he faced off against Kamala Harris on stage in Philadelphia, Pennsylvania, on Tuesday for their first and likely only presidential debate. Local authorities had already debunked the lies even before Trump peddled the narrative on the debate stage, with Springfield police saying there is no credible evidence to support the allegations. Theyre eating the dogs. Theyre eating the cats. Theyre eating the pets of the people that live there, he said on Tuesday as Harriss expression flitted between shock and amusement. Haitian refugees in Springfield, Ohio say Donald Trumps inflammatory claims earlier this week while debating VP Kamala Harris have helped create a terrifying day-to-day reality for them (AP) Extreme, the vice president quipped in response, as 67 million ABC viewers watched on television. The rumors stemmed from a bizarre anecdote posted by Springfield local Erika Lee on a local Facebook group, where she alleged that a cat owner found her dead pet hanging from the tree ready to be skinned, butchered and eaten in a house said to be occupied by Haitian immigrants. Lee has since told NewsGuard that it was a tale that she heard fourth-hand, coming from a neighbors friends daughter whom she had never met. Extremists keep trying to sabotage the electrical grid. What would happen if they succeeded? (The Hill) Political extremists have attempted a number of attacks on electrical infrastructure and substations in recent years, with a goal of sowing chaos and civil conflict. The plots have repeatedly failed, however, and sociologists say that even if they do succeed, the kind of disasters they seek to create rarely result in members of the population turning on one another though they could prove costly, and deadly. In July, two former Marines, both active in an online neo-Nazi community, were sentenced to prison for a plot in which they stole military equipment from Camp Lejeune as part of an intended attack on a power substation in the Pacific Northwest. Attorney General Merrick Garland said the plotters, Liam Collins and Paul Kryscuk, conspired, prepared, and trained to attack Americas power grid in order to advance their violent white supremacist ideology. The year before, officials said they foiled another, similar plot, this one targeting the grid in Baltimore. The two alleged plotters, Sarah Beth Clendaniel and Brandon Russell, were described by the FBI as racially- or ethnically-motivated extremists, and officials said they targeted Baltimore in large part because of its status as a majority-Black city. Many plots of this kind are specifically motivated by accelerationism, the belief that creating conflict and unrest will hasten a broader societal clash, said Molly Conger, a researcher based in Charlottesville, Va., who covered the Collins-Kryscuk plot on her podcast, Weird Little Guys. What they think will happen is that, if theres a crisis, it will provide cover for violence, but it will also force normal people to engage in violence. And thats not what will happen, Conger said. Instead, she said, All that will happen is old people who need their oxygen machines will die, and it will cost the energy company a billion dollars. Blackouts resulting from other causes, such as natural disasters, have resulted in a number of deaths and costly damage in recent years. Winter Storm Uri, for example, caused widespread outages in Texas for multiple days in 2021 that left many Texans to confront unbearably cold indoor temperatures and posed a particular threat to residents with electronically powered medical equipment. Nearly 250 people died because of the storm and the resulting outages, which also caused tens of billions of dollars in estimated damages. If plots like the above had been enacted successfully, they could potentially have caused even more damage simply because they sought to inflict deliberate sabotage. The theory that sowing this kind of chaos could make the population turn on each other has recurred often in American culture. Charles Manson, for instance, believed the murders committed by his followers would spur Helter Skelter, a race war from which they would emerge to take control. Neo-Nazi James Masons correspondence with Manson acolyte Lynette Squeaky Fromme inspired his book Siege, a central text of accelerationism on the far right. The idea has also been portrayed in fiction: In the classic Twilight Zone episode The Monsters Are Due on Maple Street, a power failure in a quiet suburb sets neighbor against neighbor. In a twist ending, the situation is revealed to be the result of a plot by alien invaders. However, experts say theres evidence that even if extremists successfully caused widespread blackouts, they wouldnt have the desired effect. Its never going to start a race war, but for some reason, they really think it will, Conger said. On the contrary, people affected by disasters frequently cooperate and care for one another to make up for any gaps in institutional infrastructure created by the disaster, a phenomenon sociologists call disaster solidarity. For example, in a study published in the journal Disasters, researchers found that in the months after Hurricanes Irma and Maria in 2017, Puerto Ricans affected by the storms organized around ad hoc leaders to provide mutual aid and determine who needed what and how to distribute it. The researchers also observed what they called counter-intuitive generosity from those who had suffered losses themselves. Theres a fair amount of evidence that theres an early period after a disaster when people are fairly cooperative, lead author Robert Schrauf, a professor of applied linguistics at Pennsylvania State University, told The Hill. They work together to recover [and] that generates a sense of euphoria, a brotherhood, were all in this together, that sort of thing. It becomes its own social capital. Much of that cooperative spirit tends to fade with time and return to the status quo, Schrauf said, but in the case of the hurricanes, it lasted at least three months. Much of that, he added, can be attributed to the fact that residents of the island could not easily leave, giving them few options but to work toward common goals. Plans like those of the extremists, he added, are also unlikely to work because disaster solidarity tends to involve forming a united front against outsiders, even seemingly benevolent ones like doctors who are new to the area. Conger said that the people behind these plots are likely not considering the sociological history of disaster fallout. Instead, theyre motivated by an almost religious conviction: They have faith in this idea of acceleration, like you might have faith in God. Their racist beliefs, she added, also make them more likely to assume the worst of residents of low-income minority communities, a perception she said has been aided by mainstream media coverage of disasters like Hurricane Katrina. The actual evidence doesnt bear that out, there was no widespread like uptick in violent criminal activity among the Black people of New Orleans after Katrina. That didnt actually happen, she said. But at a societal level, the average person probably does believe that the idea that [after a disaster] people are murdering and raping and stealing just because thats what they always wanted to do, but now they have the opportunity to do it. Ultimately, Conger said, the threat isnt that the people behind these plots will provoke the conflict they desire, its that they seek to take advantage of very real vulnerabilities in the grid. Supply chains are inelastic there is no warehouse full of extra transformers. These things are made to order. They take a year to make, she said. And so if someone were to successfully take out a bunch of these large transformers, it could be catastrophic. You only have to shoot up some of them, and this causes a cascading failure across the grid, she added. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Queen City News. A social media account run by Vice President Kamala Harris campaign has been repeatedly deceptive. The @KamalaHQ account, which has more than 1.3 million followers on the X social media platform formerly known as Twitter, has made a habit of misleadingly clipping and inaccurately captioning video clips to attack former President Donald Trump. The Harris campaign deploys @KamalaHQ as a kind of irreverent attack dog, using jocular posts to draw attention to controversial, incorrect, or dubious comments by Trump and his allies. But the account, which the Harris campaign calls its official rapid response page, has itself made inaccurate comments on multiple occasions. Below are eight examples of false or misleading video posts from the account since mid-August, including three from the latter part of this week. All of them have previously been highlighted by an anonymous rebuttal account called @KamalaHQLies, which itself has more than 268,000 followers. Misleadingly describing a Trump comment about his supporters An August 17 post from @KamalaHQ strongly suggested Trump had gotten confused about what state he was in during an event in Wilkes-Barre, Pennsylvania. The post said, Trump: Would that be okay, North Carolina? (He is in Pennsylvania). It included a six-second video clip in which Trump said, while pointing to his left, Would that be okay, North Carolina? I dont think so, right. The Harris campaign was explicit about its intentions in the version of the post it made on the Instagram @KamalaHQ account, saying, Donald Trump is lost and confused. But Trump was not lost or confused. The full video of the rally shows that earlier in the speech, Trump had pointed to the same spot on his left to acknowledge and then speak to a group of ardent supporters from North Carolina, eventually saying, Thank you very much. North Carolina! Later, in the moment shown by @KamalaHQ, he pointed to these supporters again and referred to them as North Carolina. He had not forgotten he was speaking in Pennsylvania. The Harris campaign declined to comment on this @KamalaHQ post. Deceptively clipping and misleadingly describing a Trump comment about immigration On Thursday, the @KamalaHQ account made a new attempt to suggest that Trump was confused about his location. Its post said, Trump: Pennsylvania, remember this when you have to go to vote (He is in Arizona). It included an eight-second clip of Trump saying in a Tucson speech, So Pennsylvania, remember this when you have to go to vote, okay, just remember this: 2,000% increase. This is a small The Instagram post of this remark, too, was more explicit than the X post; on Instagram, the Harris campaign added text over top the video that read, Trump forgets which state he is in (again). But Trump, again, had not forgotten which state he was in. The extended footage shows that the Harris campaign clipped out critical context: Trump was talking about immigration, a key topic in Arizona, and had just read a part of his prepared text about how a small Pennsylvania town has experienced a 2,000% increase in the population of Haitian migrants under Kamala Harris. He then added, So Pennsylvania, remember this when you have to go to vote, okay, just remember this: 2,000% increase, this is a small town; of all a sudden they got thousands of people. One could try to argue its odd for Trump to make a direct appeal to Pennsylvanians while speaking in Arizona. But Trumps remarks anywhere in the country are broadcast to voters everywhere in the country, and, regardless, @KamalaHQ eliminated the context that would allow people to develop an informed opinion on this remark. The Harris campaign declined to comment on this @KamalaHQ post. Deceptively clipping and misleadingly describing a Trump comment about his 2017 Charlottesville remark A Friday post from @KamalaHQ said, Trump says nothing was done wrong in Charlottesville in 2017 when neo-Nazis chanted Jews will not replace us and killed an innocent woman. The post included a 10-second clip of Trump telling reporters at a Friday event in California, like on Project 2025, I have no idea about had nothing to do with me, he didnt correct her, he knew that. Charlottesville nothing was done wrong. But the full video of Trumps California comments shows that the Harris campaign deceptively cut the clip right before Trump made clear he was not claiming that neo-Nazis in Charlottesville did nothing wrong or that the murder of innocent Charlottesville counterprotester Heather Heyer was not wrong. Rather, the full video shows, he was arguing that he did nothing wrong with his very fine people, on both sides comment in 2017 about the events in Charlottesville, which he has repeatedly insisted was not about white nationalists. Specifically, Trump was complaining that a moderator of the presidential debate on Tuesday, David Muir of ABC News, did not challenge how Harris described Trumps 2017 comments. We say Harris debate description of the 2017 comments was fair, but regardless, Trump was not defending murder on Friday. Here is Trumps full Friday remark, in which he invoked various Fox News hosts: I think he (Muir) corrected me 11 times. Of the 11 times, I dont think he had the right to correct me at all. Didnt correct her once. Like on Project 2025, I have no idea about had nothing to do with me, he didnt correct her, he knew that. Charlottesville nothing was done wrong. All you had to do is read my statement one more sentence and you wouldve seen that. Sean Hannity, Laura Ingraham, Jesse (Watters), all of them, they Greg Gutfeld they all took that and they corrected it many times. But they keep coming with the same lies. Defending the @KamalaHQ post, the Harris campaign said in an email: Hes saying he did nothing wrong in relation to him saying very fine people who did what is described in the tweet. There are two problems with this. The post itself did not acknowledge that Trumps nothing wrong comment was about his own previous remark. And while theres a solid case that this 2017 very fine people comment was about some white nationalists, theres no basis for claiming it was about Heyers murderer in particular much less that Trumps nothing wrong comment on Friday was about this murderer. Deceptively clipping and inaccurately quoting a JD Vance quote about veterans health care On Thursday, the @KamalaHQ account posted a nine-second video clip of Sen. JD Vance, Trumps running mate, speaking in an interview. The account wrote: Q: Would you consider privatizing veterans health care? Vance: I think Id consider it. But Vance did not actually say I think Id consider it. A close listen to the clip reveals Vance actually said I think Id consider and And because @KamalaHQ clipped out the critical comments he made after the and, the Harris campaign didnt allow people to immediately learn just what Vance said he would consider. The extended Vance quote shows he said he would consider giving veterans greater flexibility to use private health care but that he does not want to eliminate federal health care provided by the Department of Veterans Affairs (VA). A public-private combination for veterans care was the general approach taken by President Barack Obama and then by Trump; its certainly not without critics, but its much less contentious than the idea of a total privatization. Heres the full Vance quote: I think Id consider and Donald Trump was really good at this, doesnt get enough credit for this particular innovation giving veterans more choice. Right? So lets say youre in a rural hospital. Your closest VA is 120 miles away. Why force a veteran to drive two-and-a-half hours to that VA facility when he can get cheaper and good care right in his backyard? Right? So I do think that we ought to open up choice and optionality for veterans. You know, I think that there is areas where the VA actually works very well, so I wouldnt say get rid of the whole thing. I would say give people more choice, I think youll save money in the process, youll also give veterans a lot more optionality. The Harris campaign defended the @KamalaHQ post. It argued in its email, Vance is suggesting he would consider privatizing VA functions. This is not misleading. Our caption does not say the whole VA health system. But the Harris campaign did not address the captions consider it misquote or explain why it cut the clip before people could hear Vance explain what he would consider. And if the Harris campaign wanted to claim Vance was talking about possibly privatizing certain VA functions, as it said in the email, it could have said that in the post rather than at very least leaving open the impression he was talking about privatizing the whole VA. Vance spokesperson William Martin said the Harris campaign is lying about what Vance said. Martin said Vance personally relied on the VA for years after leaving the Marine Corps, that Vance does not even want to privatize VA functions, and that giving veterans more options to voluntarily choose private care cannot be fairly described as privatizing even parts of the VA. Martin said: In the full exchange, Senator Vance clearly says he would not privatize the Department of Veterans Affairs. Deceptively clipping and falsely describing a Trump quote about penalties for damaging monuments The @KamalaHQ account frequently invokes Project 2025, the Heritage Foundation think tanks right-wing policy proposals for the next Republican administration. Project 2025 is not Trumps initiative, and he has said he disagrees with some of its proposals, but he has extensive ties to the initiative; you can read more here. An August 30 post from @KamalaHQ said, Trump says he plans to bring back laws from 100+ years ago, echoing Project 2025: We dont pass laws like that. They are tough. The post included a seven-second clip of Trump speaking at a rally in Pennsylvania. But the full video of the rally shows Trump was not even talking about Project 2025 or his future plans. Rather, Trump was telling his usual tale about how he supposedly signed a century-old law to give automatic 10-year prison sentences to people who were damaging monuments. Trumps story is false he didnt actually sign any law on monument-damage penalties or impose automatic 10-year sentences but its also false that he was echoing Project 2025 or announcing some plan for a next Trump administration. The Harris campaign defended this @KamalaHQ post by arguing that Trumps entire platform is about embracing tough policy of the past on various subjects. Thats another thin defense. The post made a specific claim about what Trump was supposedly saying in a specific video included in the post, and Trump wasnt actually saying that. Deceptively clipping and misleadingly describing a Trump comment about taxes A September 5 post from @KamalaHQ said, Trump tells his wealthy donors he is going to make his tax handouts for the ultra-wealthy permanent and cut their taxes even more. It included a 13-second clip of Trump saying, The fifth pillar of my plan is to make the Trump tax cuts permanent they are massive tax cuts, biggest ever, permanent and to cut taxes even more. But the full video of the speech shows the @KamalaHQ post cut the video clip right before Trump elaborated on what he meant by cutting taxes even more. The first two policies he mentioned were eliminating taxes on tips and eliminating taxes on Social Security benefits policies that, whatever their merits or flaws, would certainly benefit people who are not wealthy. (So did his 2017 tax cuts, which produced gains on average for people of all income levels although the wealthy gained most.) Also, this was not a speech to wealthy Trump donors alone. It was a speech to the nonpartisan Economic Club of New York, whose members include a broad array of business executives from the area; some attendees were wealthy Trump donors, but others were not. The very next day, the Harris campaign used the @KamalaHQ account to tout her own popularity among chief executives. The Harris campaign defended the post in question in part by noting that wealthy Trump donors were in attendance and in part by saying that one of the biggest policy announcements Trump made in speech was that he plans to try to lower the corporate tax rate from 21% to 15% (a reduction Trump said would be solely for companies that make their product in America). The Harris campaign said that no tax on tips and Social Security were not new. New or not, though, the @KamalaHQ clip clipped out those important words making Trumps comments on tax cuts sound more focused on the wealthy than they were. Cutting out critical words from a Vance comment about unions An August 29 post from @KamalaHQ said, Vance: Democrats want to attack Republicans as being anti-union and sometimes the shoe fits. It included a five-second clip of Vance saying those words. But the full video of Vances speech to the International Association of Fire Fighters union shows that the Harris account clipped out the critical remark Vance made next, arguably in the very same sentence. His full comment: A lot of Democrats want to attack Republicans as being anti-union and sometimes the shoe fits but not me, and not Donald Trump. He went on to outline actions he had taken to support firefighters. The Harris campaign said that this @KamalaHQ post was a direct quote of JD Vance; the campaign said the post is clearly meant to convey that Vance acknowledges Republicans have a history of being anti-union. But even direct quotes can be made misleading if they exclude the contextualizing words that came before or after the quote. If, for example, movie critic Sally Smith wrote, This director has a long history of making great films, but this one is awful, it would be a direct quote if an ad for the new movie said, Smith: This director has a long history of making great films. But that would also be deceptive. Falsely describing a comment from a Trump ally An August 28 post from @KamalaHQ said: Trump operative on Project 2025: If you have principles without power, its meaningless. We must wield power. We must seize power. The post included a 12-second clip of far-right activist and Trump ally Jack Posobiec saying in an interview, Now if you have all power and no principle youre a tyrant. But if you have principles without power, its meaningless, its completely meaningless. You must wield power. You must seize power. But as Posobiec accurately noted in response to the @KamalaHQ post, he never even mentioned Project 2025 in these comments. The words Project 2025 do not appear at all in a transcript of the full interview. The Harris campaign defended the @KamalaHQ post with a confusing stretch claiming Posobiecs comment is part of a larger Trump-Project 2025 agenda to fire civil servants who are not seen as Trump loyalists. Posobiec wasnt talking here about firing civil servants, and, regardless, the post made a specific claim that Posobiec was talking about Project 2025, which he was not. For more CNN news and newsletters create an account at CNN.com Fact Check: No, Fisher-Price Doesn't Sell a 'My First Dealer Kit' for Children Claim: An image shared on social media in 2024 authentically showed a Fisher-Price "My First Dealer Kit" for children. Rating: Rating: Fake In early September 2024, social media users resurfaced a claim that toy company Fisher-Price was selling a "My First Dealer Kit." Items resembling a gray hoodie, firearm, cash and marijuana were included in the package. One Facebook user who shared an image of the purported kit wrote: "'My first dealer kit' by Fisher-Price Get em before they gone lol n yes they are real hahah." Similar posts also appeared in August on X, YouTube, Threads and 9GAG. https://www.facebook.com/photo/?fbid=857070469899566&set=a.133057992300821 Though the origin of the image was unclear, several indications such as some words being misspelled and the inconsistency of Fisher-Price's branding suggested it was made using generative artificial intelligence software. For this reason, we rated this claim and the image as "Fake." For example, the box read "Fisher Picce" instead of "Price" and "KT" instead of "KIT." Lettering and logos across the box were also misshapen or filled with nonsensical words and figures, which further suggested the image was created using AI software. (Snopes compilation) Online AI detection program Hive Moderation determined the post was 99.8% "likely to contain AI-generated or deepfake content." (Hive Moderation) Mattel, the parent company of Fisher-Price, did not include such a product on its online inventory list as of this writing. We asked Mattel for comment and will update this article if we receive a response. Snopes has previously looked into other fake children's products, such as the "My First Vape" toy, a "Happy Hour Playset," the "My First Hookah" and a Fisher-Price "My First Seance" kit. Sources: Evon, Dan. "Is 'My First Hookah' a Real Toy?" Snopes, 16 Dec. 2021, https://www.snopes.com//fact-check/is-my-first-hookah-a-real-toy/. Hive Moderation. https://hivemoderation.com/ai-generated-content-detection. Accessed 3 Sept. 2024. LaCapria, Kim. "Fisher Price Happy Hour Playset." Snopes, 7 Dec. 2016, https://www.snopes.com//fact-check/fisher-price-happy-hour-playset/. MacGuill, Dan. "Is the 'My First Vape' Children's Toy Real?" Snopes, 11 Sept. 2017, https://www.snopes.com//fact-check/my-first-vape-toy/. "Search: 0 Results Found for '"My First de Fer Kit."'" Mattel Shop, https://shop.mattel.com/search?q=%22my+first+de+fer+kit%22. Accessed 3 Sept. 2024. Threads. https://www.threads.net/@official5starfranchise/post/C-s64o3y2Oo. Accessed 3 Sept. 2024. YouTube. https://www.youtube.com/watch?v=qepm290Jubg. Accessed 3 Sept. 2024. Claim: Police audio confirmed reports of Haitians hunting geese in Springfield, Ohio, in 2024. Rating: Rating: False Context: A call to the Clark County Sheriff's Department regarding four alleged Haitians carrying dead geese would not be confirmation of "Haitian goose-hunting," even if verified. Regardless, authorities could not verify the complaint's claims. The claim promoted by 2024 Republican U.S. presidential candidate Donald Trump on Sep. 10, 2024, that Haitian immigrants in Springfield, Ohio, were stealing and eating pets is entirely unfounded, as Snopes previously reported. Later reporting revealed it was based on third-hand information posted to a private Facebook group by someone who later admitted, "I'm not sure I'm the most credible source." That Facebook post, shared by Trump's vice-presidential running mate JD Vance, combined pet-based allegations with claims that the Haitian community was "hunting" waterfowl in a public parks. A viral video of podcaster and Springfield resident Anthony Harris speaking at an Aug. 27, 2024, Springfield City Commission meeting made reference to this as well. "They're in the park, grabbing up ducks by the neck and cutting their heads off and eating them," Harris claimed, among other things. Following Snopes' original fact-check of these claims, conservative outlet The Federalist published what it described as "police audio" that "confirm[ed] Haitian goose-hunting in Ohio." While this audio is a legitimate recording of a complaint made to the Clark County Sheriff's Department, it is like any single report made to law enforcement not a "confirmation" of anything. The complaint itself did not include a claim of someone witnessing any "hunting," and the sheriff's department, as well as the Ohio Department of Natural Resources, were unable to verify the claim or locate the individuals or their alleged connection to the Haitian community. In this article, Snopes reviews reporting that has uncovered the backstory behind this police complaint and explains why this purported evidence falls significantly short of verifying the inflammatory claims that have led to multiple bomb threats against schools and public buildings in Springfield, Ohio. The Police Report The call to police on which The Federalist reported was placed on Aug. 26, 2024 a day before the meeting in which the viral Anthony Harris video originated. The incident involved a man later identified by journalist Steven Monacelli as someone identifying himself as "Toby", who reported seeing four Haitians returning to the street from a bike path with each of them holding a goose: CCC: Clark County Communications? Toby: Yes ma'am. I got a question. This is a non-emergency line, correct? CCC: Yes it is. Toby: Okay. I'm sitting here, I'm riding on the trail, going to my orientation for my job today, and I see a group of Haitian people. There was about four of them. They all had geese in their hands. They got away. I couldn't make out the first three of the license plate [...] it was a gray Toyota Tacoma they took off on. There was about four of them. There was two men, two women. The reported location was near the entrance to a bike path by a river that connects, two miles to the west, with Snyder Park, a location referenced in an early viral posts about Haitians: Monacelli independently acquired audio and information regarding this complaint, which The Federalist redacted in its own reporting, allowing him enough information to identify and speak with the caller. In an interview with Monacelli, Toby said he filed the report because he said it was illegal to hunt geese without a permit. "I was just reporting [a potential crime] like anyone else," he told Monacelli. The Clark County Sheriff's Department told Monacelli that it "had no other information to provide on this call or possible outcomes as this was our only record of the incident." Local news outlet The Springfield News-Sun reported that law enforcement eventually forwarded the complaint to the Ohio Department of Natural Resources (ODNR), which could not substantiate the claim. At a county commission's meeting on Sep. 10, 2024, the News-Sun reported, Clark County Commissioner Sasha Rittenhouse said that, "No videos have surfaced, no pictures have surfaced, no dead geese have surfaced; there's nothing to substantiate that it's happening." [Clark County Commissioner Sasha] Rittenhouse said she rode with an ODNR staffer last Thursday to look into some claims about Haitian immigrants stealing and eating geese and ducks, and learned that nothing came out of the call, with there being no evidence. At the same meeting, Clark County Sheriff's Office Major Scott Cultice said that "he and another employee at the Clark County Combined Dispatch Center went through 11 months of call records Tuesday to check for reports on these matters, and the Aug. 26 call was the only one he was able to verify that had been made." What The Police Report Does Not Prove Though viral videos reference multiple people placing calls about this behavior, Toby's report is the only record of anything approaching the allegations leveled against the Haitian community. Taken at face value, Toby's testimony would provide first-hand evidence only of four individuals carrying dead geese. The complaint, even if verified, would not provide evidence of "hunting," though. That is a conclusion Toby arrived at on his own. Further, the complaint, even if verified, would not provide evidence of Haitian immigrants being involved. That, too, is a conclusion arrived at by Toby. The evidence provided by Toby is also a far cry from the rumors that formed on Facebook and spread thanks to amplification by right-wing influencers, Vance, and Trump that Haitians were [butchering] dogs and "doing it at Snyder Park with the ducks & geese." The person who wrote the original Facebook post referencing cat killing said that this Snyder Park information came from "Rangers & police." It is possible, then, that this portion of the rumor stems in some way from the ODNR ride-along described by Rittenhouse at the Sept. 10 meeting. If that is the case, it would not amount to independent confirmation of the claim, but would instead be a distortion of this same complaint by Toby that led the ODNR "rangers," perhaps to look into the matter. The Bottom Line The Federalist, Trump, Vance, and an army of other pro-Trump social media influencers, are arguing that this single complaint regarding what may have been a hunting-permit violation "confirms" allegations of "Haitian goose-hunting." Even if everything Toby witnessed was factual, his testimony would not confirm "goose-hunting." It would confirm only that four people were carrying four dead geese away from a river. Because this unsubstantiated report would not confirm these charges as reported, and because one person's allegations to a non-emergency police line would not on its own constitute evidence of anything, the claim that Toby's report to police "confirms" Haitian geese hunting in Springfield is "False." Sources: "County: No Evidence of August Claim That Haitians Took Geese at Springfield Park." Springfield-News-Sun, https://www.springfieldnewssun.com/news/county-no-evidence-of-august-claim-that-haitians-took-geese-at-springfield-park/NFRGJNURHREGHB32HBC6UKZJWQ/. Accessed 13 Sept. 2024. D'Angelo, Alec. "The Origins of Trump's Ohio Pets Conspiracy." New Lines Magazine, 11 Sept. 2024, https://newlinesmag.com/spotlight/the-origins-of-trumps-ohio-pets-conspiracy/. Justice, Tristan. "Exclusive: Police Audio Confirms Haitian Goose Hunting In Ohio." The Federalist, 11 Sept. 2024, https://thefederalist.com/2024/09/10/exclusive-police-audio-report-confirm-haitian-goose-hunting-in-ohio-they-all-had-geese-in-their-hands/. Kasprak, Alex. "No Evidence Haitian Immigrants Are Eating Ducks, Geese or Pets in Springfield, Ohio." Snopes, 10 Sept. 2024, https://www.snopes.com//fact-check/cats-ducks-haitians-springfield/. ---. "Video Footage and News Headlines Don't Document Haitian Immigrant Eating Cat in Springfield, Ohio." Snopes, 12 Sept. 2024, https://www.snopes.com//fact-check/video-headlines-immigrants-eating-cats/. NewsGuard. Triple Hearsay: Original Sources of the Claim That Haitians Eat Pets in Ohio Admit No First-Hand Knowledge. https://www.newsguardrealitycheck.com/p/origins-haitians-eating-pets-claim. Accessed 13 Sept. 2024. Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Actresses perform at a celebration event, dubbed "Ode to the Moon," in Mexico City, Mexico, Sept. 12, 2024. The celebration, hosted by the China Culture Center in Mexico City and Sichuan Provincial Department of Culture and Tourism, lasted from Sept. 11 to 13, during which the Sichuan Symphony Orchestra and the Opera and Dance Theater of Sichuan Province staged wonderful performances. (Xinhua/Li Mengxin) Family of missing Southern California woman pleading for help in locating beloved matriarch The family of an Orange County woman is turning to the public for help in locating her after she disappeared almost two weeks ago. Geetaben Patel, 58, was last seen around 2:15 p.m. on Sept. 2 near Santa Margarita Lane and Del Sol Circle in La Palma, according to CHP. A Silver Alert was issued by CHP on Sept. 5 that listed Patel, who is diagnosed with schizophrenia, as standing 5 feet, 2 inches tall and weighing 120 pounds. She has black hair and brown eyes and was last seen wearing a dark blue shirt with pink and purple pajama bottoms. Rescue cat missing after cage breaks at LAX Patels son told KTLA that he and his family are worried because she is without her medication, and due to the high temperatures that recently scorched the area, there is a higher chance she could have fallen ill. She left without her wallet, phone or anything that would aid in tracking her, he added. Geetaben Patel as seen in family photos. Geetaben Patel as seen in a family photo. Geetaben Patel as seen in family photos. Geetaben Patel as seen in a family photo. Geetaben Patel as seen in a family photo. La Palma Police Department Detective James Roche confirmed that they have received no leads or tips from anyone in the 12 days Patel has been missing. Surveillance footage from the area has turned up no sight of her either, Detective Roche said. Southern California grocery store shut down after suspect releases irritant Family and friends of the beloved woman are gathering in La Palma on Saturday and forming a search party that will go door to door looking for her. Anyone with information on Geetaben Patels whereabouts is urged to contact the La Palma Police Department. Sofia Pop Perez contributed to this report. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTLA. Family of Tyre Nichols: Congress has blood on its hands for holding up police reform The family of Tyre Nichols said Congress is responsible for Black Americans who have died at the hands of police following inaction on police reform. In an emotional address to the press on Friday, RowVaughn Wells, Nicholss mother, joined civil rights attorney Ben Crump, Rep. Steven Horsford (D-Nev.) and other families who lost loved ones to police violence to demand why police reform has stalled. Back in 2020 when George Floyd was killed in front of everyones eyes, in front of the world, we thought that because the world saw this that our babies would not die from police brutality again, Wells said. But three years later, my son Tyre Nichols was murdered by five Memphis police officers. He was beaten to death. I want to say to Congress: All these kids and all our kids that are being murdered their blood is on your hands. Three of the five former police officers involved in Nicholss death are currently on trial in Memphis. Since the 2020 murder of Floyd, Democrats in the House have tried to pass sweeping police reform. The George Floyd Justice in Policing Act which would make it easier for the federal government to successfully prosecute police misconduct cases, eliminate qualified immunity for law enforcement and ban the use of chokeholds and no-knock warrants was amended to include the Tyre Nichols Duty to Intervene Act. But legislation has stalled repeatedly in Congress. We havent had systematic police reform in the United States of America since Lyndon Baines Johnsons Great Society legislation in the 1960s, Crump said. Since at least the 1980s, and the beating of Rodney King, brutalized Black Americans have hoped for systematic police reform, he added. Instead, others including Floyd, Nichols and Michael Brown have since been killed. I can only fathom, had we got that reform, how many families up here like Sonya Masseys and others would have been spared their loved one becoming another hashtag? Crump said. How many more exhibits, America, do we have to give you before we have some reform on these officers? The Georgia Floyd Justice in Policing Act was reintroduced most recently this year by Rep. Sheila Jackson Lee (D-Texas), who died in July. Though its been unclear who will take up the mantle after Jackson Lee, Vice President Harris has vowed to sign the legislation if she wins in November. Horsford, chairman of the Congressional Black Caucus, said that the caucus would come together to ensure the bill passes. As chairman of the Congressional Black Caucus, our message to the families is that we want all of you to know that we are still fighting, that we are still working and we will not stop fighting for you or the loss of your loved ones until the legislation is passed, Horsford said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. SALT LAKE CITY (ABC4) The family of Tyler Thompson Jr. has released a statement regarding his death penalty sentencing handed down by a military court in Congo on Friday. Read the statement in full below: We are heartbroken over the verdict from the DRC: Tyler has been sentenced to death by a military tribunal, as have the two other Americans that were charged and convicted. We continue to believe in Tylers innocence and will be pursuing all possible appellate remedies. We urge all who have supported Tyler and the family throughout this process to write to your Congressmen and request their assistance in bringing him home. Thank you for standing with us. Many have reached out as the recent news has been reported. We are grateful for the kindness and support during the past months. At this time, we ask that our familys privacy be respected as we navigate this situation and determine our next steps. Skye Lazaro, Ray, Quinney & Nebeker, PC. Attorney for Tyler Thompson and the Thompson family PREVIOUSLY 2 Utahns are facing death penalty in Congo: A historical perspective on US citizens executed by foreign countries The Congolese military court handed down death sentences Friday to 37 people, including three Americans, after convicting them on charges of participating in a coup attempt. Six people were killed during the botched coup attempt led by the little-known opposition figure Christian Malanga in May that targeted the presidential palace and a close ally of President Felix Tshisekedi. Malanga was fatally shot while resisting arrest soon after live-streaming the attack on his social media, the Congolese army said. Malangas 21-year-old son Marcel Malanga, who is a U.S. citizen, and two other Americans, were convicted in the coup attempt. He told the court that his father had forced him and his high school friend to take part in the attack. Thompson Jr., 21, flew to Africa from Utah with the younger Malanga for what his family believed was a free vacation, and Benjamin Reuben Zalman-Polun, 36, is reported to have known Christian Malanga through a gold mining company. The company was set up in Mozambique in 2022, according to an official journal published by Mozambiques government, and a report by the Africa Intelligence newsletter. U.S. State Department spokesman Matthew Miller told reporters in Washington on Friday that the federal government was aware of the verdict. The department has not declared the three Americans wrongfully detained, making it unlikely that U.S. officials would try to negotiate their return. We understand that the legal process in the DRC allows for defendants to appeal the courts decision, Miller said. Embassy staff have been attending these proceedings as theyve gone through the process. We continue to attend the proceedings and follow the developments closely. Thompsons family maintains he had no knowledge of the elder Malangas intentions, no plans for political activism and didnt even plan to enter Congo. He and the Malangas were meant to travel only to South Africa and Eswatini, his stepmother, Miranda Thompson, told The Associated Press. Utahs U.S. Sens. Mitt Romney and Mike Lee have not publicly urged the U.S. government to advocate for the Americans release. My thoughts are with the families during this difficult time, Lee told the AP on Friday. We will continue to work with the State Department to receive updates on this case. This is an extremely difficult and frightening situation for the families involved, Romney spokesperson Dilan Maxfield said. Our office has consistently engaged with the State Department and will continue to do so. Last month, the military prosecutor, Lt. Col. Innocent Radjabu, called on the judge to sentence all of the defendants to death, except for one who suffers from psychological problems. Congo reinstated the death penalty earlier this year, lifting a more than two-decade-old moratorium, as authorities struggle to curb violence and militant attacks in the country. The countrys penal code allows the president to designate the method of execution. Past executions of militants in Congo have been carried out by firing squad. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. SALT LAKE CITY (ABC4) The family of Tyler Thompson Jr. has released a statement regarding his death penalty sentencing handed down by a military court in Congo on Friday. Read the statement in full below: More Local News We are heartbroken over the verdict from the DRC: Tyler has been sentenced to death by a military tribunal, as have the two other Americans that were charged and convicted. We continue to believe in Tylers innocence and will be pursuing all possible appellate remedies. We urge all who have supported Tyler and the family throughout this process to write to your Congressmen and request their assistance in bringing him home. Thank you for standing with us. Many have reached out as the recent news has been reported. We are grateful for the kindness and support during the past months. At this time, we ask that our familys privacy be respected as we navigate this situation and determine our next steps. Skye Lazaro, Ray, Quinney & Nebeker, PC. Attorney for Tyler Thompson and the Thompson family The Congolese military court handed down death sentences Friday to 37 people, including three Americans, after convicting them on charges of participating in a coup attempt. Six people were killed during the botched coup attempt led by the little-known opposition figure Christian Malanga in May that targeted the presidential palace and a close ally of President Felix Tshisekedi. Malanga was fatally shot while resisting arrest soon after live-streaming the attack on his social media, the Congolese army said. Malangas 21-year-old son Marcel Malanga, who is a U.S. citizen, and two other Americans, were convicted in the coup attempt. He told the court that his father had forced him and his high school friend to take part in the attack. Thompson Jr., 21, flew to Africa from Utah with the younger Malanga for what his family believed was a free vacation, and Benjamin Reuben Zalman-Polun, 36, is reported to have known Christian Malanga through a gold mining company. The company was set up in Mozambique in 2022, according to an official journal published by Mozambiques government, and a report by the Africa Intelligence newsletter. U.S. State Department spokesman Matthew Miller told reporters in Washington on Friday that the federal government was aware of the verdict. The department has not declared the three Americans wrongfully detained, making it unlikely that U.S. officials would try to negotiate their return. We understand that the legal process in the DRC allows for defendants to appeal the courts decision, Miller said. Embassy staff have been attending these proceedings as theyve gone through the process. We continue to attend the proceedings and follow the developments closely. Thompsons family maintains he had no knowledge of the elder Malangas intentions, no plans for political activism and didnt even plan to enter Congo. He and the Malangas were meant to travel only to South Africa and Eswatini, his stepmother, Miranda Thompson, told The Associated Press. More Local News Utahs U.S. Sens. Mitt Romney and Mike Lee have not publicly urged the U.S. government to advocate for the Americans release. My thoughts are with the families during this difficult time, Lee told the AP on Friday. We will continue to work with the State Department to receive updates on this case. This is an extremely difficult and frightening situation for the families involved, Romney spokesperson Dilan Maxfield said. Our office has consistently engaged with the State Department and will continue to do so. Last month, the military prosecutor, Lt. Col. Innocent Radjabu, called on the judge to sentence all of the defendants to death, except for one who suffers from psychological problems. Congo reinstated the death penalty earlier this year, lifting a more than two-decade-old moratorium, as authorities struggle to curb violence and militant attacks in the country. The countrys penal code allows the president to designate the method of execution. Past executions of militants in Congo have been carried out by firing squad. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. Milly Fyfe, who produces social media content when not farming, is seen interviewing the NFU President Tom Bradshaw [Tim Scrivener] A woman who is a farmer, blogger and marketing adviser is one of the finalists in a prestigious national awards scheme. Milly Fyfe from Yelvertoft in Northamptonshire is up for the content creator accolade in this year's British Farming Awards. She set up a blog and podcast four years ago, and it includes ideas for simple meals for busy families using British ingredients. She is the only female finalist in the category and said being chosen was "absolutely phenomenal". The British Farming Awards "recognise British farmers and agricultural businesses for their commitment, resilience and innovation to an industry that consistently serves the nation". Ms Fyfe multi-tasks as a farmer, PR and marketing consultant, blogger, podcaster and writer. She set up her community interest company, No Fuss Meals for Busy Parents, to provide recipe ideas using ingredients produced in the UK. She said: "Being recognised as a finalist in a competition such as this has been absolutely phenomenal because I've been focussing for a little while on 'No Fuss Meals for Busy Parents', and to be recognised for the work that I'm doing, helping to provide a connection between food grown in the UK and how to make tasty meals from scratch, it's the recognition that I'd really hoped for. "I continue to want to make a difference within the community not only locally but also throughout the UK, so to have this recognition is amazing." She said the award selection process had involved an online interview with the judges as well as a written submission. Johnny Wake from Courteenhall Farms is also up for an award [Oliver Conopo/BBC] Johnny Wake from Courteenhall Farms in south Northamptonshire, will be joining Ms Fyfe on awards night as he is a finalist in the sustainable farmer category. The ceremony takes place in Birmingham on 17 October. Follow Northamptonshire news on BBC Sounds, Facebook, Instagram and X. More on this story Related internet links CHARLESTON, WV (WVNS) A first grade student at New River Primary in Fayette County was the $5,000 Grand Prize Winner of the 17th Annual 2024 SMART529 When I Grow Up essay contest. Academy Sports & Outdoors hosted a giveaway to local kids in Raleigh County According to a press release from the West Virginia State Treasurers Office, Emma Stover was recognized for this achievement during a special ceremony at the Culture Center in Charleston on Friday, September 13, 2024. The press release continued by saying that 15 regional student winners attended the awards ceremony with their families to be recognized and read their winning essays. Stover was one of these winners and was randomly selected as the grand prize winner during the ceremony. She wrote about her dream of being a teacher. The annual When I Grow Up essay contest is a tremendous event that allows children to get together with their parents to talk about their future career dreams, and then help them start preparing to achieve them. This contest is always heartwarming and inspiring, because it gives you a chance to hear what our children are aspiring to become. I congratulate all of these students for sharing their dreams of the future, said State Treasurer Riley Moore. A total of 3,315 West Virginia students submitted essays this year for the contest. Students from three different age groups, which included kindergarten through first grade, second through third grade, and fourth through fifth grade were judged in each of the five geographic regions, which came out to 15 regional winners. $500 was given to each winner to make a SMART529 account and an additional $4,500 was given to the grand prize winner. Each winners school will also be awarded $500 to support school programs. Two WVU nursing students honored at special signing ceremony on WVU Tech campus The following are the winners and their dream jobs for the 2024 When I Grow Up Contest (it should be noted that the students have moved up a grade since they entered the contest): Region One: Emma Stover, Kindergarten at New River Primary in Fayette County Teacher Gage Cline, 2 nd Grade at Shady Spring Elementary in Raleigh County Chef Piper Brady, 5th Grade at Stanaford Elementary in Raleigh County Author Region Two: Keira Spaulding, Kindergarten at Crum PK-8 in Wayne County Paleontologist & Museum Owner Ava Metz, 3 rd Grade at Eastbrook Elementary in Putnam County Egyptologist Charles Charlie Davis, 5th Grade at Holz Elementary in Kanawha County Computer Programmer Region Three: Carson Wray, 1 st Grade at Nutter Fort Primary in Harrison County Animation Designer Theodore Teddy Metz, 3 rd Grade at Philippi Elementary in Barbour County Plumber Morgan Hayhurst, 5th Grade at Covenant Christian School in Monongalia County Astrophysicist Region Four: Felicity LaMora, 1 st Grade at Beverly Elementary in Randolph County Teacher Oforiwaa Amofah-Yeboah, 3 rd Grade at Orchard View Intermediate in Berkeley County Scientist Edith Ellsworth, 4th Grade at Orchard View Intermediate in Berkeley County Special Education Teacher Region Five: Cullen Gregory, 1 st Grade at New Manchester Elementary in Hancock County Movie Director Eliana Pentino, 2 nd Grade at Steenrod Elementary in Ohio County Veterinarian Madison Reiner, 4th Grade at Lubeck Elementary in Wood County Wolf Biologist Teachers for kindergarten through fifth grade also had the chance to compete in the contest for the teacher portion. The teacher that won this year was awarded a $2,500 cash prize. 2024 When I Grow Up Statewide Teacher Winner: Mrs. Saida Chaieb, Kindergarten Teacher at Spring Hill Elementary, Cabell County The When I Grow Up student and teacher essay contests are sponsored by SMART529, Hartford Funds and the West Virginia State Treasurers Office. These sponsors promote the awareness of SMART529 and the need for funding and savings for education. Governor Justice announces record-breaking tourism growth in West Virginia SMART529 is a tuition program managed by the Board of Trustees of the West Virginia College and Jumpstart Savings Programs. They get money from Hartford Funds. For more information, visit www.SMART529.com. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WVNS. FILE - A flare burns natural gas at an oil well in Watford City, N.D., on Aug. 26, 2021. (AP Photo/Matthew Brown, File) BISMARCK, N.D. (AP) A federal judge in North Dakota has temporarily blocked a new Biden administration rule aimed at reducing the venting and flaring of natural gas at oil wells. At this preliminary stage, the plaintiffs have shown they are likely to succeed on the merits of their claim the 2024 Rule is arbitrary and capricious, U.S. District Judge Daniel Traynor ruled Friday, the Bismarck Tribune reported. North Dakota, along with Montana, Texas, Wyoming and Utah, challenged the rule in federal court earlier this year, arguing that it would hinder oil and gas production and that the Interior Department's Bureau of Land Management is overstepping its regulatory authority on non-federal minerals and air pollution. The bureau says the rule is intended to reduce the waste of gas and that royalty owners would see over $50 million in additional payments if it was enforced. But Traynor wrote that the rules "add nothing more than a layer of federal regulation on top of existing federal regulation. When pumping for oil, natural gas often comes up as a byproduct. Gas isn't as profitable as oil, so it is vented or flared unless the right equipment is in place to capture. Methane, the main component of natural gas, is a climate super pollutant that is many times more potent in the short term than carbon dioxide. Well operators have reduced flaring rates in North Dakota significantly over the past few years, but they still hover around 5%, the Tribune reported. Reductions require infrastructure to capture, transport and use that gas. North Dakota politicians praised the ruling. The Biden-Harris administration continuously attempts to overregulate and ultimately debilitate North Dakotas energy production capabilities, state Attorney General Drew Wrigley said in a statement. The Bureau of Land Management declined comment. WICHITA, Kan. (KSNW) The U.S. Department of Labor said a federal jury in Kansas has awarded $957,324 in back wages for 168 workers at Los Cocos Mexican Restaurants in Wichita, Derby and Andover. A news release from the DOL said the jury found three owners willfully violated federal laws by using tips earned by servers to pay hosts and bussers and denying workers minimum and overtime wages. The verdict was rendered on Aug. 30 after a lawsuit against Los Cocos was filed by the DOL. The Wage and hour Division alleged that between May 2017 and Dec. 2022, the owners violated provisions in the Fair Labor Standards Act. The release said the Wage and Hour Division previously found Los Cocos in violation of the FLSA in 2009. Now that the trial, which lasted five days, has ended, the judge will now issue a payment order for the employers to pay the wages and resolve the suit that was filed in January 2022. The department continues to seek recovery of an equal amount in liquidated damages for the affected workers. Once recovered, the department will disburse funds to the workers, the release said. A jury of their peers found the owners of these three Los Cocos restaurants willfully took wages from servers to pay co-workers who bussed tables and greeted diners and did not pay workers overtime or paid some less than the required minimum wage for their hard work, said Regional Solicitor Christine Heri in Chicago. The Department of Labor will take all necessary actions to ensure workers receive their rightfully earned wages and will present evidence and request the court order the employers to pay employees an amount equal to their back wages in liquidated damages. According to the release, investigators found that in addition to misusing tips to pay hosts and bussers and failing to pay servers and cooks overtime when required, Los Cocos owners did not maintain complete and accurate time records, as the law requires. Specifically, the release said, the jury awarded $567,291 in minimum wages for loss of the tip credit, $276,115 in overtime pay for back of the house employees such as cooks, $88,590 in withheld tips and $25,328 for other minimum wage violations. In November 2023, the same court ordered the restaurants owners to pay $16,734 back overtime wages to 43 servers after the departments motion for summary judgment. Those wages remain unpaid, the DOL said, making $974,057 the total restitution owed employees. Food service industry investigations find employers frequently operating invalid tip pools and violating federal overtime, minimum wage and recordkeeping regulations, said Wage and Hour Division District Director Reed Trone in Kansas City. Too often, workers are unaware of their rights and afraid to ask employers about shortages in their paychecks. The wages the department is working to recover will have a tremendous benefit for workers and their families struggling to make ends meet. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KSN-TV. PORTLAND, Ore. (KOIN) Federal leaders are publicly accusing an Oregon businessman of abusing employees retirement funds, just months after he sold his newspaper group to another company. The U.S. Department of Labor launched a lawsuit against Robert B. Pamplin Jr. and R.B. Pamplin Corporation on Thursday, alleging they had violated the Employee Retirement Income Security Act starting in 2019. Fake social media threats against local schools prompt investigation According to DOL, the federal act prohibits companies from acquiring over 10% of their assets. However, an investigation from the Employee Benefits Security Administration determined Pamplin knowingly had its pension plan obtain interests for more than 50% of its assets, according to the lawsuit. The department alleges some properties were not sold to the plan at fair market value or were unsuitable for multiple uses without significant improvements, plaintiffs wrote. Other properties had attached liens, unpaid leases, unpaid property taxes, environmental liabilities or were sold in fractional interests, diminishing their value. The labor department specifically referred to Pamplins Albina, Tait and Ross Island properties as those in need of expensive environmental repairs. The company currently owes the pension plan over $2 million in late lease payments, according to the complaint. River otter attacks child, mother in rare Washington marina encounter Court documents also show the corporation is the parent company of at least a dozen subsidiaries, such as food, wine and textile companies. The conglomerate previously owned Pamplin Media Group a KOIN 6 partner before it was sold to Carpenter Media Group in June. Eighty-three-year-old Pamplin had said the takeover was due to age and health reasons. The businessman also resigned as a trustee from his companys pension plan in Sept. 2023. Federal officials claim he stepped down due to DOLs enforcement efforts that began before the lawsuit was filed this week. Young girl dies in NE Portland, fentanyl suspected: Police Dr. Pamplin must restore the pension plan to where it would be if not for his wrongdoing and make his employees pension plan whole, Regional Solicitor Marc Pilotin said in a statement. The Solicitors Office has already been engaged actively with Dr. Pamplin to determine how he will do so and the department will not relent in fighting for participants rights until he does. KOIN 6 has reached out to RB Pamplin Corporation for comment and will update this story if we hear back. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. Firefighter from line of department members dating back to Irish potato famine promoted at FDNY ceremony Hes a chip off the old block. A new dad who comes from a long line of cops and firefighters dating back to the Irish potato famine was promoted at an FDNY ceremony Friday along with dozens of other proud smoke-eaters. Timothy Clarke, 41, was bumped up from firefighter to Lieutenant in Chelseas Tower Ladder 12, following in the footsteps of a forefather who fled the Emerald Isle to become one of the Big Apples Bravest. My great, great, great, grandfather was one of the first ever paid New York City firefighters, so its been kind of a family tradition, a family career, Clarke told The Post. My grandfather was a firefighter, my father was a cop, so weve been all firefighters and cops since the Irish potato famine, he said, referring to the traumatic period in Irish history, which led to roughly a million deaths between 1845 and 1851. Timothy Clarke took an oath as he was promoted from firefighter to Lieutenant. Michael Nagle His pioneering great, great, great grandpa, Patrick Conaghan, put out fires all over Manhattan beginning in the mid-1800s after emigrating, he said. My middle name is Conaghan, so Im named after him, he said. [Im] fifth generation. Clarkes great, great, great grandpa Patrick Conaghan became a firefighter in 1865. courtesy Clarke family He said his new higher rank is an honor his late relatives would have been proud of. It means a ton, its a huge responsibility, its a big tradition to carry on, not with just my family, but with the job itself, he said. Its your obligation to train and be better and rely on the people around you, to make sure everyone gets home and does the right thing. Clarkes grandfather John Clarke became a firefighter in July 1937. courtesy Clarke family Clarke said he now has a 13-day-old daughter but the jurys out on whether shell go into the force, too. Right now were just trying to get through breastfeeding, he said. Clarke pictured in 1989 with his police officer dad, who became a cop in 1968. courtesy Clarke family Clarke was promoted, along with roughly 70 other FDNY members, at a ceremony held at the Ocean Breeze Track and Field Facility on Staten Island. In total, six staffers were promoted to Captain, three were promoted to supervising fire marshal, 32 were promoted to Lieutenant, 29 were promoted to fire marshal. Congratulations to all of you, FDNY Chief Robert Tucker told them. Your promotions today are making you the leaders of the greatest fire department in the world. Calamares is a Linux system installer used by dozens of distros to get the bits from an ISO image onto a target computer. Development is nowadays purely on a volunteer basis, which makes it hard to keep up with all the changes in the Linux world. But steps are made, and code submissions are very welcome, and heres a note on something relatively new and useful: Wayland keyboard layouts. Some History In an X11-based system, the X server is the one thing that knows how to interpret keystrokes (pressing the button on a bit of hardware, e.g. the button to the right of the one labeled CapsLock is labeled A and makes the letter a when pressed). The X server can be told how to interpret the buttons: one command is setxkbmap which can manipulate the keymap: $ setxkbmap -query rules: evdev model: pc105 layout: us options: ctrl:swapcaps Using setxkbmap you can change the layout from the command-line: setxkbmap -layout us changes it to US-English, setxkbmap -layout ua changes it to Ukranian, and theres tons of other layouts. In Ukranian, pressing the keys labeled WASD yields . Changing the keyboard layout is just a matter of being connected to the X server any X11 terminal program can do it, or an application can do it programmatically by sending the right X11 protocol messages. Wayland There isnt a standardized mechanism in Wayland to request a different keyboard layout. Its up to the compositor how and what it wants to do. Some compositors are willing to listen to systemds locale1 service. KWin does this, but only when started with suitable command-line flags. Many systems that start KWin as part of their live installation do not pass that flag. Some compositors just dont implement this at all. KWin (Wayland) The way to tell KWin to change the keyboard layout is to rewrite the configuration file for keyboard layouts in KDE Plasma, then send a DBus signal to KWin. You can see that happening here, at least as of the code in April 2024. I suppose the idea is that the only way to change the keyboard layout is to go through the KCM, click on the list of layouts, manipulate it, etc. and then click apply. For those cases when I briefly want to type Ukranian, or Arabic, thats really annoying. For Calamares, which tries to set the keyboard layout when you select one, thats really annoying. Calamares and KWin In the upcoming Calamares 3.3.10 release, the installer can be configured to edit KDE Plasmas keyboard configuration file. I imitated the code from the KCM, but without relying on KConfig because that would be yet-another dependency for Calamares. This is a total bodge job. But it works! For distros that use Calamares, and use KDE Plasma, and come up with a live system that uses Wayland (e.g. Asahi Linux) this means that keyboard layout updates can now be applied consistently, and you can e.g. type your password in the keyboard layout youre actually going to use. It aint pretty. Frankly, I think there should be a standardized way to say use these keyboard layouts, but I also understand that that opens the whole can of worms of who should be allowed to change the keyboard layout?. First area county included in burn ban as drought conditions continue The first of our area counties have been included in a burn ban as drought conditions persist. [DOWNLOAD: Free WHIO-TV News app for alerts as news breaks] TRENDING STORIES: Union County, Indiana was added to Indianas burn ban list on Saturday, according to the Indiana Department of Homeland Security. Sixteen other counties in Indiana and 28 counties in Ohio are now under a burn ban. The full list of exempt list activities and required conditions can be found here. As News Center 7 reported on Friday, the following activities are prohibited under the burn bans in Ohio: Any open burning of trash, debris, waste, combustibles, leaf piles or similar vegetation Campfires and bonfires Throwing down or discarding lit or smoldering material, such as matches or cigarettes Using or discharging any type of fireworks Live-fire training events Flame effect(s) based displays or exhibitions, including sky lanterns and cold spark machines Using spark- or heat-producing devices for recreational purposes [SIGN UP: WHIO-TV Daily Headlines Newsletter] First round of polio vaccinations in Gaza completed, says WHO chief A child gets vaccinated against polio virus in Deir El Balah Health Center. Hundreds of thousands of children are to be vaccinated against polio in the embattled Gaza Strip from 01 September morning. Abed Rahim Khatib/dpa The first round of the polio vaccination campaign in the Gaza Strip has been successfully completed, the head of the World Health Organization (WHO) said on Friday. More than 560,000 children under the age of 10 have received a first dose of the vaccine, said Tedros Adhanom Ghebreyesus in a post on X. "This is a massive success amidst a tragic daily reality of life across the Gaza Strip," he added. The WHO and partner groups negotiated temporary ceasefires with Israel and the Palestinian militant group Hamas to enable families to bring their children to vaccination centres and mobile vaccination teams to reach families. Humanitarian pauses of nine hours each day ensured the safety of communities and health workers and enabled vaccination efforts, WHO representative Richard Peeperkorn said. "In areas where humanitarian pauses took place, the campaign brought not just vaccines, but moments of calm," he said. There were no major incidents during the campaign, which lasted just under two weeks. The children will need a second dose of the oral vaccine in four weeks to be protected from infection. "As we prepare for the next round in four weeks, were hopeful these pauses will hold, because this campaign has clearly shown the world whats possible when peace is given a chance," Peeperkorn added. Jean Gough, UNICEF representative in Palestine, welcomed the progress made in the first round of vaccinations but added the "job is far from done." "We are poised to finish the task and call on all involved to ensure we can do so in the next round in four weeks' time, for the sake of children everywhere." The campaign comes after three cases of polio were detected in Gaza in July, the first cases recorded in the territory in 25 years. Experts fear that a polio outbreak might be imminent as hundreds of thousands of internally displaced people are currently forced to live in cramped living conditions. More than 90% of children need to be vaccinated to prevent the spread of polio, which can cause paralysis, according to WHO figures. WHO said that the original target for the campaign 640,000 children was estimated without an accurate survey and may have been an over-estimate, due to displacement and ongoing hostilities. An additional 65 independent monitors were being deployed to cross-check the proportion of children vaccinated across the Gaza Strip. The majority of the 2 million residents of Gaza have been displaced, some several times, since the beginning of the Israeli military operation almost 11 months ago, as their neighbourhoods were bombed and their homes partially destroyed. Israel declared war on Hamas, which controls Gaza, following the terrorist attacks on Israel on October 7, in which some 1,200 people died. According to UN figures, which refer to local authorities, more than 40,000 people have died in the Gaza Strip since the beginning of the conflict. A child gets vaccinated against polio virus in Deir El Balah Health Center. Hundreds of thousands of children are to be vaccinated against polio in the embattled Gaza Strip from 01 September morning. Abed Rahim Khatib/dpa For many years the opening of trout season was a big event in the county. As late as 1965, the Telegram-Tribune devoted a full page to photos about opening day. However, as the creek environments have degraded over the years, fishing has diminished. A major change has been the addition of dams. A lawsuit was recently filed targeting Lopez Dam, asking agencies to release more water to support fish habitat. Photographer Jim Vestal had a full page of photos in the Telegram-Tribune May 8, 1965, on the opening day of trout season. Fishing was active in Reservoir Canyon. Meanwhile, Whale Rock Reservoir near Cayucos has had its own fishing controversy. In days past, rogue anglers dodged a guard on horseback to pursue the legendary wild trout of Whale Rock. Fishing is now allowed, only from shore and California fishing regulations apply. Trails are closed when rain makes paths muddy. More details are on the city of San Luis Obispo website. The following story was by Steven Churm and published Dec. 14, 1978. Fun fact: The following story implies that Whale Rock is a concrete dam, but it is an earth fill with some concrete structures: Earth-moving equipment hauls dirt to build the earth fill Whale Rock dam. Crowd may give outlaws fishin blues With a touch of pride, they call themselves outlaws the last of a dying breed. For years theyve gotten away with something law-abiding folks will have to wait until 1980 to do fish in Whale Rock Reservoir. Its been a come-one, come-all angling club for anyone with a taste for adventure. Membership is more than worth the risk of admission a trespass warning, or at most, a citation. Its like a wrist slap for an armed robbery of Fort Knox. Whale Rock has always been a good, close lake to catch eight to 10 trout in a couple of hours, one outlaw angler bragged. Most fishermen claim run-ins with the law at the reservoir have been few and far between. Most tangles are with trophy-sized trout, trapped by the 21-year-old dams soiled, concrete walls. Fishing at Whale Rock has been the countys most well-known secret. It has lured fishermen to the water-filled cleavage in the gently rolling foothills of the Santa Lucia Mountains since the late 1950s. Anglers have always come to fish the reservoirs forbidden fruit: huge trout. A steelhead trout caught in Whale Rock Reservoir. Caught steelhead are measured before being returned to the lake. A recent state Supreme Court decision, upholding an earlier opinion to legalize fishing at the reservoir, will undoubtedly unveil once and for all what mysteries remain at the 594-acre fishing hole. When the gates at Whale Rock are thrown open to every angler this side of Huck Finn about 18 months hence, the fishing outlaw will no longer be an endangered species hell be extinct. Outlaws must be careful to survive, so the stereotype goes. They shun the spotlight. Any publicity, they say, is bad publicity. Questions should only draw stony stares. But Whale Rock casting bandits, armed to the gills with their favorite bait and a tackle-box full of rainbow-colored flies and lures, are different. They dont run paid advertisements proclaiming theyre lawbreakers, with access ratios second to none. Asked how and why theyve fished Whale Rock in violation of 26 restraining orders filed since 1970 to deny anglers access to the reservoirs shore, the outlaws talked. They told of being driven to a point near the dam by family or friends. Once on foot, they quickly slip past the reservoir managers home to the deserted shoreline to fish away a warm summer evening or brave a chilly fall dawn for a chance to hook a big one. A view of a full Whale Rock Reservoir above Cayucos from an Alaska Airlines flight as it takes off from San Luis Obispo County Regional Airport on the way to Seattle on the morning of July 16, 2024. All the outlaws interviewed claimed the big one rarely got away. Outlaws traded tales with the reporter; only the names were changed to protect the guilty. Ive fished about 30 times and youd never see many fishermen, a sharp-witted Atascadero angler said. But youd know they were there. Word would get around, fishins good up at Whale Rock, he said. Especially after the third big rain of a season when the streams start running real good, youd get a flock of fishermen going up there. Its so super simple. Whenever you see creeks or the reservoir, you just drive up and hit the brush. From there, a few fleet steps and youre home free. Other fishermen confirmed the trespassing scenario. Under the cover of darkness or the thick chaparral that rings the reservoir, fishermen claim they move easily, ignoring the dozens of posted signs that warn trespassers to keep out. Outlaws have defied the trespassing laws maximum penalty $500 and six months in jail for a chance struggle with a prized trout. Laura Selkirk of Cayucos Cellars was on her way home on Nov. 9, 2020, when she captured this image of a vivid sunset over Whale Rock Reservoir. The vista was so dramatic that she turned the car around and was literally leaning through the passenger side to get the photo, Selkirk said. Once the sea-going rainbow trout, more commonly known as steelhead, migrated yearly up Old Creek and its tributaries to spawn and rear their young, before again returning to the sea. Completion of Whale Rock Dam abruptly altered the steelheads cycle. Man had again threatened natures way. Trapped in the reservoirs fresh water, earmarked for domestic use, in the county, the steelhead were forced to adapt to survive. Since fishing has never been allowed in Whale Rock, there are some fish that have been in there for 20 years, Dennis Eimoto, a Department of Fish and Game biologist, said. Those fish have genetically adapted, he said. We have never stocked that lake. And if we do, it will only be with fish raised from eggs taken from the reservoir. You see, the trout there are wild compared to the ones we raise in hatcheries and stock in many lakes. Whale Rocks trout have a spirit all their own. Its a heck of a fish to tangle with. Its a challenge. That challenge has spawned an endless stream of headaches for reservoir Manager Bob Mayse and his crew. Mayse and his boys patrol the shoreline by Jeep and foot to flush out would-be fishermen, when possible. On occasion, Mayse has called out sheriffs deputies to cite trespassers. Mayse refused to comment on how troublesome the outlaws are, saying only; Weve got things under control now, but you go out and write about these people and it will all come down on us. Most county authorities say effective enforcement at the reservoir, owned jointly by the state and city of San Luis Obispo, is nearly impossible. San Luis Obispo was studying populations of native and nonnative fish at Whale Rock Reservoir in 2016. A crew of volunteers heads out to check a fish trap in the back of the lake. We dont regularly patrol there, Sheriffs Capt. Antony Wood said. Sure we go out on occasion, but its minimal enforcement, he said. And its only when we get called out. The problem is theres no clear answer as to what is legal and what isnt up there. Ironically, fishing during the trout season, which runs from the last Saturday in April to Nov. 15, is legal at Whale Rock, according to one fish and game official. Whats illegal is trespassing, Lt. DeWayne Johnston of Paso Robles said. When an agent from our office catches a fisherman, we check his fishing license and then run him out, he said. But really thats all we can do. We cant hide it - people fish there. Authorities admit theres no way of knowing how many outlaws fish the reservoirs sparkling waters. But they agree on one thing: the reservoir is being fished, regularly. By 1974, the number of anglers dotting the jagged shoreline reached a new high. Public pressure finally forced the Whale Rock Commission, charged with managing the reservoirs 40,660 acre feet of water, to take action. They hired a guard on horseback to patrol the lake shortly after dawn and near dusk to nab trespassers during the summer of 1974 and for the next two years. Fishing has never been legal at the reservoir, built to provide a source of drinking water for San Luis Obispo, Cal Poly, the California Mens Colony and Camp San Luis. It was fenced from public access to protect the safety of the water supply. Said A. L. Ellsworth, sanitary engineer for the state health agency, in 1975: We believe that the entrance of the public to the reservoir without adequate control could endanger the safety of the water supply. Superior Court Judge Richard C. Kirkpatrick ruled in 1975 that fishermen have a right to fish at Whale Rock Reservoir. The San Luis Obispo Sportsmens Association challenged closure of the lake in 1969 by staging a fish-in. The California Supreme Court upheld Kirkpatricks decision in October - a victory for the sportsmens association. Kirkpatricks decision was previously upheld by the Second Appellate Court in Los Angeles, but was appealed to the states highest court last year. The Supreme Court opinion, written by Justice Wiley Manuel, noted: We believe that the reservoir impounds the water course known as Old Creek and its tributaries, the water of which were frequented by migratory fish. Officials believe most anglers may have to wait until the 1980 trout season to cast their lines into the now-restricted waters. Time-consuming hearings, required to develop a plan to open the reservoir, will delay the opening up to 18 months, one official said. However, the state Supreme Court ruling settles a decade-old controversy about the reservoir near Cayucos. San Luis Obispo city officials and state representatives in 1960 inspect the nearly completed multimillion-dollar Whale Rock dam near Cayucos. Two large cranes assist in the bridge work across the 1,040-foot spillway in the background. From left are Charles F. Beatie, Whale Rock dam construction manager; Robert Jansen, principal hydraulic engineer for the state Department of Water Resources; San Luis Obispo Mayor Fred M. Waters; City Council members Dr. J. Barry Smith, Gerald W. Shipsey and Donald Q. Miller. Published Oct. 5, 1960. It is also the beginning of the end for the outlaws. Its hard to imagine, but I suppose it had to come, one Morro Bay angler, grudgingly admitted. To think, all those years we used to go up and fish with lots of elbow room may be over, he said. Another outlaw reminisced about the good old days. When it was good, really good, wed go up there maybe three nights a week. Hell, wed pull out eight to 10 fish each, weighing in at about six to 10 pounds, on a good night, he said. Most fishermen said they used some type of lure a 4-X Flourescent Flat Fish, Phoebes, Super Duper or Hot Shots to hook the two-to-four-pound trout. Some said they use live bait, such as golden china fish. Others, use so called trash bait bacon, cheese, fish eggs. Never use more than a six-pound test line, one Cayucos fisherman warned. Not unless youre fishing the creeks and want to yank those babies out real quick. Most trout caught range from 12 to 24 inches in length and weigh-in, on the average, at one-to-four pounds, fishermen said. Hell, there were some guys that would fish the creeks with gill nets during the spawning season, another outlaw recounted. Those guys would pull out 100 fish at a time, mostly looking for the big breeders. Theyd cut out the roe (fish eggs) and turn around and sell a three-gallon bucket of roe for $25. Such fishing practices may ultimately prove costly, according to one fish and game enforcement officer. Six Sacramento suckers were recently removed from Whale Rock Reservoir. A lot of trash fish suckers and carp have been introduced to the reservoir. Coupled with the poachers take, they compete for space and food in the lake, hurting the trout population, Lt. Johnston said. You see, the outlaws bring the live bait in, use it, and when they leave they cast the leftovers into the reservoir. What they dont realize is, it really hurts the trout. Theyre screwing up their own fishing, he said. One fish and game biologist said the outlaws impact on the reservoir has been minimal. Theyre screwing things up, he said. One fish and game biologist said the impact on the reservoir has been minimal. Theres still a healthy population of trout there, Eimoto said. We monitor lakes all over the state with a much higher usage, he said. Whale Rock is in good shape. Really good shape. Maybe thats why the outlaws are still fishing at Whale Rock. In this photo released by the Romanian Emergency Services Galati (ISU Galati), a rescuer carries an old man in Pechea, Romania, Saturday, Sept. 14, 2024 after torrential rainstorms left scores of people stranded in flooded areas. (Romanian Emergency Services - ISU Galati via AP) BUCHAREST, Romania (AP) Four people in eastern Romania have been found dead after torrential storms dumped unprecedented rain, leaving hundreds stranded in flooded areas, emergency authorities said Saturday. Rescue services scrambled to save people in the hard-hit eastern counties of Galati and Vaslui. The bodies of three older women and one man were found in four localities, the Department for Emergency Situations said. Emergency authorities released video footage showing teams of rescuers evacuating people using small lifeboats through muddy waters and carrying some older people to safety. Some of the most significant flood damage was concentrated in Galati where 5,000 households were affected. A Black Hawk helicopter was also deployed there to help with the search and rescue efforts. The storms battered 19 localities in eight counties in Romania, with strong winds downing dozens of trees that damaged cars and blocked roads and traffic. Authorities sent text message alerts to residents to warn them of adverse weather as emergency services rushed to remove floodwaters from homes. By 1 p.m. local time on Saturday, more than 250 people had been evacuated with the help of 700 interior ministry personnel deployed to affected communities, authorities said. Romanian Environment Minister Mircea Fechet told The Associated Press that in some of the badly flooded areas, more than 160 liters (42 gallons) of rain fell per square meter (about 10.7 square feet), which he said is a rare occurrence. What we are trying to do right now is save as many lives as possible, said the minister, who was on his way to Galati to assess the situation. Romanian President Klaus Iohannis offered his condolences to the victims' bereaved families, writing on Facebook: "We must continue to strengthen our capacity to anticipate extreme weather phenomena. Severe floods that have affected a large part of the country have led to loss of lives and significant damage, Iohannis said. We are again dealing with the effects of climate change, which are increasingly present throughout the European continent, with dramatic consequences on people. Central Europe braces for intense flooding The stormy weather comes as several central European nations anticipate severe flooding to hit the Czech Republic, Poland, Austria, Germany, Slovakia, and Hungary over the weekend. In the Czech Republic, river waters reached dangerously high levels in dozens of areas, prompting the authorities to evacuate hundreds of people, including from a hospital in the second-largest city of Brno, to escape raging floods. A 54-year-old man was missing, police said, after he fell in a flooded stream in the southeast of the country, while another three people were swept away in a car by a river in the northeast. By Saturday evening, Czech authorities had declared the highest flood warnings in more than 70 areas across the country, and said that thousands more people should be prepared to be evacuated as the rains continued to slam down. The Czech Hydrometeorological Institute said such extreme floods in those regions only occur about once a century. In neighboring Austria, authorities declared 24 villages in the northeast Lower Austria province disaster zones on Saturday afternoon and began evacuating residents from those areas. The coming hours will be the hours of truth for flood protection, for our emergency forces and numerous compatriots, said the provinces state governor, Johanna Mikl-Leitner, adding that in one area we expect challenges of historical dimensions. The torrential downpours have also caused a sharp rise in water levels on the Danube River in Austrian capital Vienna, where special flood relief channels were built in the 1970s and 80s, and are likely to be tested over the weekend. The Kamp River, a tributary of the Danube, is also swelling because of the unprecedented weather event. Heavy rain also hit Moldova on Saturday, where emergency workers pumped floodwater from dozens of peoples homes in several localities, and 13 localities in three districts suffered partial electricity outages, authorities said. Meteorologists say a low-pressure system from northern Italy was predicted to dump heavy rainfall in most parts of the Czech Republic, including the capital and border regions with Austria and Germany in the south, and Poland in the north. We have to be ready for worst-case scenarios, Czech Prime Minister Petr Fiala said after the governments central crisis committee met. A tough weekend is ahead of us. In Poland, dozens of people were evacuated as a precautionary measure on Saturday from two villages near the town of Nysa, in the Nysa River basin, after meteorologists warned of unprecedented rainfall. Some farms were flooded. Water levels continued to rise in rain Saturday and some roads and streets in the cities of Krakow and Katowice were flooded, and water penetrated the basement of a hospital in Krakow though firefighters quickly pumped it out. Interior Minister Tomasz Siemoniak said that the worst is yet to come. Polish authorities appealed to residents on Friday to stock up on food and to prepare for power outages by charging power banks. The weather change arrived following a hot start to September in the region, including in Romania. Scientists have documented Earths hottest summer, breaking a record set just a year ago. A hotter atmosphere, driven by human-caused climate change, can lead to more intense rainfall. ___ Stephen McGrath reported from Sighisoara. Karel Janicek in Prague; Monika Scislowska in Warsaw, Poland; and Stephanie Liechtenstein in Vienna; contributed to this report. Flagstaff police ask public's help to locate man accused of making mass shooting threats An image of Justin Hill, who police were searching for after he made mass shooting threats on the internet on Sept. 13, 2024. Flagstaff police were searching for an Ash Fork man accused of making mass shooting threats on Friday. On Saturday, Flagstaff police announced an arrest warrant for 36-year-old Justin Wayne Hill, who has been identified as the suspect behind multiple threats of mass shootings at various schools, businesses, and government offices in the Flagstaff area. Hill allegedly shared his messages online and on social platform Yelp, consisting of "threats associated with mass shooting incidents," said Flagstaff police Sgt. Jerry Rintala. Police were actively looking for Hill on Saturday afternoon, according to Rintala. Hill was described as a white male, about 6 feet tall with a medium build, with brown hair and blue eyes, according to Rintala. Hill was believed to be driving a blue 2009 Subaru Impreza with Arizona license plate CKA72E, according to Rintala. "We urge the public to remain vigilant ... If you see Mr. Hill or the vehicle described, please contact the Flagstaff Police Department immediately," Rintala said. Anyone with information on Hill was asked to contact the Flagstaff Police Department at 928-774-1414 or Silent Witness at 928-774-6111. Flagstaff police were working on the investigation alongside the Coconino County Sheriff's Office and Coconino County Attorney's Office. This is a developing story; check back for more details. This article originally appeared on Arizona Republic: Flagstaff police seek Justin Hill, accused of mass shooting threats Florida Democratic Party Chair Nikki Fried filed a complaint with a state attorney on Sept. 13, 2024, against Jason Weida, secretary for the Florida Agency for Healthcare Administration. (Photo by Jackie Llanos/Florida Phoenix) Florida Democratic Party Chair Nikki Fried and two private citizens filed criminal complaints Friday against the head of the state agency thats maintaining a website pushing messaging opposing the proposed abortion-rights amendment. With 52 days until the election, the legal pressure keeps mounting against the Florida Agency for Healthcare Administrations webpage published on Sept. 5 claiming that Amendment 4, which would protect access to abortion until viability, threatens womens safety. The complaints from Fried in Leon County, Orlando real estate agent Ellyson Kennedy in Orange County, and Tampa Bay solar executive Angelique Mathena in Hillsborough County are the latest challenges to the webpage and subsequent television and radio ads that critics have called out for using state funds to attempt to move voters against the amendment. This campaign was created and funded using taxpayer dollars in order to persuade Florida voters to vote against Amendment 4 in the 2024 General Election in Florida. Using an official government agency for political campaigning is prohibited by state law, Fried wrote in her letter to Jack Campbell, state attorney for the Second Judicial Circuit in Tallahassee. For the amendment to become a part of the Florida Constitution, 60% of voters would have to approve it. Two additional legal challenges claiming state interference with the abortion-rights amendment emerged this week: The ACLU of Florida and Southern Legal Counsel, on behalf of Floridians Protecting Freedom, the sponsor of the amendment, filed a lawsuit against AHCA in the Leon County court on Thursday. A South Florida appellate attorney has asked the Florida Supreme Court to stop DeSantis, AHCA Secretary Jason Weida, and Attorney General Ashley Moody from interfering in the voting on Amendment 4. The states highest court has agreed to expedite that case. DeSantis state attorneys The state attorneys in those jurisdictions are not obliged to do anything. Two of the prosecutors asked to act on Friday are DeSantis appointees, named after the governor removed their predecessors because he disapproved of their progressive policies. Those prosecutors are Andrew Bain in Orange and Osceola counties, who replaced Monique Worrell; and Suzy Lopez in Hillsborough County, who replaced Andrew Warren. Both Lopez and Bain are running for re-election against Warren and Worrell. All three of the complaints submitted Friday cite a Florida statute that prohibits state officers and employees from using their official authority or influence for the purpose of interfering with an election. A violation of that law is a misdemeanor of the first degree with a punishment of up to a year in prison and a $1,000 fine. Weida posted a link to the webpage on X on Sept. 5, calling it a transparency page meant to combat the lies and disinformation surrounding Floridas abortion laws. Meanwhile, DeSantis has defended the AHCA webpage, comparing it to a public service announcement. Its not an anti-Amendment 4, DeSantis said during a news conference Tuesday. What it is, its providing information about what Florida law is and the resources that are available under that law. AHCA did not respond to Florida Phoenixs requests for comment. Florida man admits to recording hundreds of videos up women's skirts, deputies say DAVENPORT, Fla. - A former employee at a Florida gas station has admitted to secretly recording hundreds of photos and videos up women's skirts, according to the Polk County Sheriff's office. Polk County deputies initially responded to a Circle K on Sand Mine Road around 10 a.m. on Aug. 31 after a woman reported feeling someone poke her on her lower back while using a self-checkout. She looked behind her and said a man was walking away. She told deputies that she believed the man had attempted to take a photo of her, according to the arrest report. Detectives determined using surveillance video from inside the store that the man later identified as Christopher Kilpatrick had exposed himself and poked the woman. Video allegedly showed him exhibiting similar behavior to another woman earlier. Further security video from the same day allegedly showed Kilpatrick recording photos and videos looking up the skirts of two unsuspecting women, deputies said. Kilpatrick was interviewed, and his cell phone was seized. PCSO said Kilpatrick confessed to his actions and admitted "that he has done this to between 300-400 women, including juveniles." He was arrested and booked into jail on several charges, including exposure of sexual organs and simple battery. PCSO is asking anyone they believe to be victimized by Kilpatrick to call the Polk County Sheriff's Office Special Victims Unit at 863-298-6200. A recent poll has found that many Americans are losing trust in the federal government to effectively ensure food safety, especially in the wake of several major food recalls, Newsweek reported. In a poll conducted by Gallup between July 1 and July 21, 37% of Americans said they either discarded or returned food items in the past year due to a product recall or food safety advisory. Fifty-three percent of respondents said theyve steered clear of purchasing certain brands or types of foods due to a recall or advisory. Twenty-six percent of participants said they were concerned about possibly consuming contaminated, recalled food. The poll included 1,010 total respondents. Additionally, 57% of Americans said they have either a great deal or fair amount of faith in the U.S. government to ensure food safety and protect consumer health. Twenty-eight percent said they have little confidence, while 14% of respondents said they have no confidence. Amongst Republicans, confidence in national food safety has experienced the largest decline since 2019, which Gallup explained was due to the change in presidency from Donald Trump to Joe Biden. As of July, 50% of Republicans said they felt confident in the governments ability to guarantee food safety. That statistic is a 27-point drop from 2019, according to Gallup. In the same vein, independents also exhibited a growing lack of confidence in the government. Sixty-three percent of independents vocalized confidence in the federal government back in 2019 compared to 52% now. Democrats, however, have grown more confident in the government's ability to promote food safety. As of recently, 74% expressed having trust in the government, which is up from 65% in 2019. Overall, confidence in the federal government is waning across nearly all demographic subgroups, Gallup noted. Parents of young children showcased the greatest decline in confidence, from 67% in 2019 to now 49% expressing confidence in the governments ability. Sixty percent of adults without children said they felt confident in the government versus 68 percent back in 2019. The latest Gallup poll comes after an influx of food recalls ranging from breakfast items to fresh fruits and deli meat. Many have garnered significant media attention in recent months, namely the recall of approximately 207,528 pounds of Boar's Head deli meat due to Listeria contamination. The outbreak has been reported in 18 states. At least nine deaths have been reported and 57 people have been hospitalized since the outbreak. The Centers for Disease Control and Prevention (CDC) described it as the largest listeriosis outbreak since the 2011 outbreak linked to cantaloupe. In June 2024, the Food and Drug Administration (FDA) recalled cucumbers due to a potential salmonella contamination. Last month, Perdue Foods, Inc. issued a recall of approximately 167,000 pounds of frozen chicken nuggets and tenders because they may be contaminated with metal fragments. And earlier this week, eggs were recalled because of potential salmonella contamination after dozens have gotten sick with the same strain of bacteria, according to a report from Today. Indeed, food recalls have been frequent and overwhelming for consumers nationwide. There seem to be several reasons why food recalls have been on the rise. Theres the consolidation of food production, as explained by Delish. Giant corporations are paying other companies to produce various food products and then sell them under various brand names. This means that contamination in one area of a large facility can affect several products that cant be traced to a specific supplier. If people cannot trace a product back to a supplier, the supplier has no incentives to keep their processes as clean and effective, in terms of food safety, as possible, Caroline Smith DeWaal, director of food safety for the Center for Science in the Public Interest, a consumer group, told NBC News. Want more great food writing and recipes? Subscribe to Salon Food's newsletter, The Bite. The inclusion of more advanced technology within the food industry has also fueled an increase in recalls. Chris Cook, a county health commissioner in Ohio, told WDTN that such technology can catch contamination issues before a product has even reached consumers, thus prompting more recalls. In response to Gallups poll, a FDA spokesperson told Newsweek that the agency is working on building up peoples confidence when it comes to their food. The FDA believes that food should be a vehicle for wellness and takes its responsibility seriously to help ensure the foods we eat are safe, the spokesperson said. As we continue to work towards building more robust food safety systems, it is the agency's hope that we also continue building consumer confidence in the U.S. food supply. The spokesperson continued, The FDA acknowledges the feedback presented in the Gallup poll results and will consider it as we move forward with the reorganization of the Human Foods Program, which is being undertaken to increase the efficiency and effectiveness of our work. Forced out of business in China, a bookseller turns the page Yu Miao, owner of JF Books, said his original store, Jifeng Bookstore, closed in China in early 2018 (Drew ANGERER) (Drew ANGERER/AFP/AFP) From talks on social issues to topics like constitutionalism and religion, bookseller Yu Miao wanted Jifeng Bookstore in Shanghai to be a place for public discussions. "But some topics are considered taboo and sensitive to discuss in public spaces," he told AFP, of the store that was effectively forced out of business in China in January 2018. Years later, Jifeng has started a new chapter as JF Books in Washington, a rare source of Chinese-language volumes in the US capital, covering everything from sociology to China studies. The bookstore originated in China in 1997, with up to eight outlets in cosmopolitan Shanghai at its peak. But as Beijing tightened restrictions on booksellers and academics over the past decade, the store came under heightened scrutiny. Authorities posed obstacles to organizing events on topics like feminism, constitutionalism and religion -- sometimes notifying the store that certain seminars had to be canceled. "We didn't want to have any taboo topics or self-limitations," Yu said, adding that subjects were chosen for their importance. The store did not allow its decisions to be affected by concern over potential interference, added Yu, who took over the business from its founder in 2012. He recalls being delighted that most people attending the seminars were young. - An emotional farewell - But Jifeng came under increasing pressure to cancel events, and in 2017 it had trouble renewing its lease. "You realize that your space for survival is shrinking," Yu said. In those years, he added, other publications, independent media and cultural institutions also shuttered amid scrutiny. In 2016, China shut down several online operations for independently reporting and publishing articles about potentially sensitive subjects. Some of the freewheeling political and social news sites of Sina, Sohu, Netease and iFeng were closed, state media reported at the time. On one of the last days of Jifeng's Shanghai operations, people were in the store when its power was cut. "I think the power outage was also to prevent people from gathering," Yu recounted. But instead of leaving, patrons lit up their mobile phones and put up battery-powered lights, casting a hazy glow over the space. "People read poetry, sang, strummed the guitar and played the piano," Yu said. "More readers heard the news and came to join us. It was a moving moment." Photos from that evening are displayed on JF Books' brightly lit storefront in Washington, while handwritten cards from patrons of the Shanghai store remain displayed by its entrance. - 'Hard to imagine' - After Jifeng's closure, Yu moved to the United States with his wife and their children, furthering his studies. With the family now planning to stay in the country for longer, opening another bookstore was a natural choice for Yu. "My desire to participate in society came together with my inner regret," he said, referring to his wistfulness over Jifeng's closure. Realizing it was tough to find Chinese-language books in Washington, even online, Yu figured that opening such a bookstore could fulfil the needs of readers like himself. In addition to Chinese-language volumes, the store carries English titles with a focus on Chinese and Asian issues, alongside Asian authors' works. "Besides books from mainland China, we can also procure books published in Taiwan and Hong Kong," Yu said. "This was hard to imagine when we were running a bookstore in Shanghai." Many such books are not available in mainland Chinese stores due to strict controls. Yu has faced other difficulties in recent years: after a visit to China, his wife was temporarily unable to leave, an experience he called "a nightmare." Now, he added, "We really cherish being able to live together freely and without fear." - A meeting place - Like its predecessor in Shanghai, Yu wants JF Books to be a space where people come together -- to buy books or attend seminars and cultural activities. Already, three talks it is organizing in September, including one with Chinese-American poet Ha Jin, are fully subscribed. "It feels very nice to have a bookstore of Chinese books in (Washington)," said Rayna Zhang, 35, who visited the shop after reading about it on social media. "I think it's also a way of helping us keep up with the trends, the culture of young people back home," added Zhang, who is Chinese. Another patron, 36-year-old William Au, added: "I just find it fun that a place that seemed so prominent in the community out of Shanghai (is suddenly here)." bys/bbk/aha A former Charlotte-Mecklenburg police officer charged with stealing $900 from a suspect pleaded guilty Wednesday. Henry Chapman, 49, took an Alford plea meaning he maintained he was innocent but admitted that evidence would likely lead to a conviction according to court records. In the eyes of the law, he is guilty. Hell be on supervised probation for two years and have to complete a cognitive behavioral intervention course. Chief District Court Judge Roy Wiggins approved the plea deal. Chapmans attorney, Michael Greene, did not respond to a request for comment Friday. What happened? On March 14, police arrested a man on a federal warrant and gave him a speeding ticket. Chapman delivered the man and his money to CMPDs University City division office, according to an affidavit. When other officers were in view, the man called out Chapman. Chapman pocketed about $1,000 and tucked it in the drivers side door of his patrol car, the man under arrest said. His guess was close. Chapman had nine $100 bills. The next day, Chapmans department charged him with embezzlement. Chapman no longer works for CMPD, the department has said. Court records show that prosecutors dismissed the mans speeding charge on Sept. 6. The case was dismissed as there is a low likelihood of resolving a misdemeanor traffic matter in a timely manner, while the defendant remains in custody on federal criminal matters, said Mike Stolp, a spokesperson for the district attorneys office, in a message. Body camera footage told fuller story The Charlotte Observers news partner, WSOC-TV, obtained body camera footage of the arrest and confrontation by filing a petition in court. In North Carolina, a judge has to sign off on body camera video being released to the public. (My client) was alone and in handcuffs, and rightfully fearful of the officers who had his life in their hands, said Dominique Camm, an attorney for the man arrested, in a statement to the Observer this summer. He just witnessed a man with a badge and a gun manipulating his money and the officers he was trying to articulate that to, struggled to understand what he was saying or outright disbelieved him. He was thankful that body camera recordings provided a minimal balance in the power of credibility between an ordinary citizen and law enforcement since the latter is generally perceived as automatically credible, Camm added. On Friday, Camm questioned why prosecutors offered Chapman an Alford plea in the first place. Although Mr. Chapman accepted some responsibility for his actions, this process has been a slap in the face of accountability, he added. He did not know about the plea until a reporter called, he said. Stolp said an Alford plea is nothing out of the ordinary. Alford pleas are frequently entered on criminal cases across the country as a matter of law, he said. Their effect in the law is the same as any other plea of guilt. Ryan Oehrli covers criminal justice in the Charlotte region for The Charlotte Observer. His work is produced with financial support from the nonprofit The Just Trust. The Observer maintains full editorial control of its journalism. The S&P 500 index is offering a teeny-tiny dividend yield of just 1.2% today. In comparison to that, Portland General Electric's (NYSE: POR) 4.1% yield looks huge. Brookfield Renewable Partners' (NYSE: BEP) 5.8% is even more impressive. And Enterprise Product Partners' (NYSE: EPD) 7.2% is downright massive! All of these yields are also above average compared to the industries in which they operate, suggesting the stocks are cheaply priced today. Here's a look at each one. 1. Enterprise Products Partners is built to be boring Enterprise Products Partners is a midstream master limited partnership (MLP). It owns energy infrastructure assets like pipelines and processing and transportation facilities. Unlike many other energy companies, whose revenue and earnings are largely determined by commodity prices, Enterprise gets paid fees for the use of its assets. Thus, demand for energy is more important than the price of the products flowing through its midstream system. It's actually kind of a boring business, which conservative income investors will probably appreciate. As noted, the yield is 7.2%, backed by a distribution that has been increased annually for just over a quarter of a century. The average yield in the energy sector is 3.2%, and Enterprise's average yield over the past decade is around 6.8%. The MLP looks attractively priced today relative to both. But what's backing that yield? Well, there's no need to worry. Enterprise has an investment-grade balance sheet, and distributable cash flow covers the payout 1.7 times over. A lot would have to go wrong before a distribution cut was on the table. Keep in mind, though, that as a master limited partnership, there are some complications come tax time and not all MLPs can be held in a retirement account. 2. Brookfield Renewable is focused on a growing niche Brookfield Renewable comes in two flavors, a partnership with a 5.8% yield and a corporate version, Brookfield Renewable (NYSE: BEPC), with a 5.1% dividend yield. They represent the exact same entity, with the difference between the two yields related to demand (some investors avoid partnerships, including many institutional investors, like pension funds). Brookfield Renewable, as its name implies, invests in renewable power, a niche of the utility industry that's expected to see growth for years to come as the world shifts from carbon fuels to cleaner alternatives. Its asset portfolio spans North America, South America, Europe, and Asia, and it covers hydroelectric, wind, solar, and battery storage. Story continues In other words, it's a one-stop shop for renewable power. And the best part is that the vast majority of its revenue comes from contracts, so the business is highly reliable. Brookfield Renewable has increased its distribution for over a decade. It has an investment-grade credit rating and a solid 70% payout ratio of funds from operations in the second quarter of 2024. The best part is the runway for growth as utilities around the world continue to go green. For reference, the average utility (not a perfect comparison, but the most appropriate one available) yields around 2.9%. 3. Portland General Electric is regulated and increasing its spending Portland General Electric is a fully regulated electric and natural gas utility. It is about as boring as it comes, and the size of the company (it has a roughly $5 billion market cap) is modest in the utility sector. But the State of Oregon, where it operates, has an interesting little feature: Transpacific communication cables land in Portland General Electric's territory. That means the utility is an important hub for the technology sector, notably as a location for data centers. The company expects industrial demand to grow at a huge 7.5% a year. The utility's dividend yield is 4.1%, which compares very favorably to the average utility's yield of 2.9%. The dividend has been increased annually for 18 years. And the balance sheet is investment grade. Best of all, Portland General Electric is investing heavily in the transition toward clean energy, which should power earnings growth as regulators approve the rate hikes needed to cover the cost. This probably isn't going to be an exciting company to own, but it sure looks like a reliable high-yield dividend stock. Three high yields to choose from Enterprise, Brookfield Renewable, and Portland General Electric all have high yields on an absolute level and relative to the industries in which they operate. That suggests they are incredibly cheap passive-income stocks that you could plug into your dividend portfolio. Get to know them a little better and it's likely that one, if not more, will be on your buy list. Should you invest $1,000 in Enterprise Products Partners right now? Before you buy stock in Enterprise Products Partners, consider this: The Motley Fool Stock Advisor analyst team just identified what they believe are the 10 best stocks for investors to buy now and Enterprise Products Partners wasnt one of them. The 10 stocks that made the cut could produce monster returns in the coming years. Consider when Nvidia made this list on April 15, 2005... if you invested $1,000 at the time of our recommendation, youd have $730,103!* Stock Advisor provides investors with an easy-to-follow blueprint for success, including guidance on building a portfolio, regular updates from analysts, and two new stock picks each month. The Stock Advisor service has more than quadrupled the return of S&P 500 since 2002*. See the 10 stocks *Stock Advisor returns as of September 9, 2024 Reuben Gregg Brewer has no position in any of the stocks mentioned. The Motley Fool has positions in and recommends Brookfield Renewable. The Motley Fool recommends Brookfield Renewable Partners and Enterprise Products Partners. The Motley Fool has a disclosure policy. 3 Incredibly Cheap Dividend Stocks With Yields Up to 7%! was originally published by The Motley Fool NORTHAMPTON, Mass. (WWLP) A former Easthampton man is facing 35 criminal charges related to the possession and distribution of child sexual abuse material between 2021 and 2023. Liam Galpin, 22, pleaded not guilty to all charges during an arraignment in Hampshire Superior Court on Friday. The charges include seven counts of possession of child sexual abuse material, 26 counts of dissemination of visual materials depicting a child in sexual conduct or nudity, one count of dissemination of bestiality, and one count of attempting unauthorized use of a computer system. Northwestern Assistant District Attorney Anne Yereniuk, who is prosecuting the case on behalf of the Commonwealth, said the charges stem from a series of cyber tips provided by Microsoft to the National Center for Missing and Exploited Children (NCMEC). These tips led to investigations by detectives from Easthampton and Northampton police departments, ultimately resulting in the charges against Galpin. Judge Bertha D. Josephson, who presided over the hearing, set bail at $10,000. Galpin was ordered to reside with a relative and is prohibited from having contact with minors under the age of 18. Galpins defense attorney, Jonah Goldsmith of the Committee for Public Counsel Services, agreed to the bail amount and conditions. The case is set to return to court on January 14, 2025, for further proceedings. Local News Headlines WWLP-22News, an NBC affiliate, began broadcasting in March 1953 to provide local news, network, syndicated, and local programming to western Massachusetts. Watch the 22News Digital Edition weekdays at 4 p.m. on WWLP.com. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WWLP. The State Bar of California filed disciplinary charges Thursday against a former high-ranking official in the Los Angeles city attorney's office for his alleged role in a Department of Water and Power scandal. Jim Clark, a top deputy under then-City Atty. Mike Feuer, secretly directed other attorneys to orchestrate a class-action lawsuit so that claims over a botched DWP billing system could be settled on terms favorable to the city, State Bar prosecutors allege. Clark "directed and authorized a scheme of collusion and deceit that was a blatant betrayal of his duties as an attorney and public official, George Cardona, the Bars chief trial counsel, said in a statement. Read more: Secret FBI files allege former L.A. city attorney lied to feds, likely obstructed justice. He denies it Erin Joyce, an attorney for Clark, said the Bar was attempting to "tarnish Mr. Clark's record and good name" based on unfounded allegations. "Mr. Clark is a highly respected attorney who has enjoyed a distinguished legal career over the past 49 years, unblemished until now by a single complaint to the State Bar or by any allegation of ethical impropriety," Joyce said. If the charges are upheld by the State Bar Court, Clark faces disbarment, suspension or probation. A partner for 30 years at the law firm Gibson, Dunn & Crutcher, Clark joined the city in 2013. He left to pursue mediation work in 2020, a year after the FBI raided his office and others as part of a criminal investigation into the sham lawsuit. Clark, who wasn't charged in the criminal probe, collects a city pension worth nearly $4,000 a month. The State Bar complaint alleges that Clark "instructed" three attorneys working under a city contract to find "friendly counsel" to file a class-action lawsuit against the city over the faulty DWP billing system, which had issued erroneous bills to thousands of customers, including one Van Nuys couple billed nearly $52,000. The DWP faced multiple lawsuits over the billing debacle. With an attorney on the plaintiffs' side of the lawsuit colluding with the city's team, the city could settle the claims on its desired terms. Bar prosecutors also allege that Clark gave "false and misleading statements" in another lawsuit by denying his role in the sham lawsuit in a signed declaration. Clark also concealed information from the civil court overseeing the DWP lawsuits, prosecutors said. Clark knowingly committed "acts involving moral turpitude, dishonesty, and corruption" in violation of the California Business and Professions Code, which is part of the State Bar Act, prosecutors said. Clark faces additional State Bar charges for accepting $640 worth of tickets to a 2016 Who concert from an attorney involved in the collusive lawsuit and failing to report the gift as required under city law. The State Bar has also filed charges against a former DWP commissioner and an outside attorney and has proposed a temporary suspension for a city attorney who works at the DWP all in connection with the massive scandal. Clark's name appeared extensively in FBI search warrant affidavits made public in May after The Times sought their release from a federal court. Former DWP general manager David Wright accused Clark of lying about his role in the collusive lawsuit, one affidavit stated. Read more: Top Feuer deputy who worked on DWP settlement quits city attorney's office Marisol Mork, another Clark attorney, told The Times in May that Wright's "allegations are riddled with inaccuracies" and denied that Clark did anything wrong. Multiple witnesses told FBI agents that Clark suffered from an unknown condition in 2017 and 2018 that affected his "functionality" at work and prompted him to take a medical leave, one of the affidavits said, with partial redactions to protect Clark's privacy. In the affidavits, an FBI agent also said he believed Feuer, who served as city attorney from 2013 to 2022, had lied to government investigators and probably obstructed justice. Feuer disputes the agent's allegations and maintains his innocence. Four people, including onetime DWP general manager Wright and high-ranking officials in the city attorney's office, pleaded guilty to various federal crimes, including bribery. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. Former Russian president threatens to turn Kyiv into melted spot if long-range missiles approved The former Russian president Dmitry Medvedev has warned that the Kremlin could reduce Kyiv to a giant melted spot if Ukraines allies give the green light for them to fire long-range missiles deep into Russia. Ukraine has been calling on the West to allow it to use Western-supplied long-range missiles to target military sites in Russia, which the country says is vital to its war effort. However, Vladimir Putin has warned that if the US, UK and France give Kyiv permission to fire Storm Shadow missiles at its territory, it would amount to Nato being at war with Moscow. And in an expletive-laden message characteristic of Mr Medvedev, the deputy chair of Russias Security Council issued another threat on behalf of the Kremlin on Saturday. Ukrainian president Volodymyr Zelensky has asked to use Western-supplied long-range missiles to target military sites in Russia (PA) Claiming the West is underestimating the possibility of Moscow retaliating to the decision, he wrote on the Telegram messenger app: The pompous Anglo-Saxon b***ards do not want to admit one thing: any patience comes to an end. And then thats it. A giant grey melted spot on the site of the mother Russian city. Holy s**t! Its impossible, but it happened Moscow is known to issue sensationalist threats of further escalation against the West and Ukraine if support for Kyiv is increased. Mr Medvedevs threats have consistently been some of the most aggressive, and are more closely aligned to the hyperbolic statements from Russian state media presenters than comments from Mr Putin. The former Russian presidents last post on X, formerly Twitter, suggested Russia could use hypersonic missiles to sink Britain in the wake of foreign secretary David Lammys trip to Kyiv earlier this week. But it comes as the US appears to be unsure whether to cross this latest Kremlin red line. Although the UK believed to be supportive of lifting the restriction, Washington has the ultimate say as the systems rely on US technology to work. Volodymyr Zelensky, centre, with US secretary of state Antony Blinken, left, and British foreign secretary David Lammy, right, during a meeting in Kyiv on Friday (EPA) Ukraine made a renewed call on Saturday for the West to give the go-ahead, after a meeting between US and British leaders a day earlier produced no visible shift in their policy on the use of long-range weapons. Ukrainian presidential adviser Andriy Yermak said: Russian terror begins at weapons depots, airfields, and military bases inside the Russian Federation. Permission to strike deep into Russia will speed up the solution. Meanwhile, Russia and Ukraine exchanged hundreds of prisoners of war on Saturday for the second day in a row, with each side releasing 103 people. The Russian defence ministry said the Russian soldiers exchanged had been taken prisoner in Russias Kursk region after Ukraines cross-border assault last month. The United Arab Emirates said it mediated the exchange of 206 prisoners between Russia and Ukraine, in what is their eighth such mediation. Ukrainian president Volodymyr Zelensky hailed the officials overseeing the exchange in a message on X, saying: Our people are home. MEMPHIS, Tenn. A man was shot dead in Mason, Tennessee and four people are charged with his murder, the Tipton County Sheriff says. Deputies responded just after 8 p.m. Wednesday to the 4400 block of Mason Charleston Road, where a man had been found with a gunshot wound, Tipton County Sheriff Shannon Beasley said. Deputies and EMS began life-saving procedures but were not able to save the victim. He was identified by authorities as Anthony Moore, 44, who was the homeowner. Investigators found signs of forced entry into the home and by Thursday, had detained four suspects. Each has been arrested and charged with 1st Degree Murder in Perpetration of Especially Aggravated Burglary and is being held with no bond. They are: Darel Darrell Darnell (34) of Oakwood, TN Zyun Marrell Glass (23) of Covington Maurice Taylor, Jr. (24) of Covington Sarah Pauline Ewing (39) of Covington Three arrested after Midtown carjacking, East Memphis chase This is a very sad and tragic incident and an absolute senseless killing, Beasley said. Its bad enough that anyone would plan to rob or steal from someone but to kill another human being over property, especially in their own home, is beyond sickening. If anyone has information in this case, call the Criminal Investigations Division at 901-475-3300, Central Dispatch after hours at 901-475-4300, phone in tips at 901-475-3307, email tips to sheriff@tiptonco.com or Facebook Sheriff Shannon Beasley. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WREG.com. WINCHESTER, Mo. Authorities are searching for four suspects who allegedly took off with half a million dollars worth of jewelry Saturday afternoon from an armed robbery near Ballwin. The Ballwin Police Department says a jewelry store in the 14000 block of Manchester Road was robbed around 12:15 p.m. Saturday in the Winchester shopping plaza. Investigators say four masked suspects entered a store, stole various high-priced goods and left in two vehicles. No one was hurt. Though the stores manager tells police he lost nearly half a million dollars in inventory. The manager of the store told Ballwin police that the suspects were wearing old people Halloween masks. Additional details on the suspects are unavailable at this time. Police encourage people to avoid the area while detectives are on scene investigating. If you have any relevant information, contact the Ballwin Police Department at 636-227-9636. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 2. The Reverend Brett Murphy with some of the congregation outside Emmanuel Free Church of England church in Morecambe, Lancashire - Lorne Campbell /Guzelian A church is embroiled in a free speech row after sacking a vicar who ran an anti-woke YouTube channel. Breakaway Anglican denomination, the Free Church of England (FCE), dismissed the Rev Brett Murphy last month after ruling that the nature and tone of videos he posted online had brought the church into disrepute. The FCE took issue with the vicar using the term witch to refer to female priests. The vicar, who opposes the ordination of women, has claimed the comments were tongue in cheek and sarcastic and that he has a right to free speech. It was not my intention to say all female clergy were witches, but to criticise militant feminism within the church, he said. But the ruling rejected this. Your comments on the freedom of speech are noted, but there are proportionate limits to this right particularly when it comes to protecting the churchs reputation, the ruling read. The disciplinary hearing also upheld allegations that he failed to follow reasonable instructions from your bishop and failed to file the churchs accounts in a timely manner. The churchs ruling, seen by The Telegraph, upheld one allegation of inappropriate online activity, finding that his YouTube channel did not sit with the principles and values of the church. The Rev Murphy uses the channel to comment on news and developments in Christianity from a conservative Christian standpoint. He is now appealing against the ruling, claiming the investigation was not carried out fairly. A screen grab from Rev Brett Murphys YouTube channel, on which he referred to female clergy as witches - Rev Brett Murphy/YouTube He also claims he is being forced out by his bishop, the Rt Rev John Fenwick, describing the disciplinary proceedings against him as a kangaroo court. But the bishop rejected the criticism, saying: The personal nature of the attacks on me is entirely misplaced. Rev Murphy was cleared of wrongdoing last year by a Church of England tribunal after he referred to its first transgender archdeacon as a bloke. Last year, the father of two left the Church of England to join the FCE along with the Rev Calvin Robinson, a political commentator. He was made the vicar of Emmanuel, Morecambe, in July 2023 and under him the congregation grew in size from two worshippers to 50. But after 13 months in the position, he was sacked by email on Aug 9 following a disciplinary hearing brought against him by the FCE. It has been one of the most traumatic experiences of our lives, he told The Telegraph. I think Ive got pretty thick skin because Ive been through a lot as a minister. Enduring a kangaroo court and the injustice of that was pretty unpleasant. The cold and callous disregard about caring for my pregnant wife and two children has been a concern to us. The Rev Murphy added: I cant help but feel like there have been daggers in my back. The FCE should have really been right on board [with the channel] because I was only proclaiming doctrines that were congruent with their own beliefs. Rev Murphy, who has received more than 140,000 in online donations since his sacking to fund the purchase of a home, is considering setting up a separate church with his congregation in Morecambe if the appeal against his dismissal fails. The Rev Murphy has accused Dr Bob Stephen, the FCEs general secretary, of being the one person issuing the allegations, investigating and holding the hearing on his own, analysing the evidence and issuing the dismissal at the hearing. This appears to contradict both the FCEs own rules, which say accusations against ministers should be judged by a panel of clergy and laity, and guidelines issued by Acas, the conciliation service, that different people should carry out the investigation and disciplinary hearing in misconduct cases. Andrea Williams, the chief executive of the Christian Legal Centre, said: The mark of a passionate vibrant Christian faith is the ability of a man to grow a church. Brett has done this, and his community love him. To remove him and his young family from the church and home is cruel. The Rt Rev Fenwick said: I am unable to comment on the termination of Mr Murphys contract of employment, or the circumstances surrounding it, as the process has not yet been concluded and the Free Church of England, for its part, is observing the confidentiality that is expected in such matters. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Free updated Covid-19 vaccines given out by Springfield Pharmacy to help slow the spread SPRINGFIELD, Mass. (WWLP) As COVID-19 cases continue to rise, the distribution of a newly released FDA-approved vaccine is bringing hope to residents of Springfield. 22News has a closer look at this updated vaccine, and how the city is trying to slow the spread. Good for them and also for myself because they wont pass it onto me and I wont pass it onto them, said Helen Walter of Springfield. To help mitigate the spread of covid-19 as we head into a new season, the Bay Area Neighborhood Resource Center in Springfield had the opportunity to receive a free mRNA vaccine. I have breathing problems and they say with Covid it affects your breathing, So I think its wonderful, and we dont even have to come that far, I only live a couple of streets over, added Walter. The updated COVID-19 vaccine has been released at a crucial time as the number of cases continues to rise in Massachusetts and across the country. In just the past week, there were over 2,000 confirmed cases and 13 deaths in the state. The reason for the spike was due to the omicron strains called FLiRT. Its so contagious and it can go airborne very quickly so you had Fathers day, the fourth of July, family reunions, cruises, and people flying on planes, said State Representative Bud Williams. Covid infections are expected to reach the highest between Thanksgiving and Valentines Day, peaking around Christmas. We are very concerned for those, especially for those 65 and older and in underlying conditions, added Williams. Experts say with these new updated vaccines youll be able to protect yourself from any newly recent circulating variants, preventing yourself from serious illness and hospitalization. Usually with each vaccine it is covering different variants going through the air from last year up until this year, said Nurse, Springfield Pharmacy, Ashley Perez. She adds if you do feel sick take the following precautions, please stay home, put a mask on, call your PCP office, try to get any free COVID test at hand, added Perez. Vaccine clinics will continue every Friday At the Bay Area Neighborhood Resource Center. The city will also start giving out free vaccines on October 1st, in multiple locations including the Raymond Jordan Senior Center. Local News Headlines WWLP-22News, an NBC affiliate, began broadcasting in March 1953 to provide local news, network, syndicated, and local programming to western Massachusetts. Watch the 22News Digital Edition weekdays at 4 p.m. on WWLP.com. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WWLP. An offender freed under the Governments early release scheme allegedly sexually assaulted a woman within an hour of leaving prison. Amari Ward, 31, is said to have been one of around 1,750 prisoners released on Tuesday after jails in England and Wales came close to running out of space. Ward is claimed to have been free for 30 to 40 minutes before allegedly reoffending at Sittingbourne Station in Kent, according to The Sun. He was later arrested in Croydon, south London, and appeared before the towns magistrates on Thursday charged with sexual assault. Under the early release scheme, prisoners were released 40 per cent of the way through their sentences rather than halfway. Up to 1,700 more are due to be freed on Oct 22. People spray sparkling wine over a man who walked out of Nottingham Prison - Tom Maddick/SWNS Outside HMP Wandsworth and other prisons, those freed were greeted by a celebratory atmosphere of sparkling wine showers and cheering friends. One released prisoner was driven away from Pentonville jail in a 200,000 Lamborghini. Some prisoners are believed to have already been recalled for breaches of their licence, which places restrictions on where they can go, who they can meet and where they can live, as well as requiring them to attend meetings with their probation officers. Court documents seen by The Sun said Ward had offended on the same day released and had now been recalled to prison until 2026. Ward is set to appear before Maidstone Crown Court on Oct 10. Martin Jones, the chief inspector of probation, had predicted the early recalls on Tuesday, saying prisoners were almost bound to be sent back to jail within days or weeks because things will go wrong in the community and they would breach the terms of their licence. He said that a third of those freed were likely to reoffend within a year of their release, based on previous trends. Ministry of Justice figures show that the number of recalls of freed prisoners for breaching their licence was 7,415 between January and March this year, a 9 per cent increase on the same quarter in the previous year. People will be nervous Charlie Taylor, chief inspector of prisons, said violent criminals who posed a high risk of harm to the public would be among the 1,750 released on Tuesday. Mr Taylor told the BBC he recognised people will be nervous as there is a risk of some prisoners reoffending. The Government excluded sex offenders, terrorists, convicted domestic abusers and anyone with a sentence for violence of more than four years. However, freed prisoners would include those who were jailed for less than four years for violence and people who may have assaulted a partner or former spouse, including causing grievous bodily harm, but who were not convicted of a specific domestic abuse offence such as stalking or coercive control. A British Transport Police spokesman said: Amari Lindon Ward, 31, date of birth July 30 1993, has been charged with sexual assault following an incident at Sittingbourne railway station on Sept 10 at around 1.07pm. He has been recalled to prison and is next due to appear at Maidstone Crown Court on Oct 10. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. (KRON) Charles Angel Salva, 30, of Fremont, is facing a potential 20 years in federal prison after assaulting passengers and flight attendants on a San Francisco-bound flight in September, a federal complaint filed by the Justice Department Thursday alleges. According to the complaint, Salva was on a Frontier Airlines flight bound from an Orange County airport destined for San Francisco International Airport on September 9. Oakland sideshow suspects arrested after leading CHP on chase Right after taking offwhile the airplane was climbing and under 10,000 feetflight attendants noticed one of the middle rows oxygen masks out of its compartment and Salvas hand stuck in the overhead compartment, the complaint said. One passenger later told police that Salva appeared claustrophobic and seemed like he wanted to get off the plane, leading to him reaching for an oxygen mask and getting his hand stuck. The complaint says Salva allegedly began yelling obscenities at flight attendants, such as We are all going to hell and This airplane is going down! Salva then ran to the back of the plane, allegedly grabbing at fellow passengers before flight attendants tried to restrain him. While attempting to restrain him, Salva allegedly then attempted to choke a flight attendant, leaving two small marks on the victims neck, the complaint said. Salva then pushed another flight attendant and said he was going to kill everybody, the complaint alleges. Salva allegedly broke out flex cuffs and had to be restrained by a seatbelt, the affidavit alleges, claiming passengers also aided in restraining the Fremont man. During the incident, Salva kicked one flight attendant approximately six times in the leg, causing apparent bruising and swelling, which required medical attention, the affidavit alleges. The complaint says the flight diverted to Ontario International Airport because the flight attendants did not feel safe trying to put Salva back in his seat. Salva is charged with interference with flight crew members and attendants. The Fremont man was arrested Wednesday and is expected to make his initial appearance on Thursday in US District Court in Riverside. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. Fresno County confirms first West Nile Virus death in 2024 FRESNO COUNTY, Calif. (KSEE/KGPE) The first death caused by the West Nile Virus (WNV) in Fresno County in 2024 was confirmed on Friday by the Fresno County Department of Public Health (FCDPH). According to the California Department of Public Health, there have been 38 reported human WNV cases in California in 2024, four of those resulting in death. Our heartfelt condolences go to the family and friends. We need to stay vigilant as mosquitoes may continue to test positive for WNV in the County and may continue to cause several severe illnesses, including WNV infection, which can require hospitalization and intensive care as well as death, said Dr. Rais Vohra, Fresno County Interim Health Officer. Officials with Fresno County and the FCDPH remind residents that mosquito season is still in full force and prevention is the key to protecting themselves from mosquito bites. Mosquitoes become infected with the virus upon biting infected birds, and once infected, these mosquitoes can then spread the virus to humans and other animals with their bite. To reduce the risk of being bitten by mosquitoes and contracting WNV, health officials advise residents to take the following precautions: Apply insect repellents that contain DEET, picaridin, oil of lemon eucalyptus or IR 3535, especially at dawn and dusk when mosquitoes are most active. Make sure that doors and windows have tight-fitting screens to keep out mosquitoes and repair or replace screens with holes. Eliminate standing water and containers that can hold water from around the home. Contact mosquito abatement districts to report poorly maintained swimming pools or water features that appear green. For more information, visit the Fresno County Mosquito Control website. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. LANZHOU, Gansu/BAOJI, Shaanxi, Sept. 13 (Xinhua) -- Chinese President Xi Jinping urged northwest China's Gansu Province to deepen reform, make innovation, and do solid work to improve the people's well-being and the region's prosperity, to write a Gansu chapter in Chinese modernization. Xi, also general secretary of the Communist Party of China (CPC) Central Committee and chairman of the Central Military Commission, made the remarks during an inspection from Tuesday to Friday. Gansu should take solid steps in improving ecological conservation and restoration, accelerating green and low-carbon transition, further deepening reform and opening up comprehensively, promoting rural revitalization across the board, better securing people's livelihood and strengthening ethnic unity, Xi said during his inspection tour in Gansu Province. On Tuesday afternoon, Xi visited the Fuxi Temple in Tianshui City, where he learned about the protection and preservation of cultural heritage. The temple is dedicated to Fuxi, a mythical ancestor of the Chinese nation. It is imperative to protect and pass on the precious cultural heritage, so that the wisdom and creativity of ancestors will constantly inspire future generations and enhance national pride and confidence, Xi said. On Wednesday morning, Xi listened to the report of a local water diversion project and urged better maintenance and management of the project so that it would play a greater role in improving people's lives. While visiting a Huaniu apple production base, he emphasized the importance of optimizing cultivation of this variety and innovating marketing models so that this specialty industry will be expanded and more people will increase their incomes through it. Xi then visited the Maijishan Grottoes, where he carefully inspected the caves, sculptures and paintings that date back over 1,600 years. He called on cultural relic workers to make greater contributions to promoting the preservation and innovative development of China's traditional culture and enhancing its influence. On Wednesday afternoon, Xi came to Lanzhou, the provincial capital. At a local residential community, he emphasized the necessity of focusing on the practical needs of residents, especially the elderly and children, and continuously improving community services. During his visit to retired Party member Li Zongbiao, Xi said that local authorities have worked hard in providing elderly care, healthcare and community services and the work must continue and improve over time. When speaking to local residents, Xi said 2024 marks the 75th anniversary of the founding of the People's Republic of China and the Chinese people should be more united and make more efforts to create even greater achievements. He extended his holiday greetings to the residents ahead of this year's Mid-Autumn Festival, which falls on Sept. 17. Xi then inspected a section of the Yellow River near the Zhongshan Bridge in Lanzhou and learned about the ecological protection of the country's "mother river." He called for joint efforts in protecting the river so that it will benefit the people forever. On Friday morning, Xi listened to the work report from the CPC Gansu Provincial Committee and the provincial government. Gansu should promote new-type industrialization, accelerate the transformation and upgrading of traditional industries, build a significant national manufacturing base for new energy and new-energy-related equipment, and fortify the ecological security in the western part of the country, Xi said. He stressed the need to deepen reform and expand opening up with greater courage and determination, and to implement the people-centered new urbanization strategy effectively. Xi emphasized the need to strengthen cultural heritage protection and build the Dunhuang Academy into an example of world cultural heritage protection and a center of Dunhuang studies. He also called for efforts to advance the building of national cultural parks dedicated to the Great Wall, the Long March and the Yellow River. Efforts should be made to promote social advancement and governance, and lay a solid foundation for common prosperity for people of all ethnic groups, he said. On his way to Gansu, Xi visited the Baoji Bronze Ware Museum in Baoji City of Shaanxi Province on Tuesday afternoon. He hailed China's bronze civilization and called for better protection, research and promotion of bronze cultural relics. Xi also inspected a local riverside ecological park. Cai Qi, a member of the Standing Committee of the Political Bureau of the CPC Central Committee and director of the General Office of the CPC Central Committee, accompanied Xi on the inspection tour. On Thursday morning, Xi met with senior officers and representatives of soldiers and civilian staff of the Chinese People's Liberation Army troops stationed in Lanzhou. (Video reporters: Ma Muwangqing, Li Hengyi, Guo Gang, Ma Sha; Video editors: Wang Houyuan, Wei Yin, Yin Le, Meng Na, Li Qin, Su Yiren, Qi Xing.) JIM LO SCALZO/EPA-EFE / Shutterstock / JIM LO SCALZO/EPA-EFE / Shutterstock While Trump hasnt gotten into the nitty-gritty of his plan for helping seniors if hes elected President, reviewing his track record in the White House from 2016 to 2020 and watching him in the media can provide a clue of how life could be financially easier for retirees under a Trump win. Find Out: What a Trump Presidency Could Mean for Social Security in 2025 Read Next: 9 Easy Ways To Build Wealth That Will Last Through Retirement Here are six unexpected ways a Trump presidency could affect your wallet if youre over 65. Earning passive income doesn't need to be difficult. You can start this week. Cut Drug Costs for Seniors When Trump took office, basic Part D premiums decreased by 13.5% from $34.70 in 2017 to $30 in 2020. The Trump Administration saved seniors $1.9 billion throughout that period. Part D enrollment increased 12.2% between 2017 and 2019. If he wins the White House, well likely see more ways retirees can save on prescription drug plans. Seema Verma, the former administrator of the Centers for Medicare and Medicaid Services (CMS), said that under Donald Trumps leadership, CMS had lowered prescription drug costs. During his presidency, Trump boosted competition among drug providers and reported saving taxpayers around $6 billion through cheaper Medicare subsidies. Verma added that the Trump Administration offered seniors lower costs at a time when healthcare costs continued to go up. Verma said that Following Trumps initiative, CMS implemented actions to drive down costs for seniors in the drug program. Check Out: Trump Wants To Eliminate Income Taxes: How Would That Impact You If You Are Retired? Increase Transparency and Choice in Healthcare Today, both presidential candidates and the Biden Administration realize the importance of negotiating with big pharma to provide low-cost prescription options for seniors, especially insulin, whose costs have risen astronomically. During the coronavirus pandemic, former president Trump and CMS worked together to give retirees broader choices in plans, making it easier to understand their options and put beneficiaries in control. CMS created tools that increased transparency in pricing to support the initiative so seniors could compare plans and find affordable options in the following ways. Reduced out-of-pocket prescription drug costs by implementing tools that provided clinicians with costs to discuss options with patients when prescriptions were written. Passed legislation to ban gag clauses, which enabled pharmacists to share information about other ways to access cheaper prescription drug options. Mailed patients an Explanation of Benefits document each month that introduces prescription increases and lower-priced alternatives. Empowered Part D patients by offering more drug choices available in the private sector. Reducing the cost of medicines for low-income beneficiaries. Allowed substitutions on formulary lists so people could access lower cost-sharing options. Increased competition by making more plan options available. Story continues Provide Job Training for Retirees With Disabilities At a time when over seven million job openings could not be filled, Trump provided apprenticeship programs to fill the gap in the workforce, helping 450,000 job seekers with disabilities, including retirees, reenter the workforce. Trump signed an Executive Order instructing the Labor Department to develop these apprenticeship programs in 2017. Programs taught participants in-demand skills and connected them with job opportunities. The average beginning wage for graduates was $70,000 per year. Trump could likely continue these types of apprenticeship programs. The Biden Administration has also established student loan forgiveness programs for many Americans. If Trump becomes president, these programs could likely continue. Eliminate Student Loan Debt for Disabled Veterans and Find Others Civilian Jobs During the Trump Administration, he helped hundreds of thousands of veterans find civilian jobs in the workforce. The former President also wiped away federal student loan debt incurred by U.S. veterans if they were fully disabled. Many retired veterans had finished their tours decades ago and still owed thousands of dollars in student loans, creating hardships for them and their families. If the veterans were permanently disabled, their loan debt could be forgiven, alleviating that financial stress. Provide Retirement Planning Incentives for Seniors and Businesses Trump originally drafted the SECURE Act, an initiative to incentivize employees to prepare for retirement. The Act offered various options and incentives for workers and employers to access saving options and tax-advantaged savings programs that could be accessible to everyone. One of the key goals of the SECURE Act was to incentivize employees to offer new 401(k) plans and broaden access to existing plans for a larger number of workers than what was available before Trumps time in office. He drafted legislation that provided businesses with tax credits for starting new retirement plans for employees, which included designing auto-enrollment features that made it simpler for employees to enroll in a retirement plan. Some of the changes the SECURE Act introduced included lifting the maximum age to contribute to traditional IRAs, raising the age for accessing minimum distributions from retirement accounts, and providing additional tax incentives for employers that matched worker retirement savings plans. Now, renamed the SECURE Act 2.0, with some modifications, its more than likely that Donald Trump will provide additional retirement savings investing incentives, especially with the threat of the Social Security Trust fund at risk. No Taxes on Social Security or Raising the Eligibility Age Seniors should not pay tax on Social Security, Trump [posted] on his social media pages, said Aaron Cirksena, founder and CEO of MDRN Capital. If Trump becomes president, he said he will use Social Security to strengthen the economy without raising taxes or increasing the age eligibility for retirees to qualify for benefits, said Cirksena. This would benefit retirees [by enabling them to] save money and gain more stability, Cirksena said. Editors note on election coverage: GOBankingRates is nonpartisan and strives to cover all aspects of the economy objectively and present balanced reports on politically focused finance stories. For more coverage on this topic, please check out 5 Surprising Ways Retiring Could Be Easier Financially Under Harris. More From GOBankingRates This article originally appeared on GOBankingRates.com: 6 Surprising Ways Retiring Could Be Easier Financially Under Trump Zanele Sibanda's loved ones gathered in Tredworth, Gloucester to remember the care worker [BBC] A friend of a woman who was killed last month says she "sits and waits for her call", weeks after her death. The family, friends and colleagues of Zanele Sibanda gathered in Tredworth, Gloucester on Friday afternoon to pay tribute to her. Care worker Ms Sibanda was stabbed to death in the early hours of 27 August. Ms Sibanda's friend and colleague, Sendy Chikanya said the 28-year-old "always had a smile on her face". Ms Chikanya told the BBC she was with Ms Sibanda just hours before her death. "I met Zanele when we got to work together in the past year," she said. "We just became friends, she was very outspoken and she always had a smile on her face. "She would call me every single time to ask me 'Are you okay?', and that's how we used to cope with work." Flowers were laid where Ms Sibanda died [BBC] When asked how much she missed Ms Sibanda, Ms Chikanya replied "a lot". "She was always there to support, always there whenever I needed someone to talk to," she said. "I just sit and wait for her call." 'Good team player' Adeyemi Adedokun, another of Ms Sibanda's colleagues, said she was "a good part of the team" at Care 1st Homecare. "I only knew her in work and I can say she is outspoken and a good team player," he said. Those who took part in the vigil lit candles at Ms Sibanda's home in Dora Walk, before walking a short distance to lay flowers where she died. A 32-year-old man has been charged with murder and is due back in court next month. Follow BBC Gloucestershire on Facebook, X, and Instagram. Send your story ideas to us on email or via WhatsApp on 0800 313 4630. Didim, Aydin, TURKEY (Reuters) - Hundreds of people waving Turkish and Palestinian flags gathered for the funeral on Saturday for Aysenur Ezgi Eygi, a Turkish-American activist killed in the Israeli-occupied West Bank. Turkey's Vice President Cevdet Yilmaz, Foreign Minister Hakan Fidan, Parliament Speaker Numan Kurtulmus and main opposition Republican People's Party (CHP) leader Ozgur Ozel were among mourners at the ceremony in the Turkish Aegean coastal city of Didim. A guard of honour carried the 26-year-old's coffin, which was draped with a Turkish flag. "The blood of Aysenur Eygi is as sacred as that of every Palestinian martyred, and we will follow it until the end. As the Turkish nation, we are fully committed. As a state, we are fully committed," Kurtulmus told reporters. Eygi was killed on Sept. 6 as she took part in a protest against settlement expansion in the West Bank. Israel has acknowledged that its troops shot the activist, but says it was an unintentional act during a demonstration that turned violent. "She was directly targeted and shot behind her left ear. May God have mercy on her, and may her soul rest in paradise," Kurtulmus said. Ankara said it will request international arrest warrants for those to blame for what it calls an intentional killing. U.S. President Joe Biden and Vice President Kamala Harris said on Wednesday the killing of Eygi was unacceptable and Israel must do more to make sure such an event never happens again. Kurtulmus said Turkey would "ensure this case is pursued until the end". "We will hold them accountable in all international courts. Yesterday, we completed all autopsies in accordance with international standards," he said. Prayers were held for Eygi in various Turkish cities, including Istanbul and Ankara. (Reporting by Ece Toksabay; Editing by Mike Harrison) Hundreds of people have attended the funeral of activist Aysenur Ezgi Eygi, a joint US-Turkish citizen who was shot dead in the occupied West Bank last week. A guard of honour carried Eygis coffin during the funeral ceremony, which took place in her home district of Didim, in western Turkey. Israel has said it was highly likely the 26-year-old activist was killed by its forces during a protest in the West Bank on 6 September. Turkey says it was an intentional killing. Eygi's death has inflamed tensions between the two countries, and several Turkish government officials, including Vice President Cevdet Yilmaz, attended the funeral. Mourners carrying Palestinian and Turkish flags were seen crowding outside Didims Central Mosque as proceedings took place. Also among the mourners were Turkish Foreign Minister Hakan Fidan, Parliament Speaker Numan Kurtulmus and leader of the opposition Republican People's Party (CHP), Ozgur Ozel. Hundreds of people turned out in Didim for Saturday's funeral [EPA] "The blood of Aysenur Eygi is as sacred as that of every Palestinian martyred, and we will follow it until the end," Kurtulmus told reporters, according to Reuters news agency. "As the Turkish nation, we are fully committed. As a state, we are fully committed." Prayers were held for Eygi in cities across Turkey, including Istanbul and the capital Ankara. Her mother, Rabia Birden, said on Friday: "The only thing I ask of our state is to seek justice for my daughter," according to Anadolu news agency. The Israel Defense Forces (IDF) had previously said it was highly likely Eygi was "hit indirectly and unintentionally" by IDF fire, which was not aimed at her, but aimed at the key instigator of the riot. US Secretary of State Antony Blinken said the killing was unprovoked and unjustified. No-one should be shot and killed for attending a protest, no-one should have to put their life at risk just for freely expressing their views, Blinken told reporters shortly after the IDF released its statement. Eygi was buried in Didim Asri Cemetery, western Turkey [Getty Images] Relations between Turkey and Israel have deteriorated since the Israel-Gaza war began in October 2023. Turkey suspended all imports and exports from Israel in May and Turkish President Recep Tayyip Erdogan has previously labelled Israeli Prime Minister Benjamin Netanyahu the "the butcher of Gaza". In response to the suspension of trade, Israel's foreign minister Israel Katz accused Mr Erdogan of acting like a "dictator". The American-Turkish activist Aysenur Ezgi Eygi, who was killed by Israeli gunfire in the West Bank last week, has been buried in her familys hometown in southwestern Turkey. The activists body arrived in Turkey on Friday in a flat top coffin, wrapped in the Turkish flag and carried by soldiers, in a ceremony that is usually reserved for fallen troops. Her coffin was placed outside Didim Central Mosque on Saturday, where hundreds of people gathered to pay their respects to the 26-year-old. A smaller event later took place at a cemetery where an imam read verses from the Quran and mourners laid white flowers on her grave. Mehmet Suat Eygi, father of Turkish-American activist Aysenur Ezgi Eygi killed in the Israeli-occupied West Bank, prays with relatives and friends next to her grave. - Dilara Senkaya/Reuters Eygi, who was born in Turkey and had joint US citizenship, was shot by Israeli forces while taking part in a weekly protest against an Israeli settlement near the Palestinian village of Beita. All Israeli settlements are considered illegal under international law. She was a recent graduate of the University of Washington, and had been volunteering with the International Solidarity Movement (ISM), the same pro-Palestinian activist group as Rachel Corrie, a US citizen killed in 2003 while attempting to stop an Israeli bulldozer from demolishing Palestinian homes in Gaza. The Israel Defense Forces (IDF) said it was highly likely that Eygi was hit indirectly and unintentionally by IDF fire. In its initial inquiry into the incident, the IDF said that the shot was not aimed at the activist, but at the key instigator of a violent riot at the Beita Junction where it said Palestinians burned tires and hurled rocks at Israeli security forces. It didnt name the alleged instigator. US President Joe Biden called the shooting totally unacceptable and said there should be full accountability over her death. But he has also called the shooting a tragic error. The speaker of Turkeys Grand National Assembly, Numan Kurtulmus spoke outside of the mosque during the procession and said Eygis killing was not the fault of a handful of Israeli soldiers, this is the crime of a terrorist state. Kurtulmus indirectly referred to Biden, calling him so far from humanity for describing Eygis death as an apparent accident. A guard of honour carries the Turkish flag-draped coffin of Aysenur Ezgi Eygi during her funeral ceremony in Didim. - Dilara Senkaya/Reuters The ISM has criticized Biden for refusing Eygis familys demands for an independent and transparent investigation into her death. Why arent we seeing justice? one of her friends, Juliette Majid, told CNN. What are they, what are they doing? We just want to be able to have justice for our friend, for our loved one. We want justice for those she loved most, for her family. She was remembered by her family as a fiercely passionate human rights activist who was gentle, brave, silly, supportive, and a ray of sunshine. Like the olive tree she lay beneath where she took her last breaths, Aysenur was strong, beautiful, and nourishing. Her presence in our lives was taken needlessly, unlawfully, and violently by the Israeli military, they said in a statement. For more CNN news and newsletters create an account at CNN.com FILE PHOTO: The Iranian flag is seen flying over a street in Tehran ROME (Reuters) - The foreign ministers of the Group of Seven (G7) industrialised powers condemned on Saturday "in the strongest terms" Iran's export and Russia's procurement of Iranian ballistic missiles. Iran has provided Russia with a large number of powerful surface-to-surface ballistic missiles, deepening the military cooperation between the two countries, which are both under U.S. sanctions. "Iran must immediately cease all support to Russia's illegal and unjustifiable war against Ukraine and halt such transfers of ballistic missiles, UAVs (drones) and related technology, which constitute a direct threat to the Ukrainian people as well as European and international security more broadly," the G7 ministers said in a statement. "We remain steadfast in our commitment to hold Iran to account for its unacceptable support for Russia's illegal war in Ukraine that further undermines global security. In line with our previous statements on the matter, we are already responding with new and significant measures." Italy currently holds the presidency of the G7 group of wealthy nations which also includes the United States, Japan, Germany, Britain, France and Canada. (Reporting by Angelo Amante, writing by Giselda Vagnoni, Editing by Louise Heavens and Ros Russell) Looking for a momentum bounce from Vice President Kamala Harris's Tuesday debate performance, her vice-presidential running mate, Minnesota Gov. Tim Walz, rallied supporters in far northern Wisconsin on Saturday. "Kamala Harris did her job Tuesday night. We need to do our job for the next 52 days," Walz told the crowd at the University of Wisconsin-Superiors Mertz Mortorelli Gymnasium. Tuesday night's debate was the first and only scheduled between Harris and former Republican President Donald Trump as each seeks to win the White House on Nov. 5. And while the two may not appear again on the debate stage, their vice-presidential running mates will square off in a debate on Oct. 1. Saturday's rally was part of the Harris-Walz campaign's "New Way Forward" tour of battleground states. Walz's event in Superior followed campaign stops he made in recent days in Wausau and in Michigan. Harris will return to Wisconsin on Friday as part of a swing through "blue wall" battleground states. Last week, Trump rallied supporters in Mosinee outside Wausau and his vice-presidential running mate, Ohio Sen. JD Vance, will be campaigning in Eau Claire on Tuesday. Both campaigns have been making frequent stops in Wisconsin as they work to court voters in the critical swing state. The Marquette University Law School poll conducted before the debate and released this week found Harris had a four-point lead over Trump among registered voters in Wisconsin, within the poll's margin of error. The poll also revealed changes that may help Harris in the state. "All gas, no brake," Walz said as he urged the crowd to make the case for the campaign and turn out the vote. "Lets give it our all. And think about it: That 53rd morning, when you wake up, we get to say: 'Congratulations Madam President.'" Here's what to know about Walz's visit: Superior-Duluth a stronghold Democrats hope to regain Walz's trip to the state's northwestern corner is not the first of the Democratic presidential campaign this cycle. In January, President Joe Biden then the party's presumptive nominee seeking a second term in the White House visited Superior in a bid to regain the key Democratic stronghold that sits across Lake Superior's St. Louis Bay from Duluth, Minn. The two blue cities known as the Twin Ports are surrounded by communities that have increasingly leaned toward Trump as heavy industry and mining jobs disappeared. At that January visit, Biden announced new funding from the Bipartisan Infrastructure Law to replace the 60-year-old Blatnik Bridge connecting the two cities. He said the project was expected to create 10,000 jobs, a critical message in the region, an expert previously told the Journal Sentinel. "Donald Trump talked a tough game on infrastructure, Kamala Harris got it done," Walz said Saturday referencing the bridge. The Marquette Law School poll showed Trump leading Harris on the economy, the No. 1 issue, though she has gained ground compared to when Biden was in the race. On Saturday, Walz framed Trump as someone born into wealth with no concept of the challenges faced by the working class while he said Harris grew up in the middle class. Walz references listening to 'smart women,' needling Trump as 'smallest man in the world' Walz opened by offering a "life hack" for men: "Surround yourself with smart women and listen to them and youll do just fine." Women will be an important voting bloc in the election, and the Harris-Walz campaign has leaned into abortion rights as a key campaign issue. Trump comes to the race with a polarizing history with women and reproductive rights. Walz also name-dropped Taylor Swift, who endorsed Harris after Tuesday's debate, signing her Instagram post as a "Childness Cat Lady" in reference to past derisive comments by Vance. "Its really great to have all of these women help us beat the smallest man in the world, Donald Trump," Walz said. Walz urges 'old-school Republicans' to consider Democrats' agenda Walz said Republicans "used to talk about freedom" but that a second Trump term would produce the opposite. He framed Trump's agenda as being the same as Project 2025, a conservative blueprint created by the Heritage Foundation from which Trump has tried to distance himself even as Democrats have continued to point out his connections to the plan. In particular, Walz hit on abortion and reproductive freedom, difficult issues for Republicans since the U.S. Supreme Court overturned Roe v. Wade two years ago. Trump appointed conservative justices to the U.S. Supreme Court who helped write the ruling that overturned Roe v. Wade and has since sent mixed messages over whether he supports the effects of the ruling both taking credit for the ruling but also distancing himself from states' decisions to enact strict rules. "I would go out to all of your neighbors and make the case on this. Do you want JD Vance deciding about your wife and daughter's health?" Walz asked to yells of "no" from the crowd. "Or would you rather just leave it to them and their doctor? That's what freedom looks like." Walz highlights importance of Wisconsin in November election The November election will be a "margin-of-error race," Walz predicted, saying Wisconsin would be one of a handful of states necessary to win. "This thing's going to be a battle for the next 52 days," he said. "It's gonna be won in rooms just like this. It's gonna be won door-to-door, call-to-call, $5 donation, trying to have that hard conversation in the produce aisle with the person you saw there at the grocery store." The last two presidential elections in have been close in Wisconsin. In 2020, Biden won by about 21,000 votes after the state elected Trump by a similar margin just four years earlier. He said Democrats would win when they turned out the vote. He also slammed Republicans for spending "the last decade figuring out how to make it harder for people to vote" and Trump for still not admitting he lost the election four years ago. "That choice of voter suppression versus voter engagement, we choose the joyful path," Walz said. "We choose to take our chances with explaining what we stand for, standing on our record, letting everybody vote, counting every vote fairly, and then accepting the results of that." What did former President Donald Trump's campaign say about Walz's visit? The Trump campaign in a statement called Walz a "radical leftist governor" who is "now attempting to bring his failed policies to Wisconsin." It's clear that the Harris-Walz vision for America is one of chaos and destruction," said Trump campaign Wisconsin Press Secretary Jacob Fischer. "In stark contrast, President Donald J. Trump will fight to restore safety, security, and prosperity to every corner of the nation. While Kamala Harris and Tim Walz cater to the Radical Left, President Trump remains committed to putting Wisconsinites first and protecting American values." Molly Beck and Lawrence Andrea of the Journal Sentinel staff contributed to this story. Alison Dirr can be reached at adirr@jrn.com. This article originally appeared on Milwaukee Journal Sentinel: 'All gas, no brake': Takeaways from Tim Walz's rally in Superior Georgia man sentenced to 23 years in prison for attempted sexual exploitation of minor WALTON COUNTY, FL. (WMBB) An Appling County man has been sentenced to more than 23 years in federal prison for attempting to coerce a minor to engage in sexual activity. According to a news release, 50-year-old, Todd Eric Sisk of Braxley Georgia pleaded guilty to attempted production of child pornography. During a November 2021 undercover online operation, investigators from the Walton County Sheriffs Office said they identified Sisk using apps to communicate with minors. Santa Rosa Beach man in custody after fleeing deputies into the Choctawhatchee Bay Investigators said they determined that Sisk paid a user on Twitter whom he believed to be 13 years old to produce a video of herself engaged in sexually explicit conduct. The investigators contacted Homeland Security Investigations in Savannah for assistance. U.S. District Court Judge Lisa Godbey Wood ordered Sisk to pay $10,600 in restitution and assessments, register as a sex offender, and serve 15 years of supervised release upon completion of his prison term. The case was investigated by Homeland Security Investigations and the Walton County Sheriffs Office, with assistance from the Baxley Police Department. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to mypanhandle.com. As he stormed out of a meeting on border security with the German government this week, Friedrich Merz, the opposition leader, had a spring in his step. The Right-wing CDU party chief, a hobby pilot and former BlackRock executive, has waited decades for his chance to become the next chancellor of Germany. Now it could be within reach, with chancellor Olaf Scholzs coalition seen as hopelessly weak on border security, and with national elections fast approaching. Last months stabbing attack by a Syrian refugee at a music festival in the western town of Solingen has put border security at the top of the political agenda in Germany. It has also handed Mr Merz a chance to relentlessly attack the government on migration, forcing Mr Scholz to announce new border controls that will come into effect on Monday. Olaf Scholz, the German chancellor, watches on as Friedrich Merz, the Christian Democratic Union leader, speaks in the Bundestag - Liesa Johannssen/Reuters This country needs to be able to live in freedom and security once again, Mr Merz thundered after the Solingen attack, which killed three people, vowing to end Germanys migrant crime nightmare. The CDU leader has demanded that Germany transforms its border security policy: rather than just carry out extra checks, he says, it should be turning away illegal migrants on a comprehensive scale. When a crunch meeting with German ministers on Tuesday failed to meet that commitment, he simply walked out, branding the government incapable and leaderless. It is a remarkable shift in tone for a leader of the CDU, the centre-Right party formerly led by Angela Merkel, who threw open Germanys borders amid the 2016 refugee crisis with the slogan wir schaffen das (we can handle it). Mr Merz, 68, was born in the town of Brilon, West Germany another contrast with Mrs Merkel, who was deeply marked by her experience growing up in the Communist GDR in the east. Pro-business and socially conservative, he lost a power struggle with Mrs Merkel two decades ago, which in turn prompted a hiatus from politics for the legal profession and the corporate world. But when Mrs Merkel stepped down in 2018, he swept back into the CDU as leader and pushed the party in a decidedly more populist direction, having coined the phrase Leitkultur (dominant culture) in Germany. CDU insiders describe him as a tough, stern leader who has imported American-style management from his time at BlackRock into the party. He is rumoured to have once been a member of the Andean Pact, a secretive all-male society for CDU rising stars. When he comes into the room, everyone goes quiet, like when the schoolteacher comes in, one source said. And he can be really tough on people, to the point of being rude. Early on in his role as leader, insiders say he quickly tired of lengthy CDU meetings in the German parliament, which tend to start at 3pm and can drag on until as late as 9pm. They are now cut short at 5pm, which irked colleagues who enjoyed making long, meandering speeches; some feel their leader is more impatient than efficient. One source said Mr Merz insists on taking his staffers out for a beer, and picking up the tab, after a tough day. Unlike Mrs Merkel, known to the world as Mutti (Mummy), he has no nickname, and is simply Herr Merz to his staffers. Mr Merzs foreign policy is broadly supportive of Ukraine, with his party heaping pressure on Mr Scholz to provide Kyiv with powerful Taurus long-range missiles, albeit unsuccessfully. He seems to me to be quite an opportunistic politician who seizes whatever opportunity he can to stick it to the Scholz government, said Minna Alander, an expert on German politics and European security. In the beginning of Russias full-scale invasion, [his focus was] Ukraine he demonstratively went to Kyiv before Scholz now its migration. I dont think, however, that he really cares much about Ukraine, she added, alluding to his notorious comment in September 2022 that Ukrainian refugees were indulging in welfare tourism. He later apologised for the remark. His road to the chancellery has a major obstacle: support for the hard-Right AfD is surging in Germany, with the party taking first place in the Thuringia state elections in September. The CDU risks losing voters in droves to the AfD, largely owing to its muscular rhetoric on radical Islam and migration, and its abhorrence of German military support for Ukraine, which it fears will drag the country into a global conflict. Merzs big promise to the CDU was to push through a more conservative party profile. Now the migration issue seems to have become his theme, with which he wants to be associated, said Benjamin Hohne, a German political scientist at the Chemnitz University of Technology. However, it remains to be seen whether this will help the CDU achieve better election results or whether the Right-wing populist AfD will benefit because this is one of its core issues, he added. Earlier this month, Mr Merz veered closer still to the AfDs rhetoric on migration, saying migrants are behind the nightmare of gang rapes and accusing young migrant men of a complete lack of respect for women. Mr Merz is expected by many in his party to become a much closer friend of Ukraine than Mr Scholz was, should the CDU win the next general election in late 2025. On the most important topic of our time, namely restoring peace and security in Europe, Friedrich Merz, unlike Olaf Scholz, listens, said Norbert Rottgen, a senior CDU MP and a leading Ukraine supporter in the party. Merz understands that Russia will only negotiate when Ukraine has militarily won the upper hand and when there is no way left for [Vladimir] Putin to achieve his objectives militarily. Scholz, in contrast, believes that he knows everything best himself, he added. Friedrich Merz [has] made it clear how important a victory for Ukraine is for the European security architecture, said Roderich Kiesewetter, the CDUs crisis prevention spokesman and one of the partys more hawkish voices on Russia. In an apparent attempt to wrestle back control of the migration debate, Mr Scholzs interior minister announced this week that Germany will impose nationwide border checks for six months, starting on Monday. Critics noted that Germany already imposes such controls at four of its eastern borders, including Poland and Austria. Whether the new measures will have much impact remains to be seen, and they clearly do not go far enough for Mr Merz. But CDU allies hope that his energetic, brisk style will make him far more attractive than Mr Scholz who is considered slow and staid by comparison to voters in 2025. He doesnt want to waste time. Hes always in a hurry, one source said. Hes focused on getting things done. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Frank Schwabe speaks during a debate at the German Bundestag. Jonathan Penschek/dpa Germany on Saturday criticized Azerbaijan for refusing to allow lawmakers to enter the country ahead of the UN climate conference due to be held in Baku in November. Azerbaijan is hosting the next UN Climate Change Conference from November 11-22, but Baku has imposed entry bans on 76 lawmakers from the Parliamentary Assembly of the Council of Europe over their criticisms of human rights violations in the country. Four members of the German parliament are among those denied entry: Frank Schwabe, Heike Engelhardt, Andrej Hunko and Max Lucks, according to the Funke media group newspapers. Baku's move is "incompatible with Azerbaijan's obligations in the Council of Europe," a German Foreign Office spokeswoman said. The Council of Europe is an international organization that aims to protect human rights, democracy and the rule of law on the continent. Its 46 members include all 27 EU countries, but also countries such as the United Kingdom, Turkey and the Caucasus republics of Azerbaijan, Georgia and Armenia. Germany and the 14 other states affected by the decision issued a statement condemning Azerbaijan's move in a meeting of the Committee of Ministers in Strasbourg. Heike Engelhardt, Member of the German Bundestag, speaks at the Bundestag session. Christophe Gateau/dpa Andrej Hunko speaks during a debate at the German Bundestag. Michael Kappeler/dpa Max Lucks, Member of the German Bundestag, speaks in the plenary session of the German Bundestag. Christoph Soeder/dpa For many investors, the main point of stock picking is to generate higher returns than the overall market. But if you try your hand at stock picking, you risk returning less than the market. Unfortunately, that's been the case for longer term ADM Hamburg Aktiengesellschaft (FRA:OEL) shareholders, since the share price is down 31% in the last three years, falling well short of the market decline of around 11%. And over the last year the share price fell 29%, so we doubt many shareholders are delighted. The falls have accelerated recently, with the share price down 14% in the last three months. So let's have a look and see if the longer term performance of the company has been in line with the underlying business' progress. See our latest analysis for ADM Hamburg We don't think that ADM Hamburg's modest trailing twelve month profit has the market's full attention at the moment. We think revenue is probably a better guide. Generally speaking, we'd consider a stock like this alongside loss-making companies, simply because the quantum of the profit is so low. For shareholders to have confidence a company will grow profits significantly, it must grow revenue. In the last three years, ADM Hamburg saw its revenue grow by 13% per year, compound. That's a fairly respectable growth rate. Shareholders have endured a share price decline of 9% per year. This implies the market had higher expectations of ADM Hamburg. However, that's in the past now, and it's the future is more important - and the future looks brighter (based on revenue, anyway). The graphic below depicts how earnings and revenue have changed over time (unveil the exact values by clicking on the image). If you are thinking of buying or selling ADM Hamburg stock, you should check out this FREE detailed report on its balance sheet. What About Dividends? When looking at investment returns, it is important to consider the difference between total shareholder return (TSR) and share price return. Whereas the share price return only reflects the change in the share price, the TSR includes the value of dividends (assuming they were reinvested) and the benefit of any discounted capital raising or spin-off. So for companies that pay a generous dividend, the TSR is often a lot higher than the share price return. In the case of ADM Hamburg, it has a TSR of -21% for the last 3 years. That exceeds its share price return that we previously mentioned. This is largely a result of its dividend payments! A Different Perspective Investors in ADM Hamburg had a tough year, with a total loss of 24% (including dividends), against a market gain of about 7.9%. However, keep in mind that even the best stocks will sometimes underperform the market over a twelve month period. Regrettably, last year's performance caps off a bad run, with the shareholders facing a total loss of 2% per year over five years. We realise that Baron Rothschild has said investors should "buy when there is blood on the streets", but we caution that investors should first be sure they are buying a high quality business. While it is well worth considering the different impacts that market conditions can have on the share price, there are other factors that are even more important. Take risks, for example - ADM Hamburg has 3 warning signs we think you should be aware of. Story continues Of course ADM Hamburg may not be the best stock to buy. So you may wish to see this free collection of growth stocks. Please note, the market returns quoted in this article reflect the market weighted average returns of stocks that currently trade on German exchanges. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. Germany has struck a controlled migration deal with Kenya, which will see Berlin open its doors to skilled and semi-skilled Kenyan workers. The deal was signed by German Chancellor Olaf Scholz and Kenyas president William Ruto on Friday. Ruto landed in Germany on Friday for an official two-day visit. While the exact number of workers that will arrive in Germany has not been disclosed, a spokesperson for Kenyas presidential office previously said it was looking at employment opportunities for up to 250,000 Kenyans. Migration is a major flashpoint in Germany, and has fueled the rise of the far-right Alternative for Germany (AfD) party. Germany welcomed more than one million people during the migrant crisis of 2015-2016 and more recently took in large numbers of Ukrainians fleeing Russias invasion. The agreement comes as Scholzs government launches a crackdown on illegal immigration, recently announcing a tightening of border controls. Late last month, Berlin unveiled new security measures aimed at speeding up the deportation of rejected asylum seekers and undocumented immigrants which will begin next week. As well as deterring irregular migration, the deal is intended to address labor market needs in both countries, providing opportunities for Kenyan workers while supplementing an ageing Gemanys shortage of skilled laborers. It also intends to simplify the repatriation of Kenyans who are in Germany illegally. The two countries agreed to step up their cooperation on repatriation, with the introduction of measures such as the use of biometric data to identify those who are required to leave Germany. Expired passports and identity cards will now also be accepted as travel documents to facilitate repatriation. According to the German government, there are currently around 14,800 Kenyan citizens living in Germany. Around 800 of them are required to leave the country. Interior Minister Nancy Faeser said she was very pleased with the outcome. We want to consistently enforce the repatriation of people without a right to remain - this is an important building block for limiting irregular migration. We have made good agreements for this, she said. On the other hand, we want to attract qualified workers, who we urgently need in many areas of our economy. The German Interior Ministry adds that it is in confidential talks with several other countries regarding migration agreements. The new security package came in the wake of a fatal attack in the western city of Solingen, in which three people were stabbed to death on August 23. The suspect was identified as a 26-year-old Syrian man with alleged links to ISIS, who had previously been due for deportation. For more CNN news and newsletters create an account at CNN.com Germany won't allow long-range strikes on Russia even if other countries do, Scholz says Germany will not allow Ukraine to launch attacks with German weapons far behind the front "even if other countries decide otherwise," German Chancellor Olaf Scholz said. His statement comes shortly after U.S. President Joe Biden and U.K. Prime Minister Keir Starmer stopped short of lifting restrictions on using Western-supplied long-range weapons on Russian territory during their meeting in Washington on Sept. 13, while media continue to report on encouraging signs that the ban might be reversed. Scholz said during the public talk in Prenzlau, Brandenburg that Germany "will continue to support Ukraine militarily" so that the country "does not collapse," German media Welt reported. However, Scholz stressed that he would remain opposed to the idea of Ukraine using German long-range weapons to attack targets deep inside Russia. "I am sticking to my position, even if other countries decide differently," Scholz said. Commenting on media reports that the U.S. could lift the existing restrictions, he said that not all speculations are correct, according to Welt. Restrictions on the usage of U.S.-made and provided ATACMS (Army Tactical Missile System) are "the subject of intense consultation among allies and partners, and will be (discussed) between President (Biden) and President Zelensky," U.S. National Security Adviser Jake Sullivan said on Sept. 14. According to earlier media reports on Sept. 11, Biden was said to be finalizing the details of the plan to lift some restrictions, while the U.K. had reportedly privately decided to allow Ukraine to use its British-supplied Storm Shadow missiles for long-range strikes, though no public announcement had been made. Meanwhile, German media Spiegel reported on Sept. 13 that the debate is also ongoing inside the German parliament on whether Ukraine should be provided with German long-range missiles Taurus, with politicians from the FDP and the Greens supporting the decision. Ukraine has long been urging the West to lift the ban on striking military targets deep inside Russia. On Sept. 13, President Volodymyr Zelensky said in his speech at the YES conference that without the ability to strike inside Russia, U.S.-provided ATACAMS (Army Tactical Missile Systems) are pointless. Read also: ATACMS restrictions subject of intense consultations between Ukraine and allies, Sullivan says Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. German Chancellor Olaf Scholz visits the Forest Botanical Garden at Eberswalde University for Sustainable Development (HNEE) during his summer trip. Fabian Sommer/dpa German Chancellor Olaf Scholz has defended the planned expansion of the nation's border controls as he addressed the public in a citizens' dialogue on Saturday. "Irregular migration is not what we want," Scholz told the gathering in Prenzlau, Brandenburg. If, as last year, 300,000 people came to Germany, only some of whom were entitled to protection, "then that is not good," he said. Therefore, one must look more closely at who has the right to enter, "because unfortunately we cannot completely rely on all our neighbours doing what they should do," Scholz said. Germany is a member of the Schengen area, which allows some 420 million people in 29 European countries to travel freely without facing border controls. But checks are already in place at some of Germany's nine borders, including with Poland. Scholz's comments come as Germany on Monday extends the controls of its borders with Luxembourg, Belgium, the Netherlands and Denmark. Scholz emphasized that border controls - initially set to last six months - would be carried out in accordance with European law. They were ordered by German Interior Minister Nancy Faeser in a bid to curb the number of unauthorized entries more effectively. While the steps have been criticized by Germany's neighbouring countries, Berlin has pointed to security risks posed by irregular migration and smuggling activities at the EU's external borders. SAN ANSELMO, Calif. (KRON) A 15-year-old girl was arrested in connection to a school shooting threat made at schools within the Tamalpais Union High School District on Friday afternoon, Central Marin Police officers said. Girlfriend arrested with charges of killing her boyfriend in Pleasanton home, police say While investigating what they believed was a bomb threat at a TUHSD school, officers from CMP received a report from the Federal Bureau of Investigations (FBI) claiming a girl had made separate threats of a shooting. Police clarified that the bomb threats made were not connected to the shooting threat. Through the FBI report, police said they were able to identify the girl making the threats through social media. Police said the girl, later identified as a 15-year-old San Anselmo resident who attends a school at TUHSD, was located and arrested in the nearby area for making criminal threats. We will continue to work diligently to identify and apprehend those responsible. School safety and providing a safe place for students to thrive is of paramount importance, Marin police officers said. Earlier on Friday, the TUHSD received specific bomb threats to high schools Friday morning, causing the district to cancel classes, according to officials. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. Girlfriend arrested with charges of killing her boyfriend in Pleasanton home, police say PLEASANTON, Calif. (KRON) Kennedy Stith initially claimed her boyfriend, Edevion White, had been murdered by a pair of robbers in their Pleasanton home in 2023. After a year-long investigation, however, Lieutenant Erik Silacci with the Pleasanton Police Department told KRON4 the girlfriends claims were untrue. Fremont man who allegedly went berserk on SF-bound flight faces federal charges White, 32, originally from Tennessee, was killed in his home at the 5700 block of Owens Drive on May 1, 2023. Stith, 27, his girlfriend whom he lived with at the time, had called the police, claiming a pair of robbers in ski masks had stabbed and killed White during the alleged home invasion. Police arrived to find White with an apparent stab wound to the chest. PPD investigators believed that White knew the assailant. After a year-long investigation and seeking the publics help in what they claimed to be an unsolved homicide case, detectives determined Stiths claims were untrue The victim and the suspect were known to each other. On Thursday, Stith was arrested and booked into Santa Rita Jail for homicide. The City of Pleasanton extends its heartfelt condolences to the family and friends of Edevion White, PPD said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. GLYNN COUNTY, Ga. (WSAV) A Glynn County man is facing multiple charges for a Friday hit and run in a stolen FedEx van that left one dead. 25-year-old Malincoln Smith of Brunswick has been charged with DUI, driving on a sidewalk, felony vehicular manslaughter, felony hit and run, and other miscellaneous charges by other agencies. According to the Georgia Department of Public Safety Public Information Office (GDPSPIO), on September 13, at 1:38 p.m., troopers responded to a hit-and-run crash on Norwich Street in Glynn County. The investigation determined a stolen FedEx van was traveling recklessly through a parking lot on the east side of Norwich Street when it struck a Pontiac SUV and a pedestrian. The deceased pedestrian was identified as 66-year-old Larry Holloway of Brunswick. GDPSPIO reports that Smith fled the scene and was arrested soon after a pursuit on foot. This was one of several traffic crashes reportedly caused by Smith, and the charges may vary from agency to agency. Among these agencies are the Glynn County Sheriffs Office, Glynn County Police Department and possibly Brunswick Police Department. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WSAV-TV. Michael Whatley (right), chair of the Republican National Committee, speaks to reporters as North Carolina GOP chairman Jason Simmons looks on at the NC GOP headquarters in Raleigh on Sept. 14, 2024. (Galen Bacharier/NC Newsline) National Republican leaders spoke to volunteers in North Carolina on Saturday as part of a national tour focused on election security, while the party continues to file a flurry of lawsuits in battleground states ahead of the start of early voting. Weve got to have the right rules of the road in place before voting starts, said Michael Whatley, the chair of the Republican National Committee, during a Protect the Vote event at the NC GOP headquarters. Whatley, formerly the North Carolina party chair, has helped lead a new front of Republican efforts focused on voting and elections. That includes four lawsuits in North Carolina against the Board of Elections, on topics ranging from non-citizen voting to the use of student digital IDs at polling places. In response to the first of those lawsuits, the NCSBE called Republican allegations categorically false and said they could undermine voter confidence in elections. Concerns about the security of elections and potential fraud remain front and center for some Republicans, as former President Donald Trump continues to falsely claim that he won the 2020 presidential election. Just over 78% of North Carolina residents believe their votes were counted accurately in 2020, a recent poll found (though they were slightly less confident in other states counting). A bipartisan panel of experts and attorneys has been touring the state in recent weeks as part of a Trusted Elections Tour as part of an effort to debunk myths and conspiracy theories. Whatley argues that the Republican litigation is simply pushing for popular requirements. This is not election denialism, he said. These are not conspiracy theories. These are proposals that are supported by 70, 75, 80 percent of all Americans. GOP training up partisan observers with new NC law in effect Republicans are recruiting and training both poll workers and partisan observers who will monitor precincts across the state once voting begins. Observers are allowed under Senate Bill 747, North Carolinas new GOP-led elections law. Every polling place can have up to three observers per political party present at once, including at-large observers who cycle through precincts throughout the day. They are allowed to walk around a precinct and listen in on conversations about the administration of the election. But they cannot directly interact with voters or look at filled-in ballots. NC Newsline previously reported that the Democratic Party was training observers to watch for any irregularities or disenfranchisement. So, too, are Republicans. The North Carolina party will have a war room set up at headquarters, Whatley said, though they will aim to address any problems that crop up locally. What weve continued to pursue over the last couple election cycles is making sure our network of volunteers and volunteer attorneys are understanding the sensitivities that go on within the polling locations, NC GOP chair Jason Simmons said. Mark Finchem on Aug. 2, 2022, at an election night party for Kari Lake. Photo by Jerod MacDonald-Evoy | Arizona Mirror Former Republican lawmaker and candidate for Arizona Senate Mark Finchem went on a talk show hosted by a conspiracy theorist and spread some conspiracy theories himself on the 23rd anniversary of the 9/11 terror attacks. The host of the show has falsely claimed the Jewish people were behind 9/11. Finchem unsuccessfully ran for Secretary of State in 2022, won the Republican primary for Legislative District 1 in July and is anticipated to return to the Arizona Legislature come January. Finchem has built his brand on election fraud and other conspiracy theories and is also known for his association with and support from extremist groups like the Oathkeepers. On Sept. 11, Finchem went on Scott McKays show, streamed on Rumble. Also known as Patriot Streetfighter, McKay has a history of espousing antisemitic beliefs, as first reported by the left-leaning Media Matters for America. McKay, a central figure in a movement during the pandemic to harass school boards for mask mandates, has espoused antisemitic beliefs and has also praised Nazi leader Adolf Hitler. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX McKay has falsely claimed that Jewish people built up Hitler as a way for them to profit from World War II and praised the dictator for trying to break free of the Rothschilds corrupt money-magic fiat system, alluding to an antisemitic conspiracy theory. He has also said that Hitler was actually fighting the same people that were trying to take down today. Finchem did not respond to a request for comment for this story. Mark Finchem recently appeared on an antisemite Scott McKays program and thanked him for his offer for help, should he win his Senate seat. McKay is someone who praised Hitler hes not someone whose show you should be appearing on, let alone accepting help from, Media Matters for America senior investigative reporter Eric Hananoki said in a statement to the Mirror. The former lawmaker went on the show to promote an investigation being conducted by a non-profit he runs that is involved in a bizarre, conspiracy-riddled probe into a Tennessee police department. Earlier this month, the Tennessee Bureau of Investigation raided the Millersville Police Department using a search warrant obtained through a criminal investigation of the departments assistant police chief, Shawn Taylor. Taylor had appeared on McKays show the day prior and is working with Finchems non-profit as an investigator. Two local district attorneys confirmed to local TV station NewsChannel 5 that the TBI had been asked to investigate Taylors possible use of sensitive law enforcement data to investigate his potential political enemies. The investigation also involves the possible perjury of a Millersville detective during testimony he gave in a botched child predator sting, NewsChannel 5 reported. Both Finchem and McKay had harsh words for the people involved in the case who they allege are corrupt, in addition to other perceived ills. Any of you bastards that are watching this, now is the time to get out, McKay said. So if you want to save your asses now is the time to step asideAll of you who are corrupt will be held accountable one way or another. McKay went on to claim that America is lost and that people like he and Finchem have to put down this Satanic energy and that the CIA, FBI and others are involved in child sex trafficking. Finchem also claimed that the television networks NBC, CBS and ABC are connected to the CIA. Throughout the interview, McKay and Finchem both alluded to the QAnon conspiracy theory. In its simplest form, the complex and damaging QAnon conspiracy theory alleges that a cabal of Satan-worshiping pedophiles are running a global sex-trafficking ring, control world governments and are trying to bring down former President Donald Trump who is himself single-handedly dismantling the cabal. McKay referenced intelligence drops multiple times throughout the interview, which is an allusion to Q drops which were a plethora of posts on sites like 4chan between 2017 and 2022 that were alleged to be from the infamous Q. Throughout the interview Finchem made a number of conspiratorial claims, without evidence, accusing the judge in the Tennessee case, as well as others, of being involved in child sex trafficking. He claimed that the Tennessee-based journalist who has written about Taylors ties to conspiracy theorists is providing cover to perverts and sex traffickers. Finchem repeated Taylors false claim that an agent with TBI urinated in Taylors bathtub. The former lawmaker claimed to have DNA evidence related to that allegation. Finchem has often courted those from the fringe and especially those in the QAnon world. He has spoken at their conventions and held fundraisers with known QAnon believers and 9/11 truthers. We are deeply troubled by Arizona GOP Senate nominee Mark Finchems recent appearance on a radio show hosted by an individual who regularly promotes antisemitic views and pro-Hitler rhetoric, Alan Zeichick, board chair of the Jewish Community Relations Council of Greater Phoenix, said in a statement to the Arizona Mirror. Arizona deserves leaders who will unite us, not those who associate with people saying such harmful ideologies, Zeichick said. We encourage the voters of District 1 to send a clear message that bigotry and hate speech have no place in our state or nation. Hananoki told the Mirror that with just a little digging, Finchem could have easily discovered McKays extremist background. Eric Trump even had to disavow McKay after the national media reported on McKays antisemitic background, Hananoki said. But this is all part of a pattern with Finchem and his association with extremists. He has promoted QAnon propaganda, endorsed an antisemitic pastor who wasnt even running in Arizona, and praised Gab CEO Andrew Torba, who once said that we dont want people who are Jewish. SUPPORT: YOU MAKE OUR WORK POSSIBLE Gov. Jeff Landry addresses reporters at a news conference Sept. 13, 2024, on the recovery from Hurricane Francine at Signature Aviation in Kenner. U.S. House Majority Steve Scalise, R-Jefferson, New Orleans Mayor LaToya Cantrell and Jefferson Parish President Cynthia Lee Sheng listen behind the governor Gov. Jeff Landry addresses reporters at a news conference Sept. 13, 2024, on the recovery from Hurricane Francine at Signature Aviation in Kenner. U.S. House Majority Steve Scalise, R-Jefferson, New Orleans Mayor LaToya Cantrell and Jefferson Parish President Cynthia Lee Sheng listen behind the governor. (Greg LaRose/Louisiana Illuminator) KENNER Hurricane Francine, which brought widespread power outages and moderate flooding to Louisiana two days ago, revealed the regions lingering wounds from Hurricane Ida three years ago, Gov. Jeff Landry said Friday. The governor and his wife joined several New Orleans-area officials, some who were accompanying him on a helicopter tour of storm damage, for a news conference at the Signature Aviation terminal near Armstrong International Airport. The Biden administrations top disaster response official joined Landry for a helicopter tour Thursday, which the governor said should help convince the White House of the need for recovery dollars in Louisiana. The aerial inspection also showed Federal Emergency Management Administrator Deanne Criswell how flood protection projects spared Terrebonne Parish from more extensive flood damage, he said. Roof damage was also limited from Francine, according to the governor, which he attributed in part to the ability of homeowners to rebuild stronger after Ida. This, combined with a proven levee system, should bring down insurance premiums, Landry said, starting with the National Flood Insurance Program (NFIP) that provides coverage of last resort in areas where the private market wont write policies. There should be no excuse, Landry said. There really should be no excuse to increase premiums just because of this storm. The governor and Louisianas congressional delegation have been part of a bipartisan push to get FEMA to revise its risk assessments for flood-prone areas. They insist the latest version, referred to as Risk Rating 2.0, doesnt fully take into account flood protection and storm resiliency investments dating back to past disasters. These started with the recovery after hurricanes Katrina and Rita in 2005 and the devastating floods of 2016 in the Baton Rouge region. U.S. House Majority Leader Steve Scalise, R-Jefferson, who joined Landry at the news conference, was less optimistic that FEMA would adjust its flood insurance program rates. Its been a complete failure, Scalise said. We have been pressing for over two years for FEMA to just explain how it works. The majority leader was even more pointed when asked if Francines impact would convince the Biden administration to place a more urgent emphasis on revisiting NFIP premiums. Im not confident in FEMA right now running the NFIP program, Scalise said. FEMA works with us on a lot of other things, so Im not going to disparage them completely. The way theyve run the NFIP program has been a complete disaster that has wrecked communities in places just like south Louisiana, and were far from the only one. Landry said the state would keep FEMA updated on damage reports from Francine, and that Criswell understood the billions of dollars spent in Louisiana to reduce the impact of hurricanes. We should get a credit for it, the governor said. Landry and members of Congress from Louisiana have asked President Biden to consider a full reimbursement of the states Francine recovery costs, which have to exceed the states capacity to pay for them. A crew of linemen use bucket trucks to repair a utility pole near Houma on Sept. 12, 2024, one day after Hurricane Francine made landfall in Terrebonne Parish. (Wes Muller/Louisiana Illuminator) Power outage progress Landry said power outages appear to be the biggest impact from Francine, which at its peak knocked out electricity to more than 450,000 customers. He stressed the need for more grid infrastructure improvements, which he credited with keeping the lights on in Louisianas lone inhabited barrier island. You see things like down in Grand Isle, where they didnt even lose power this time, the governor said. Normally, they used to lose power when there was a bad thunderstorm. As of 4 p.m. Friday, there were just over 80,000 customers left to reconnect in the Entergy Louisiana footprint, 5,600 for Entergy New Orleans and nearly 2,800 for Cleco. Various electric co-operatives and municipal power providers reported significant progress bringing customers back on line. Utility regulator Eric Skrmetta, who represents District 1 on the Louisiana Public Service Commissioner, said in an interview he was pleased with efforts to restore power. Youre talking about from 450,000-plus down to 120,000 plus [outages] in 45 hours, Skrmetta said regarding Entergys response. By anybodys standard, thats a great recovery. The highest number of Entergys remaining outages was in Terrebonne Parish, where more than 19,500 customers await electricity. Lafourche was next with more than 15,000, followed by Jefferson Parish with more than 12,600. Clecos outages were concentrated in St. Mary Parish, where almost 3,200 customers were without power mid-Friday afternoon. New Orleans Mayor LaToya Cantrell speaks to Gov. Jeff Landry at a news conference Sept. 13, 2024, on the recovery from Hurricane Francine at Signature Aviation in Kenner. (Greg LaRose/Louisiana Illuminator) New Orleans drainage delays The governor was asked whether he would make good on his promise to provide the Sewerage & Water Board of New Orleans $29 million it has requested from the state to cover the remaining cost of a local power station at its main plant. The facility currently relies on outdated turbines and backup generators that frequently fail to power its drainage, sewage treatment and drinking water system. While Francines heavy rains would have flooded the city regardless, power problems led to delays in clearing water. New Orleans officials sought money from the state to pay for a portion of the new Entergy substation, but legislators did not include it in the capital outlay budget finalized in early June. At the time, Landry said he would work to find the needed money from other sources. With Mayor LaToya Cantrell and S&WB executive director Ghassan Korban standing behind him, the governor was asked Friday whether he would promise to provide the $29 million needed for the power substation. Landry did not expressly commit to that dollar amount but said he would go back and find where that pot of money is. He hinted that more scrutiny is needed over the ample federal resources already provided to New Orleans from previous natural disasters. This area has received billions of dollars, and the question is: Why are we in the same position? Landry said. The federal government provided $76 billion to Louisiana for its recovery from Hurricane Katrina, which was estimated to have caused $125 billion in damages. More than $14 billion was spent to repair the federal flood protection system that failed in the storm, and nearly the same amount went toward the Road Home program that helped homeowners repair their properties or bought houses from residents who chose not to return. Allocations for subsequent storms that caused major damage in Louisiana Gustav and Ike in 2008, Isaac in 2012, the great floods of 2016, Laura and Delta in 2020, and Ida in 2021 brought far less money from Washington, D.C. Landry said he intended to continue discussions with the city, Sewerage and Water Board, state and federal decisions to determine how to address problems with New Orleans drainage infrastructure. We plan to work very closely with them to make sure that the money that were going to spend is going to go where its needed the most, he said. SUPPORT: YOU MAKE OUR WORK POSSIBLE Gov. Tim Walz, Democratic VP nominee, to host Asheville campaign rally; how to get tickets Democratic vice presidential candidate Tim Walz arrives at Central Wisconsin Airport alongside daughter Hope Walz in Mosinee, Wis. with his daughter on Friday, September 13, 2024. Democratic vice-presidential nominee and Minnesota Gov. Tim Walz will rally in Asheville the afternoon of Sept. 17 following events in Atlanta, the Harris-Walz Campaign announced Sept. 14. Walz's visit comes as the dueling Trump-Vance and Harris-Walz campaigns vie for the swing state. North Carolina is expected to be a highly competitive race, with Republican presidential candidate Donald Trump narrowly winning the state in 2020 with 49.9% of the vote. The most recent polling averages from RealClearPolling put Vice President Kamala Harris only at one-tenth of a percent behind Trump in the Tar Heel State. Walz will deliver remarks as part of a campaign rally in the city and will arrive in Asheville around 4:30 p.m. Attendees must RSVP online. Details about location and time will be announced to "confirmed guests only," according to the Mobilize.us RSVP page. An RSVP is required but does not guarantee admission. The visit by Walz will come just days after Harris kicked off her "New Way Forward" tour of swing states, which also included Harris making visits in Greensboro and Charlotte on Sept. 12. Republican presidential nominee Donald Trump visited Asheville on Aug. 14, delivering remarks on the economy. He is set to visit North Carolina again with another rally in Wilmington on Sept. 21, and his running mate JD Vance will be in Raleigh on Sept. 18. More: How did Buncombe County Dems, GOP members react while watching Trump-Harris debate? More: Donald Trump is rallying in Western North Carolina. But why Asheville? This story will be updated. Will Hofmann is the Growth and Development Reporter for the Asheville Citizen Times, part of the USA Today Network. Got a tip? Email him at WHofmann@citizentimes.com. Consider supporting this type of journalism with a subscription to the Citizen Times. This article originally appeared on Asheville Citizen Times: Democratic VP nominee Tim Walz to campaign in Asheville; what to know By Anna Tong and Katie Paul (Reuters) - Fei-Fei Li, a leading artificial intelligence researcher, has raised $230 million for a startup she and three colleagues founded to make AI technology that can understand how the three-dimensional physical world works, the company said on Friday. Initial funding for World Labs was led jointly by Andreessen Horowitz, New Enterprise Associates and Radical Ventures. Other investors included AMD Ventures, Intel Capital and Nvidia's NVentures. World Labs declined to share its valuation. Li, one of Time Magazine's 100 Most Influential People in AI in 2023, led AI at Google Cloud from 2017 to 2018, served on Twitter's board of directors and has done stints advising policymakers, including at the White House. The Stanford University professor is widely known as the "godmother of AI," a moniker alluding to the three "godfather" winners of the 2018 Turing Award, the computing world's top prize, for their breakthroughs in AI technology. Li made her name in AI by developing ImageNet, a large-scale image dataset that helped usher in a generation of computer vision technologies that could identify objects reliably for the first time. Reuters previously reported that Li was working in stealth mode on an AI startup that could render ideas into 3D environments. World Labs' other founders are computer vision researchers Justin Johnson, Christoph Lassner and Ben Mildenhall. While commercially available generative AI models can produce dazzling text and photo outputs, Worlds Labs focuses on "spatial intelligence," or the ability to reason how the 3D world works, Li told Reuters. Spatial intelligence models could be used in the future for augmented and virtual reality (AR/VR) or robotics, she said. "The images and videos that you have seen so far coming out of generative AI models do not give you enough of the whole sense of how a 3D world is built," Li said in an interview, along with Mildenhall. This sense is fundamental to unlocking broader reasoning capabilities in AI systems, she noted. This would avoid the rendering of "hallucinations" like hands with the wrong number of fingers. "The way we understand the structure of the world, imagined or real, will fundamentally be a piece of this AI puzzle," Li said. The San Francisco-based startup, with 20 employees, will train foundation models that its founders refer to as "large world models" or "LWMs." Li said a combination of synthetic and real-world data will be used to train the models. Story continues The models will use the same transformer-based architecture that serves as the basis for OpenAI's viral ChatGPT chatbot, Li said. However, the transformer would not be the "be-all and end-all" of their models, she said, suggesting they will incorporate other elements as well. Li will continue her work at Stanford University's Human-Centered AI Institute while building the startup. World Labs is Li's second go-around in entrepreneurship. As a Princeton University student, Li borrowed money to buy a dry cleaning business for her parents and spent her weekends working there, she said in her memoirs. (Reporting by Anna Tong in San Francisco and Katie Paul in New York; Editing by Richard Chang) Gov. Tim Walz travels to North Carolina for campaign stop; Trump, Vance also on the way Minnesota Gov. Tim Walz, the Democrats nominee for vice president, plans to visit Asheville Tuesday for a political event, according to a campaign official. His trip kicks off a week of North Carolina campaign events that includes visits from the Republican nominees, former President Donald Trump and his running mate, Sen. JD Vance, who will be in Raleigh the same day that Walz is in Asheville. It will be the second time Walz has visited North Carolina since August, when Vice President Kamala Harris chose Walz as her running mate. Campaign officials have not yet provided further details about Walzs visit on Tuesday. During his last visit, to Raleigh, he stopped for milkshakes at Cook Out with Gov. Roy Cooper before visiting a campaign office and a fundraiser. The Trump campaign said Trump and Vance plan to host rallies to focused on the state of the economy. Trump will visit Wilmington on Saturday. Michael Zhadanovsky, the North Carolina Democratic Coordinated Campaigns rapid response director, said Trump and Vance are campaigning on an extreme agenda that would rip away North Carolinians freedoms and raise taxes for working families across the state. Voters here dont want Trump and his MAGA allies like Robinson trampling on our rights and freedoms, Zhadanovsky said. When Trump and Vance come to Wilmington and Raleigh next week, were going to make it clear: were not going back. The multiple visits in a single week highlight the importance North Carolina is playing in the upcoming election. Trump and Vance have increased their visits to the Tar Heel state since Harris became Trumps opponent for president on July 21. When Trump faced off against President Joe Biden, he often led in North Carolinas polls, sometimes by double digits. But when Harris launched her campaign, the polls began to narrow. On Monday, a poll from Quinnipiac University showed Harris three points ahead of Trump in North Carolina. Harris visited three days later, on Thursday, holding campaign rallies in Charlotte and Greensboro. Democrats have spent more than a year investing in North Carolina as a key battleground state. While Democratic presidential candidates rarely win in North Carolina, the margins have narrowed. Trump carried North Carolina in 2020 by the smallest margin of any state he won. Democrats have worked to flip North Carolina blue, trying to secure the states 16 electoral votes. The government has defended the early release of prisoners after it emerged a former inmate allegedly sexually assaulted a woman on the same day he was freed. Amari Ward, 31, was released as part of the policy, which aims to ease prison overcrowding, on Tuesday. He appeared at Croydon Magistrates' Court on Thursday charged with sexual assault and is due to appear at Maidstone Crown Court next month. The Ministry of Justice (MoJ) said the early release scheme was necessary because it had "inherited prisons in crisis and on the brink of collapse". Reoffenders would be punished, it added. Ward is alleged to have "intentionally touched" a woman who did not consent in Sittingbourne, Kent, on Tuesday, according to court documents seen by the BBC. He was arrested at an address in south London. Ward was charged with sexual assault, denied bail and recalled to prison on Thursday. A Ministry of Justice spokesperson said: "The Government inherited prisons in crisis and on the brink of collapse. "Had that happened, the courts would have been unable to hold trials and the police to make arrests. "We had no choice but to introduce emergency measures, releasing some prisoners a few weeks or months early, while excluding a number of offences and imposing strict licence conditions. "While we cannot comment on the details of any single case, those who break their licence conditions or commit further crimes will be punished." Around 1,750 prisoners were freed early on Tuesday from jails across England and Wales. The government's latest iteration of the scheme came after July's general election, but officials had already been drawing it up when the Conservatives were in power. In May, former Prime Minister Rishi Sunak was challenged over the early release of dangerous criminals under the scheme. During PMQs, Sir Keir Starmer asked for a guarantee that no criminals considered high risk would be freed early. The former PM insisted no-one deemed a threat to public safety would be eligible. Under the early release policy, some inmates were released after serving 40% of their sentence, instead of 50%. [BBC] Criminals serving prison sentences of less than four years for violent offences, including manslaughter, are among those eligible to be freed under the scheme. Despite government efforts to prevent domestic abusers from leaving prison early, the restrictions will not apply to all criminals with a history of such violence as the policy only excludes particular offences such as stalking. Attackers convicted of other crimes such as threats to kill or actual bodily harm could still qualify for early release. Rioters involved in this summer's unrest are also not specifically excluded from early release. They will only stay behind bars for longer if they are serving more than four years for a violent offence. Terrorists and sex offenders are excluded and will not be freed early. This month, prisons reached a record population of more than 88,500. Officials had previously said that some of those released were likely to reoffend. Earlier this month, Martin Jones, HM chief inspector of probation, said: "There is also, I think, a certainty that some will reoffend." He added that the risk of serious offences was rare but a risk that could not be eliminated. The family of murdered GAA official Sean Brown have said they are "utterly devastated after the government rejected a coroners request for a public inquiry. Mr Brown, 61, was shot dead near Randalstown in County Antrim in 1997 after being abducted by loyalists. The Northern Ireland Secretary Hilary Benn said he has "enormous sympathy" for the Brown family but that an inquiry is "not the best way to proceed". But Mr Brown's daughter, Clare Loughran, told BBC News NI that her family "are never going to give up" their search for justice and the truth about the murder. 'It is not the end' In February, it emerged that more than 25 people, including state agents, were linked by intelligence to the murder. The following month, Coroner Mr Justice Patrick Kinney said a public inquiry was the appropriate way to examine the full circumstances of the killing. He made the request in writing to the government. The move had the backing of PSNI chief constable Jon Boutcher. Mr Browns family heard back from Benn, but they claimed he had ignored the explicit direction of a High Court judge. The family statement added: It is not the end of our journey. We are not going away. This is merely a decision that will be challenged, and we will look forward with renewed enthusiasm to a judicial review already listed for September 26. Clare Loughran (left) and her family holding a photo of the late Sean Brown [PA Media] Speaking to BBC News NI on Friday night, Mr Brown's daughter said her family were finding it very difficult to come to terms with the government's refusal. "There's been a lot of very tough days all along the line for these last 27 years but this has to be one of the low points to it all," Ms Loughran said. "Daddy was taken from us such a long time ago and we really thought that this time round we might be able to get to the bottom of the truth, but unfortunately this has been another kick." Ms Loughran explained her family wants a public inquiry into the murder because it would be "completely independent of the government" and would give the family legal representation with the power to call witnesses to seek answers. She pointed out that a High Court judge had "read all the unredacted sensitive information and he has felt that the only way forward to explore Daddy's case is a public inquiry". Clare Loughran said her family "are never going to give up" their fight for justice [BBC] In a statement, the secretary of state said he recognises the decision will come as a disappointment to the Brown family. "I am, however, confident in the Independent Commissioner for Reconciliation and Information Recoverys (ICRIR) capability to deliver justice," Benn continued. "I would therefore encourage Mrs Brown and her family to meet with Sir Declan [Morgan] and the ICRIR to hear how the independent Commission would approach any investigation into Mr Browns death." 'They are stoic' But Ms Loughran said her family has "very little faith" in the independence of the ICRIR . She argued the revelations about state agents were concealed for decades and only came to light as a result of the inquest. The Browns' solicitor, Niall Murphy, explained they have filed a legal challenge with the High Court. "The family is not accepting the decision; they do not believe it is a lawful, rational, or reasonable decision," he said. The lawyer described it as an "immense surprise and intense disappointment". "They are very, very disappointed," he said, adding: "However, they are stoic." The Pat Finucane Centre said that the Brown family recently met with Benn. It said during the meeting the family told the Secretary of State the ICRIR is "unacceptable" to them. In a statement the centre said the family's view on the ICRIR "has been ignored". What happened to Sean Brown? Sean Brown's body was found in a burnt-out car near Randalstown [Pacemaker] Mr Brown was locking the gates of GAA club Bellaghy Wolfe Tones when he was kidnapped by the Loyalist Volunteer Force (LVF). In March, the coroner said Mr Brown's inquest could not continue due to material being withheld on the grounds of national security. He decided that redactions of intelligence material meant he could not properly investigate the circumstances of the killing. Instead, he wrote to Northern Ireland Secretary Chris Heaton-Harris, requesting a public inquiry into the case. The Jersey Horse Association met last week to set out proposals to protect the equestrian sector [BBC] Work is under way to set up a new group aimed at ensuring the survival of the equestrian sector in Jersey. The Jersey Horse Association said it met last week to set out proposals for the group to work on. Alasdair Crosby, from the association, said the equestrian sector in Jersey was struggling as "so few people are taking part" and "clubs are thinking of closing down". Deputy Kirsten Morel, Minister for Sustainable Economic Development, said the new group could "give a voice" to the needs of the equine sector. 'Urgent steps' Rebecca Flath, a member of the Jersey Horse Association development team, said there needed to be a "single voice" giving the sector "more power". "There are a lot of issues at the moment around difficulties in planning permissions for putting shelters in place for horses," she said. "As a horse owner, I only want the best for my horses and that is why welfare is one of the most important aims of this new association if it becomes properly established." Mr Crosby said the next move was "to work out what the most urgent steps are and speak directly to government". "I'm sure everyone will come on board because it is the only way that equestrianism in Jersey will survive," he said. Mr Morel said the sector made an "important economic, environmental and social contribution" to the island. "I look forward to working alongside the new association as it gives a voice to the needs of this community," he said. Follow BBC Jersey on X (formerly Twitter) and Facebook. Send your story ideas to channel.islands@bbc.co.uk. More on this story Related internet links In Dungannon there are two very different types of structures standing side by side, dominating the towns skyline [BBC] There is growing disagreement over an old British army communications mast on an ancient historical site in County Tyrone. The Troubles-era mast has been there for decades and sits atop a tourist destination that many historians consider to be one of the most important sites in Ulsterthe Hill of the ONeill. Beside the mast, a pair of ruined towers overlook Dungannon, the last remaining remnants of a grand house dating back to the 18th century. Some emergency services, NI Water, and mobile networks still use the mast, but its future is uncertain. The Hill of the O'Neill is known for its stunning views of several counties [BBC] Mid Ulster District Council and NI Water, which owns the mast on the site of an old British army barracks, are at odds over its future and who might foot the bill to remove the mast or change the site. Others consider it to be a relevant part of Northern Irelands recent history. NI Water had previously proposed to jointly develop and manage a feasibility study with the council to explore options to remove, reduce, or better disguise the mast. It was estimated that the study would cost 100,000, and a business case was completed in relation to sharing the costs with the council. However, NI Water has said it can no longer go forward with the proposal because of funding cuts and an insufficient budget. 'This is a major tourist site' Niall Maneely is chair of the Dungannon and South Tyrone Chamber of Commerce [BBC] In a statement to BBC News NI, NI Water said it offered to help with the feasibility study and options for mast removal, but "this study and the outcomes would need to be fully funded by MUDC. Independent Republican councillor for Dungannon, Barry Monteith, has called for the mast to be relocated. This is a major tourist site that is promoted on the basis of the view. If a similar mast was on the walls of Derry, in the Titanic Quarter in Belfast, or in the Lakelands of Fermanagh, it wouldnt be tolerated, so it shouldnt be tolerated here. The telecommunications mast is used by NI Water, the emergency services and mobile phone operators [BBC] DUP councillor Clement Cuthbertson has previously criticised the prospect of public money being spent on the feasibility study. In a social media post in July, he said: This would be a ridiculous waste of ratepayers' money. The chair of the newly-formed Dungannon and South Tyrone Chamber of Commerce, Niall Maneely, said the mast being so close to an ancient site was potentially detrimental to the character of a popular tourist destination. We acknowledge the use of the mast by emergency services and mobile operators, but surely there are other locations that can provide the same service. James Kane is from the ONeill Country Historical Society [BBC] James Kane from the ONeill Country Historical Society said the mast's future was complicated. Obviously there are those who would like to eradicate any evidence that remains from that period in Dungannon during the Troubles, and you can understand that. But from a historical point of view, the mast is the last remaining artefact of what Dungannon was like in the 70s and early 80s when the barracks were there, he said. He added: So there is substance to the argument of why not leave the mast there?, build it into the historical storytelling on the site, instead of the cost of removing and eradicating it completely. MUDC has said that the mast impacts its ability to promote and develop the site as an important heritage and tourism asset for the region". A council spokesperson said that it was continuing to engage with NI Water to explore ways in which the mast could be "reduced, or ideally, removed, and relocated to an alternative site". For much of the 20th century, the site was closed to the public as it was used as police and army barracks; it was transferred to the local council in 2007. A museum on the site tells the story of Hugh O'Neill and the O'Neill clan [BBC] History of the Hill of the O'Neill From the 14th century onwards, the hill was the seat of military and political power of the ONeill clan, chosen because of its height advantage and panoramic views. The clan's origins date back as far as the 10th century, including two High Kings of Ireland. Hugh ONeill became leader of the clan in 1595, but he would eventually leave Ireland in what would become known as the Flight of the Earls. In the 18th century, a prosperous businessman, Thomas Knox Hannington, built a grand house on the site, and the ruined towers of it can still be seen today. On a clear day, it provides views of Lough Neagh, the Sperrin and Mourne Mountains, Cavehill in Belfast, and as far as the counties of Cavan and Monaghan. COLUMBUS, Ohio (WCMH) Columbus is hosting a gun buyback where citizens can anonymously trade in firearms in exchange for cash gift cards of up to $750, but not all firearms qualify for payment. Columbus police and the Office of Violence Prevention, or OVP, are partnering for the event. The city said it is accepting rifles, assault rifles, handguns and shotguns in exchange for a cash gift card. It is also accepting non-working, antique and 3D guns, but there is no cash incentive for these firearms. Columbus police said they found dozens of untraceable, homemade firearms in 2023, including 75 illegal Glock switches, which convert some handguns into fully automatic weapons. Police said individual gun parts and entire firearms made through 3D printing have been documented. See your schools grade on Ohios 2024 report cards 3D-printed guns fall under the ghost gun category, or guns without an identifying serial number. Metal and polymer guns assembled through at home kits also fall under this umbrella. We will absolutely accept ghost guns or 3D printed guns, but we are not offering gift cards for those, India Duke, public information officer for the OVP, said. We do not want to incentivize individuals to create firearms just to get the cash gift cards. The program is anonymous, so anyone turning in firearms will not be identifiable, allowing anyone with these guns to turn them in safely and get them off the street. Participants should arrive with the gun or guns placed in their vehicles trunk inside of a box or bag. Guns must not be loaded. The gift cards are first-come, first-serve, and OVP said it is prepared with over $100,000 of cash gift cards. The event starts at 10 a.m. in Phillips Hall parking lot D at Franklin University, and will go until the gift cards run out. They will also distribute gun locks and other safety-related giveaways, OVP said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to NBC4 WCMH-TV. Florida Congresswoman Sheila Cherfilus-McCormick warned that former President Donald Trump and running mate JD Vances lies about Haitian immigrants in an Ohio town could absolutely lead to anti-immigrant violence, Dem. Cherfilus-McCormick, the sole Haitian-American representative in Congress, told Victor Blackwell on CNNs First of All on Saturday morning that the rhetoric was dangerous. My first reaction was just pure disgust and disdain, Cherfilus-McCormick said, adding that the ex-president and JD Vance are fully aware that Haitians in Ohio are in the U.S. legally on Temporary Protected Status. For him to say that they are committing crimes and stealing pets is a big lie. Trump, who railed against the community and claimed that they were eating the dogs in front of nearly 70 million Americans during the presidential debate earlier this week, doubled down at a press conference on Friday and dismissed the idea that his hateful rhetoric could contribute to violence, instead vowing to deport the legal Haitian immigrants to Venezuela. Its really rooted in racist stereotypes that weve heard decades before, she said. Words have actual real meanings, and they have actual real results. And this is exactly it. What Donald Trump is doing right now is really trying to be divisive, this is his one tool. The Congresswoman said the danger towards Haitian immigrants wasnt limited to Springfield, whose non-Haitian residents have also condemned the barrage of attacks against the immigrant community. Were feeling the combativeness, were feeling the death threats, Cherfilus-McCormick said, adding that shes hearing that from people all across the country. The increasingly inflammatory language from Trump and Vance has already inspired a number of bomb threats in Springfield, as Rupert Murdoch-owned tabloids attack Haitian immigrants with front-page coverage of minor traffic incidents. Our children now are at risk going to school, the Haiti Caucus co-chair said, adding that she was planning to visit the Haitian community in Springfield. Creations Market shop owner Philomene Philostin, a naturalized US citizen of Haitian origin, shelves merchandise in her store that caters mainly to Haitian residents in Springfield, Ohio, on September 13, 2024 (ROBERTO SCHMIDT) (ROBERTO SCHMIDT/AFP/AFP) Bomb threats are being called into schools and businesses are closing at sundown in Springfield, Ohio, after the small US town became the center of conspiracy theories targeting its Haitian immigrant community -- leaving some in fear for their lives. The mostly white city in the American Midwest has seen a boom in population in recent years, fueled mostly by Haitians attracted by its economic revival, and new businesses happy to attract laborers. But after fleeing gang violence in Haiti, many newcomers are now worried they could be victims of hate crimes here as Republicans stir tensions over the influx of Black foreigners during a heated political campaign season. "Some of them want to leave (town), some have already left," said Romane Pierre, manager of the Rose Goute Haitian restaurant. He closed early, around 8:00 pm, on Thursday, worried about his staff having to walk home late at night. What started as municipal growing pains in a rapidly growing city have morphed into allegations of an "invasion" by "illegal" newcomers, baselessly accused of stealing and eating people's pets and causing a crime wave. Since Republican White House candidate Donald Trump declared "they're eating dogs" at Tuesday's presidential debate, tensions have only increased. A bomb threat Thursday closed city hall and a local public school attended by many Haitian children. Schools were evacuated for a second day Friday, and the FBI investigated threatening calls telling a Haitian community center to "fucking leave," the centers executive director told AFP. "It's a sad reality, putting people in panic," said Viles Dorsainvil, executive director of the Haitian Community Help and Support Center. "We are trying to help them to understand what has happened is just because of a political agenda." - Too much of a good thing? - In many ways, Springfield's growing population was a success -- and one specifically sought out by the city, which previously had a declining population typical of the post-industrial heartland. City officials pushed an economic plan to attract new businesses, and it worked -- perhaps too well, attracting some 10-15,000 Haitians to a town that had a population of under 60,000 in 2020. The growth has stressed the already tight housing market, emergency services, and the health and school systems -- real problems, said Wes Babian, former pastor at the First Baptist church. And the jobs revival has not translated into fixing systemic problems such as longstanding local poverty. But Babian denounced what he said were growing "racial overtones" in residents' complaints. "There's been a lot of controversy over the last year or so with regard to the new neighbors," said Babian. "Certainly understandable in some respects, but it's migrated to a much more negative, even dangerous level at some points." Many of the Haitans in Springfield have some sort of legal or protected status. Some have lived in the United States for years. But they have been accused of being bused into the town by the federal government, or living grandly off public benefits while the local population languishes. In reality, some Haitians arrived with their own funds and started businesses, like Philomene Philostin, a naturalized US citizen who owns a grocery store stocked with Haitian staples such as djon-djon seasoning and dried lalo leaves. Others are barely scraping by, such as Fritz. He arrived at the US-Mexican border five months ago, and was given an appointment to cross and seek asylum. He receives food assistance, but nothing he can use to pay rent -- which he hopes to turn around after finding a night shift job at a food services company. "But they haven't paid me yet," he said, and the housing situation for him, his two-year-old son and pregnant wife, at a friend's house, is precarious. As he spoke to AFP downtown across from the evacuated city hall, a car drove by, with its occupants yelling out, "Fuck you!" - 'I've got my weapons' - Since the presidential debate, Daniel, a Haitian who has lived in Springfield for four years under a legal protection called Temporary Protected Status, has stopped leaving his house unless it's completely necessary. But he insists the people spreading the hateful rhetoric are in the minority. "The threat is real," he said. But "it's not the entire community." Others are on his side. Sitting on his front porch, an American flag fluttering in the twilight, Vietnam War veteran WIlliam Thompson declares "it's the land of the free... They got an opportunity to come be free." And if, like so many fear, things spiral into violence, "I've got my weapons inside the house," he laughed. nro/sms/jgc SAVANNAH, Ga. (WSAV) Former President Donald Trumps campaign stopped in the Hostess City Saturday afternoon. The campaign hosted a town hall rally, with standing room only at the Morris Center. The voters here they understand, they get it, said Congressman Buddy Carter, who represents Georgias 1st District. South Dakota Gov. Kristi Noem led a discussion alongside Carter and Georgias 10th District Rep. Mike Collins. They say Georgia voters are focused on the rising cost of living and border safety, both of which they say theyre trying to change. To me, it just makes me want to go out and talk more about Trump, said supporter Angie Mingledorff after the rally. You know, a lot of people dont understand. Tim Waltz to visit Georgia next week, campaign says Those in attendance got a call to action: to convert undecided voters onto their team. I dont want another four years of what I just had, said Mingledorff. I want Trump back in with what he was back in 2016. Im just all excited to find those people that are on the fence, like they said, and just try to find those independents and tell them this election is up to us, said supporter Kaye Buffalini after the rally. We have to vote. We have to get out and vote. The campaign took questions from the audience, many concerned with the current state of the country, wanting to know how the Trump administration could change things. Were excited about the turnout we had here today and the amount of individuals asking insightful questions on what it really means for their family, why are gas prices so high, why are our grocery prices going up and what will President Trump do different than what Kamala Harris has done the last four years, said Noem. The event ended with a reminder to voters to head to the polls. Go vote early, said Collins. We win this thing big enough where we wont have to worry about anything else. Early voting begins on Oct. 15 and ends Nov. 1. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WSAV-TV. The Harris campaign on Saturday unveiled an ad highlighting her pledge during the debate to put Americans first regardless of party, seeking to draw a contrast with some of former President Trumps comments. The 30-second ad, which was shared first with The Hill, features an exchange from Tuesdays debate in which Harris argued Trump was more interested in defending himself than he is in looking out for you. The ad, called Prosecutor, then cuts to a rally appearance earlier this year where Trump quipped to attendees, I dont care about you, I just want your vote. As a prosecutor, I never asked a victim or witness are you a Republican or a Democrat, Harris said during the debate exchange featured in the new ad. The only thing I ever asked them: Are you OK? And thats the kind of president we need right now. Someone who cares about you, and is not putting themselves first. The ad will run on digital platforms across battleground states and is part of the campaigns $370 million paid media campaign running through Election Day. The ad is the fourth that the campaign has unveiled since Tuesdays debate between Harris and Trump. The campaign said Harris would seek to build off the debate with new ads, travel to battleground states and interviews with local media. The vice president repeatedly attempted to get under Trumps skin, often succeeding as the former president went on tangents about crowd size, Biden and a conspiracy theory about migrants abducting pets in an Ohio town. Harriss campaign almost immediately called for a second debate between the two candidates, but Trump has said he will not participate in another debate. A CNN rapid poll found 63 percent of debate watchers said Harris won Tuesdays debate, compared to 37 percent who said Trump won. Multiple polls released Thursday showed Harris widening her lead over Trump nationally. Trump cited multiple social media polls, including one posted by C-SPAN, that showed he fared better than Harris. And a Trump campaign poll found Trump got a 2-point bump from the debate, while Harriss support in battleground states remained flat. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. We recently compiled a list of the 10 Best Green Energy Penny Stocks to Buy Now. In this article, we are going to take a look at where Altus Power (NYSE:AMPS) stands against the other green energy penny stocks. Exploring Green Energy Green energy comes from naturally replenished resources such as the sun, wind, and tides, and is used for various purposes including electricity generation, heating, and transportation. Unlike traditional forms of energy from finite sources such as fossil fuels, green energy is sustainable and includes several types of energy such as bioenergy, geothermal energy, hydrogen, hydropower, marine energy, solar energy, and wind energy. According to the International Energy Agency (IEA), there has been impressive growth in green energy spending, however, it remains highly concentrated in a few regions, primarily advanced economies, and China. Green energy investments are not evenly distributed due to obstacles such as high upfront costs and macroeconomic challenges affecting broader adoption. While costs for clean technologies have risen in recent years, they remain competitive compared to fossil fuels. Despite record global investments in energy transition technologies reaching $1.3 trillion in 2022, this amount falls short of the necessary investment to achieve the 11.2 Terawatts of green energy capacity pledged by countries. To address this, there is a need for increased and more investment in green energy, particularly in developing countries, which have received disproportionately low levels of funding despite their high energy needs. The global investment in renewable generation capacity must exceed USD 1.5 trillion annually to meet targets. Inflation Reduction Act Spurs Investments in Green Energy Analysts are bullish on the investment opportunities in green energy, particularly in light of the U.S. government's recent fiscal stimulus efforts, including the Inflation Reduction Act. The Biden administration's $370 billion investment in energy and climate incentives is expected to significantly boost various sectors within the green energy industry. Experts highlight the importance of tax credits and other incentives aimed at developing renewable energy infrastructure and supply chains, especially in the U.S. and with fair trade partners. While traditional, large-cap-weighted ETFs are popular, there's growing interest in more diversified, equal-weighted approaches that include smaller, innovative companies in areas like battery production, solar power, and critical mineral extraction. This transition to renewable energy is seen as a global trend, offering investment opportunities beyond North America. JP Morgan is actively investing in green energy projects by providing tax equity financing to support the development and construction of solar and storage projects in the United States. In May, the bank committed $680 million in tax equity financing to rsted, a leading energy developer, for the construction of two major projects: the Eleven Mile Solar Center, a 300 MW solar project in Arizona, and the Sparta Solar, a 250 MW solar project in, Texas. This investment is one of the largest solar and storage tax equity transactions since the passage of the Inflation Reduction Act (IRA), which introduced new tax credit mechanisms, including the ability to transfer tax credits. The bank's involvement allows it to optimize its federal tax obligations while supporting the expansion of green energy infrastructure. Additionally, this deal builds on its existing investments in 1.8 GW of rsteds U.S. onshore green energy portfolio, demonstrating the bank's interest in the energy transition. The current level of investment in green energy falls short of what is required to transition to a sustainable energy future. A more equitable distribution of investment and a stronger commitment to overcoming barriers such as high upfront costs. With that in context let's take a look at the 10 best green energy penny stocks to buy now. Our Methodology For this article, we scanned green energy ETFs plus online rankings to compile an initial list of 50 green energy stocks. From that list, we narrowed our choices to 10 stocks trading under $5 that were the most popular among hedge funds. The hedge fund sentiment was taken from our database of 912 elite hedge funds as of Q2 of 2024. We also included the market cap of these companies as of September 4. The list is sorted in ascending order of their hedge fund sentiment, as of the second quarter. Why do we care about what hedge funds do? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points (see more details here). A close up of a solar panel array in a suburban neighborhood. Altus Power (NYSE:AMPS) Number of Hedge Fund Holders: 11 Market Capitalization as of September 4: $494.36 Million Altus Power (NYSE:AMPS) is a prominent player in the green energy sector and specializes in community solar power solutions by leveraging underutilized rooftop spaces to generate solar electricity and capture excess energy from commercial and industrial properties. Altus Power (NYSE:AMPS) provides cost-effective solar power to residential customers. The company serves over 25,000 subscribers nationwide through its Community Solar program and offers green energy savings without requiring customers to install their own solar panels. The company operates a robust portfolio of solar assets, including both operational facilities and those under development. On August 20, Altus Power (NYSE:AMPS) announced that it completed two new community solar projects in Maine, totaling 12.6 MW. The projects will benefit over 2,600 local households by generating clean electric power and reducing nearly 12,000 metric tons of carbon emissions. Altus Power (NYSE:AMPS) has partnerships with industry leaders such as Blackstone and CBRE, which enhances its market presence, and positions the company as a leading player in the green energy landscape. Altus Power's (NYSE:AMPS) strategic approach to acquiring and managing large-scale solar projects has positioned the company as a prominent player in green energy. Altus Power (NYSE:AMPS) is well-positioned to benefit from the growing demand for green energy solutions. Analysts expect the companys earnings to grow by 100% this year. Industry analysts have a consensus on the stocks Buy rating, setting an average share price target at $5.56, which represents a 56% upside potential from its current level. As of the second quarter, the stock is held by 11 hedge funds and the stakes amount to $28.10 million. Overall AMPS ranks 9th on our list of the best green energy penny stocks to buy. While we acknowledge the potential of AMPS as an investment, our conviction lies in the belief that AI stocks hold greater promise for delivering higher returns and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than AMPS but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. Vice President Kamala Harris gave her first solo sit-down interview since she became the Democratic nominee to a local news station in Philadelphia, the largest city in Pennsylvania (KENA BETANCUR) (KENA BETANCUR/AFP/AFP) Kamala Harris appealed to moderate voters Friday in the critical swing state of Pennsylvania, reiterating that she is a firearm owner who wants to preserve Americans' gun rights while maintaining "reasonable" gun safety laws. The US vice president gave her first solo sit-down interview since she became the Democratic nominee to a local news station in Philadelphia, the largest city in Pennsylvania, where analysts believe her race for the White House against Republican Donald Trump could hinge. "We're not taking anybody's guns away. I support the Second Amendment (of the US Constitution) and I support reasonable gun safety laws," Harris told ABC affiliate WPVI. Guns rights have been a contentious issue in American politics for decades. Meanwhile Trump has riled up supporters on the campaign trail by saying Harris wants to "confiscate your guns." Harris in the interview cited her raw experience as a prosecutor and how it brought her intimately close to the victims of gun violence. "I have personally prosecuted homicide cases," she said. "I have personally looked at autopsies. I have personally seen what assault weapons do to the human body. "And so I feel very strongly that it is consistent with the Second Amendment and your right to own a gun to also say we need an assault weapons ban. They're literally tools of war." Polls show most Republicans are opposed to a ban on semi-automatic rifles, known by some as assault weapons, including popular AR-15 style rifles that have been used in many mass shootings. But Harris said she also backs more popular policies including universal background checks on gun purchasers. "The majority of NRA members support that," she said, referring to the powerful lobbying group, the National Rifle Association. The 59-year-old White House hopeful surprised many during this week's debate with Trump when she identified herself and her running mate, Minnesota Governor Tim Walz, as gun owners. She reiterated that again during her 11-minute interview on Friday, while also repeating her campaign pitch of unity and a new direction for the country. "Most Americans want a leader who brings us together as Americans, and not someone who professes to be a leader who is trying to have us point our fingers at each other," she said, referring to Trump. "I think people are exhausted with that to be honest." mlm/des Harris focuses on Wisconsin, Michigan and Pennsylvania in an effort to shore up her support WILMINGTON, Del. (AP) Vice President Kamala Harris has upcoming events scheduled in Pennsylvania, Michigan and Wisconsin as her campaign focuses spending on the blue wall states with the Nov. 5 election nearing. On Tuesday, Harris will sit for an interview with the National Association of Black Journalists in Philadelphia. Two days later, she is joining Oprah Winfrey in Michigan at her Unite for America livestream event with 140 different grassroots organizations. Harris' visit to Wisconsin on Friday will be her fourth since she launched her White House run in July. Harris' campaign has a large operation in the states with hundreds of staff and on-the-ground outreach efforts. Supporters in Wisconsin have knocked on more than 500,000 doors and that since last weeks debate with Trump, the campaign has signed up more than 3,000 new volunteers. Overall, Harris team is on pace to outspend Republican Donald Trumps campaign 2-to-1 in television advertising over the next two months. Even before Democratic President Joe Biden ended his reelection campaign and made way for Harris, the Democrats wielded superior campaign infrastructure in battleground states. Harris team, which includes her campaign and an allied super political action committee, has more than $280 million in television and radio reservations for the period between Tuesday and Election Day, according to the media tracking firm AdImpact. Trumps team has $133 million reserved for the final stretch, although that number is expected to grow. Vice President Harris on Friday pledged to end unnecessary degree requirements for some federal jobs as she courted middle-class voters in the critical battleground of Pennsylvania. For far too long, our nation has encouraged only one path to success: a four-year college degree. Our nation needs to recognize the value of other paths, additional paths, such as apprenticeships and technical programs, Harris told the crowd in Wilkes-Barre, prompting applause. As President, I will get rid of the unnecessary degree requirements for federal jobs to increase jobs for folks without a four-year degree, understanding that requiring a certain degree does not necessarily talk about ones skills, Harris said. She also promised to challenge the private sector to follow suit. Pennsylvania Gov. Josh Shapiro (D), who was a top contender in the so-called veepstakes to join Harris on her fast-tracked ticket, notably signed an executive order in the Keystone State last year that removed four-year college degree requirements for most state government jobs. The pledge comes as the vice president promises shed champion the middle class if elected to the Oval Office this fall. At the same time, shes been working to highlight her own background as she appeals to the critical demographic. I come from the middle class. I understand where I come from, and Im never going to forget that, and I will always put middle class, working people first, Harris said on Friday. Her rally speech was briefly interrupted by what appeared to be protests over the war in Gaza, prompting Harris to briefly veer off-topic as she addressed them directly. Now is the time to get a hostage deal and ceasefire. We have been working around the clock to get that done, and I respect your voice, but right now I am speaking, she said to cheers. The shut-down echoed a line shes used at previous events. Harris and former President Trump are locked in a tight race for the White House. The latest polling averages from The Hill/Decision Desk HQ show the Democrat with a 3.5 percent lead nationally. Harris made two stops in Pennsylvania on Tuesday, where the DDHQ aggregation shows her ahead by a fraction of a percentage point. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. The Republican presidential nominee, former U.S. President Donald Trump, and the Democratic presidential nominee, U.S. Vice President Kamala Harris, debate for the first time during the presidential election campaign at The National Constitution Center on Sept. 10, 2024, in Philadelphia, Pennsylvania. (Win McNamee/Getty Images) On Sept. 10, 2024, 67.1 million viewers (minus online streaming and PBS numbers, which are not available) watched a historic presidential debate. Donald Trump had debated Hillary Clinton the first woman to head a major party ticket in 2016, but this debate was historic not for his opponents gender or racial heritage, but for the path that led her to the debate stage. Until Harris became the presumptive nominee on July 21, after President Joe Bidens withdrawal from the race after his June debate performance, there had never been a substitute candidate in U.S. history. Harris hit the ground running and injected enthusiasm into an electorate that was not excited about a rematch of 2020. Before the debate, however, Harris negatives were almost equal with her positives 47% to 46%. Trumps positives were at at 45% and negatives at 50%. Of those who planned to watch the debate, three out of 10 said it would help them decide their vote, indicating soft supporters for both candidates along with the undecideds. Polls showed a race that was virtually tied. Thus, the debate was important to each candidate. In a pre-debate column, I gave four things to keep in mind when watching the debate. How did they play out? First, I noted that historically winning a debate does not mean winning an election, and that watching to see who has the leadership qualities and values a person supports is a more important question. In post-debate analysis, many have shared that historic fact when reporting on post-debate polls showing Harris as the clear winner. What the debate did show is that Harris received positive reviews for looking and sounding presidential and future oriented, with a positive attitude about the countrys future. At this writing, a national poll was released showing a 5-point lead, 47% to 42%, for Harris. Sustaining that debate momentum is now her challenge, especially without a second debate. Trump maintained his dystopian view of the country and spent considerable time on the past his, Bidens and Harris and interjected unproven claims about immigrants eating cats and dogs and committing crimes in record numbers. He also asserted that Harris supports transgender surgeries for immigrant prisoners and post-birth abortions. He tried to bolster his self-descriptions as a successful president who was tough and well-respected internationally. As I suggested, there was little new information, but for those who did not watch the conventions or who do not follow news closely, at least some of both candidates policy positions and attacks on their opponent might have been new. Harris touted her opportunity economy and gave details on how she will help the middle class and lower prices. Trump repeated his attacks on Harris as an extremist Marxist and a flip-flopper who had three and a half years to solve problems and didnt. He gave few specifics about his own policies and when pushed on how he would replace the Affordable Care Act, he responded I have a concept of a plan, an answer that fueled dozens of memes on social media He also repeated his claim that he can end the wars in Ukraine and Gaza with simple phone calls, but didnt say what would transpire in those calls even before he is sworn in to end the wars. In a post-debate focus group I ran, the only new information all of the eight members learned was that Harris owns a gun. Given the importance of gun rights for many voters and Trumps claims that she wants to take guns away, that could be significant. The third point I made about the debate is that there are multiple agendas, and they are not all well-served. Trumps agenda was to hammer Harris on the economy, the border, foreign policy and extremism. While he did do that, he often strayed from those points and took bait from Harris that led him to a rant about the size of his rallies rather than the issue at hand. He waited until his closing statement to ask why she and Biden have not solved economic problems in their term. He should have started with that position. He also had no response when Harris explained that there was a bipartisan border plan that Trump asked Republicans to tank so he could keep the issue alive during the campaign. Trump also avoided answering more than once if he wants Ukraine to win. When the nonanswer is coupled with his failure to say what he will do in a call to end the war, it raises questions. Harris had two agendas: provide more detail about herself and her policies, mainly to appeal to middle class and swing voters. She also needed to paint Trump as self-centered, not respected, dangerous and out of control. She began with her biography in her first response on the economy and outlined her economic proposals. However, she failed to answer whether Americans are better off than they were four years ago. That gave Trump leverage on the issue, but he didnt exploit it as well as he could have. She knocked Trump off message and referred to his responses as extreme a word he uses for her. Overall, Harris is seen as winning the debate because she executed her agenda almost perfectly and Trump strayed from his and weakened his attacks as a result of her strategy. The moderators wanted to cover as many topics as possible in a single debate and they did. However, they left out a key issue: gun control. They also wanted to fact-check the candidates. However, they only fact-checked Trump, going as far as researching the pet eating claims by talking to the city manager of Springfield, Ohio, and citing FBI statistics on overall crime being down. Trump blasted both counters by saying that neither source was believable fake facts. In covering multiple topics, they often failed to get details and let both candidates evade their questions. Given that most of the publics top issues were included, the moderators did serve the publics agenda in part. Their failure to redirect and get details and straight answers, however, didnt serve the public. They can also be criticized for not giving the same speaking time to both candidates. Trump spoke over five minutes more than Harris 42 minutes and 52 seconds to Harris 37 minutes and 36 seconds and they were not consistent in muting the microphones. Finally, I prepared readers for both candidates to make erroneous claims, and they did. The moderators did some fact-checking on Trump and there were multiple live fact-checking sites and numerous articles post-debate doing the same for each candidates responses. Trump was credited with at least 30 false claims and Harris with one outright false statement (that unemployment was the highest since the Great Depression) by one news source, along with several statements that were misleading or without context. In fairness, they should have fact-checked Harris. It is too early to know if the debate will translate into enough votes for either candidate to sway the elections outcome. Trump appears to have ruled out a second debate, and the vice-presidential debates never attract the same viewership. Debates are not the final word, but they shape the final words. Both candidates will adjust their remaining appeals to the voters based on what they learned from reactions to the debate. The job interview is usually not the last step in the hiring process there are references to check and searches to conduct. Voters have time to seek out information from the candidates and the news media. Consider looking at the debate transcript, which often tells a very different story than what is gleaned from watching in real time. The vice-presidential candidates will also offer insights into the teams positions, so tune in on Oct. 1 to see Tim Walz and J.D. Vance defend the top of their tickets and possibly clarify unresolved issues from the presidential debate. Diana B. Carlin is the co-author of The 1992 Presidential Debates in Focus and The Third Agenda in U.S. Presidential Debates: DebateWatch and Viewer Reaction 1996-2004. She is professor emerita of Communication at Saint Louis University and a retired professor of Communication Studies at the University of Kansas. Through its opinion section, Kansas Reflector works to amplify the voices of people who are affected by public policies or excluded from public debate. Find information, including how to submit your own commentary, here. The event was set up in 2021 as a celebration to mark the end of Covid restrictions [Anti-Loo Roll Brigade] Headline acts are to return to the stage during a festival which raises money for people in the community. About 5,000 guests are expected to attend the Anti-Loo Roll Festival across Friday and Saturday in Colchester, Essex. The event was initially set up as a celebration to mark the end of Covid restrictions in 2021. "Being a Colchester boy, seeing this amazing festival in my hometown is just the most surreal feeling," said the event's founder Peter Dutch. The festival was a product of the Anti-Loo Roll Brigade, a community group that supported residents throughout the pandemic. Peter Dutch gave up his scaffolding job to run the group full-time [Peter Dutch] A night at the proms inspired night took place on Friday, but Mr Dutch said the main attraction was Saturday's line-up. He said a range of local talent and tribute bands would take to the stage in front of the crowd in Lower Castle Park after a year of planning. "I'm really excited, the sky is blue and the sun is out. It's shaping up to be our best one yet," Mr Dutch said. "It won't really sink in until everyone starts walking through the gates, then I'll get a moment to actually take it in." Mr Dutch said he wanted to help make a difference in people's lives [Anti-Loo Roll Brigade] The work of the Anti-Loo Roll Brigade has continued post-pandemic, with it now registered as a community interest company and based at the Lakelands Centre in Stanway. Mr Dutch, who gave up his day job to run the group full-time, said it was helping children from abusive backgrounds and soldiers with post-traumatic stress disorder, among other tasks. "We're in a position to be able to help people and make a difference in their lives, so it would be a crime not to help," the former scaffolder added. Follow Essex news on BBC Sounds, Facebook, Instagram and X. More on this story Massachusetts Gov. Maura Healey, left, and Connecticut Gov. Ned Lamont, right. (Official photos) Gov. Maura Healey of Massachusetts and Ned Lamont of Lamont are considering a major energy deal, with Healey signaling that she might agree to purchase power from the Millstone nuclear power plant in Connecticut if in return Connecticut buys a chunk of the output of the proposed Vineyard Wind 2 wind farm off the coast of Marthas Vineyard. The proposed swap was first reported by the Hartford Courant, but details of the arrangement being discussed were confirmed by CommonWealth Beacon. What set the discussions in motion was a lengthy offshore wind procurement conducted jointly by Massachusetts, Connecticut, and Rhode Island that ended last week with only Massachusetts and Rhode Island making procurements. Connecticut took a pass, leaving the fate of Vineyard Wind 2 unclear Massachusetts agreed to purchase 800 megawatts of the Vineyard Wind 2 project but the remaining 400 megawatts were unclaimed. Why Connecticut didnt purchase the remaining 400 megawatts was unclear, but it appears likely the price of the power was too high for Lamont and Democrats in the Connecticut Legislature at a time when electricity ratepayers in Connecticut have seen their bills skyrocket, largely because of charges associated with Millstone. Millstone is one of two remaining nuclear power plants in New England and a key source of carbon-free electricity. In 2019, Connecticut lawmakers, worried that Millstone might close, jeopardizing close to 1,000 jobs, directed the states two major utilities to enter into a 10-year power purchase contract for half the power plants output. The utilities agreed to pay a steady rate of $50 a megawatt hour, but the cost to ratepayers varied depending on wholesale prices. When the wholesale price of electricity exceeded the Millstone price, Millstone had to kick the difference back to ratepayers, which happened when the war in Ukraine sent the price of natural gas, the primary fuel for electricity generation, skyrocketing. More recently, wholesale prices have fallen below $50, and ratepayers have had to pick up the difference. Connecticut wanted other states to buy in to the Millstone deal to make sure the plant kept operating, but none did. In a close-out spending bill Healey filed this week, she included several provisions that redefine clean energy under Massachusetts law and allow the Department of Energy Resources to enter into long-term contracts for clean energy and/or associated environmental attributes. The new clean energy definition included nuclear power generation that is located in the ISO-NE control area and commenced commercial operation before January 1, 2011. Millstone, based in Waterford, Connecticut, opened in 1970. Seabrook Station, located in Seabrook, New Hampshire, opened in 1990. The Hartford Court quoted a Lamont spokesman as saying the governor believes that Millstone is a regional asset and is grateful that Governor Healey included the procurement language in a recent legislative proposal. As was discussed at the meeting [of New England governors and eastern Canada premiers] on Tuesday, making our grid more reliable, green, and affordable is a multi-state effort. Asked when he would make a decision on rejoining the latest offshore auction, Lamont told the Courant: Well see. Asked whether it would be before the November election, he said, Im cautious on this, as you know, so not for a while. A spokesperson for Healeys executive officer of energy and environmental affairs had no immediate comment on a possible Millstone deal, but she did say offshore wind is key to unlocking jobs and economic development in our region. We believe in the Vineyard Wind 2 project. Selecting projects now will ensure New England stays in the lead as the industry takes off nationwide. Massachusetts appreciates Connecticuts partnership and we look forward to other entities joining in this procurement. We will continue to seek out opportunities to partner with other states for our collective benefit. Rep. Jeffrey Roy of Franklin, the Houses lead lawmaker on energy issues, visited Millstone in 2022 and has advocated for Massachusetts procuring some of the plants power. He said he was pleased to see Healey include the language in her close-out spending bill, which also included a handful of siting and permitting provisions cribbed from House and Senate climate bills. Sen. Michael Barrett of Lexington, the Senates point person on energy, was disappointed earlier this week when Healey slipped a handful of climate measures into her close-out spending bill and left out a number of Senate priorities. Asked about Massachusetts negotiating a Millstone deal, Barrett called it a major new wedge into Massachusetts climate policy. He added: This stuff hasnt been explained, particularly the upside and the downside for Massachusetts. This is kind of coming out of nowhere. The Senate is going to take a very close look at what the governor is proposing. This article first appeared on CommonWealth Beacon and is republished here under a Creative Commons license. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Aiming to strengthen regional efforts to tackle climate change, Gov. Maura Healey signed resolutions Tuesday alongside Newfoundland and Labrador Premier Andrew Furey to reconvene energy and environment committees among a cohort of New England states and Canadian provinces. Healey, who co-hosted the annual New England Governors and Eastern Canadian Premiers Conference, said the coalition will revive the Northeast International Committee on Energy and the Committee on Environment, with a goal of boosting regional collaboration and planning across 11 jurisdictions. "In Massachusetts, we've put real resources behind our teams partnering with other New England states. We want to extend that work to and with our neighbors in Canada," Healey said of the energy panel. "Those efforts have delivered more investment, innovative ideas and real progress on tough energy issues, and I know we can do even more working together as states and provinces." Vineyard Wind CEO Klaus Moeller tours the landfall area for the project's second of two offshore power cables after being pulled onshore through a trench under the parking lot at Covell's Beach in Centerville where it then heads to a new substation under construction in Hyannis. Photo taken Jan. 18, 2023 Healey offered few details about the committees' forthcoming work during a press conference Tuesday afternoon at Boston University, after a morning of private roundtable discussions. Offshore wind supply chain "One of our discussions was building out an offshore wind supply chain here in New England and Canada to achieve true energy independence and save money for our residents," Healey said. "We also discussed the opportunity to create consistent pathways for decarbonizing some of the hardest-to-reach sectors of the economy, including heavy transportation, aviation, and commercial and industrial buildings. This alignment will allow us to use the clean energy we're producing in the most effective ways possible." Furey voiced optimism about the leaders' ability to address climate change challenges and meet energy demand. "The region is more than shared geography and shared resources. It truly is a family, and when you're tackling the complex challenges and problems that exist in the world today, including the changing geopolitical tensions, energy demands, and how we're going to tackle the big problems of climate change, that can only be strengthened when you have a familial relationship like we do amongst us," said Furey, who noted the sentiment was shared by several governors. Building relationships across borders The two-day conference appeared to focus heavily on relationship-building, Energy and Environmental Affairs Secretary Rebecca Tepper and other officials indicated. Guests included Vermont Gov. Phil Scott, Maine Gov. Janet Mills, Connecticut Gov. Ned Lamont, Rhode Island Gov. Daniel McKee and Boston Mayor Michelle Wu. Those governors did not offer remarks during public sessions with the press. The regional coalition also includes New Hampshire, Nova Scotia, Prince Edward Island, and Quebec. "I thought there was a lot of agreement on transmission and the need for additional transmission, and also on the need for more clean energy and the sharing of clean energy," Tepper told reporters. Tepper expressed her interest in the re-launched environment committee, where she said officials can focus on resilience issues. BU's Center for Computing & Data Sciences is commonly referred to as the Jenga Building, and is the largest fossil fuel-free, carbon-neutral building in Boston, as well as one of the largest carbon-free buildings in New England, said BU President Melissa Gilliam. Gilliam said Healey envisions Massachusetts becoming a hub for climate tech, though key investments for the sector are stuck in an economic development bill that Beacon Hill lawmakers could not agree on before the end of formal lawmaking. A coalition of conservative groups, including MassFiscal, held a virtual press conference "rebuttal" Tuesday focused on energy affordability concerns, like steeper costs to ratepayers for renewable energy and potential negative impacts to tourism and marine businesses. "At a time when Connecticut households are grappling with sky-high electricity prices, we ask Gov. Lamont to be mindful of how decarbonization policies could drive electricity prices even higher," David Flemming, director of policy and research at the Yankee Institute, said. "Any such policy change discussed by the governors and premiers will have a miniscule impact on climate change, but has an enormous potential to make Connecticut more unaffordable than it already is." Lamont, in a statement shared by the Healey administration, thanked governors and premiers for their collaboration. "The Northeast faces many challenges when it comes to energy affordability and reliability, and collaborating on these policies among our states and provinces is a way that we can work to diversify energy supplies, strengthen the clean energy supply chain, and grow the clean energy workforce," Lamont said. Drew Cline, president of the New Hampshire-based Josiah Bartlett Center for Public Policy, criticized Furey's comments that described the region as a family. "The idea that our leaders are going to get together and concoct their nice little schemes to provide us with very expensive, unreliable power because they prefer that generation is evidence of government leaders living in a bit of a fantasy world and not doing their responsibility to the folks that they're supposed to represent," Cline said. The governors and Canadian leaders started their conference Monday by visiting the Massachusetts Maritime Academy in Buzzards Bay and attending a welcome reception at Fenway Park. By Tuesday morning, the leaders were showing off their friendly alliances. Healey spoke about how Massachusetts selected 2,678 megawatts of offshore wind power last week for three projects, with Rhode Island selecting 200 MW from one of the projects. She stopped short of mentioning that Connecticut, originally part of a Southern New England partnership, did not select a project on its own or partner with another state. Healey, speaking later with reporters, said Connecticut officials are still evaluating next steps. Tepper said Connecticut is "going to have to make its decision, and we'd love to have them on board." Wu said Boston, which participated in the recent bid, is slated to receive 15 MW of offshore wind power from the new projects. "We believe we're the first major city to directly contract as part of an offshore wind procurement," Wu said. "It's equivalent of one wind turbine, which will go to serve 5,000 residents in the City of Boston, along with about a third of the energy for the Boston Public Schools system." Asked about the status of Quebec delivering hydroelectricity to New England, Healey said, "Right now we are continuing to work with Maine, and with the developers, and utilities on some of the negotiations there." Healey also touted a recent nearly $400 million federal grant to New England states, which she said will be used to build new transmission equipment for offshore wind power in Connecticut and Massachusetts, plus to invest in battery energy storage systems in northern Maine. "We're really in this together," Healey said. "We really, really are, us New England states and our eastern Atlantic provinces." This article originally appeared on Cape Cod Times: Some fear green efforts will put financial squeeze on consumers BOSTON (WWLP) Governor Healey filed a closeout budget bill on Wednesday that turns the page on fiscal year 2024 and sends a message to lawmakers that she will no longer wait for them to reach a compromise on clean energy. The bill designates a total of $714 million to cover any deficiencies, has a net cost of $149 million to the state, and includes $590 million that will be put into reserve accounts to ensure future financial stability. It also includes several provisions related to a clean energy permitting and siting bill that the legislature was unable to reach a compromise on before the end of the session on July 31. Prior to the end of the session, both the House and Senate passed their own versions of massive clean energy permitting and siting bills that aim to integrate more clean energy sources into the modern grid. Both bills were packed with policy priorities, and despite both branches working with the administration to finalize language or the reform, they have not yet reached a compromise six weeks later. The governor acknowledged that the bill remains before a conference committee, but asked that they consider her bill so that we can capitalize on the potential to grow our clean energy sector and advance our climate goals. The Senate negotiation lead, Senator Michael Barrett, told State House News Service that the provisions in the Governors bill indicate that she is taking sides. The governor is all but killing the negotiations, said Barrett. You cant create an altogether second track that gives one side almost everything it seeks and still claim to be interested in a true compromise. House negotiation lead Representative Jeff Roy told State House News Service that this issue is too important to be left undone, and sees the governors bill as another opportunity for progress. I dont think doing nothing is the appropriate option here, said Roy. In that sense, Im encouraged by what the governor is attempting to do. Roy said the governors version of the bill includes two of the Houses top prioritiesprocuring additional energy storage and authorizing regional procurements. It does not include financial relief for monthly gas bills or new methods to deal with plastic wastetwo Senate priorities. The Mass Coalition for Sustainable Energy and The Nature Conservancy praised Healey for taking the initiative to pass what they both consider to be critical legislation. The Massachusetts Fiscal Alliance calls Governor Healeys clean energy additions a backhanded attempt to sneak in policy. This is no way to govern, said Mass Fiscal Alliance Spokesperson Paul Craney. Lawmakers need to keep the Governor in check and not let this abuse in power occur. In addition to clean energy, Healey used this budget bill to allocate $225 million to support education and transportation initiatives. This bills reserve deposits include putting another $265 million into the $8.8 billion rainy day fund. The surplus comes from the millionaires tax-a controversial 4% surtax on high-earning households. As a result of this tax, tax collections exceeded last years by $1.636 billion, allowing for a budget gap of $233 million, and giving the state the liquidity needed to continue to invest in funds meant for future spending. The rainy day fund, which is a surplus holding account that can be used under very specific circumstances to restore financial stability to the state, also includes capital gains tax revenues above a certain threshold. In practice, instead of spending the surplus money, the state government is putting it in their piggy bank for designated uses. The money that is set aside does not contribute to balancing the budget. In recent years, state lawmakers have been extremely hesitant to spend any of the money kept in that fund. Healeys movement on the supplemental budget bill aligns with last years timeline, when she filed her budget closeout on September 13. Looking forward, Governor Healeys $317 million in budget vetoes leaves the state some wiggle room if revenue collection is less than predicted. Secretary Matthew Gorzkowicz says the Executive Office for Administration and Finance has already started to prepare to produce the fiscal year 2026 budget. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WWLP. Weekend Outlook: Francines remnants will slowly move south across Mississippi this weekend. The stationary front will linger across the state too. These features will bring us more heavy rain and storms on Saturday. We could pick up an additional 2-4+ of rain, and flash flooding will be a concern. Highs will be in the upper 70s. More rain is expected on Sunday but it will not be as widespread. The scattered showers and storms will have downpours at times. Highs will be in the upper 70s. Next Weeks Outlook: A few showers will still be possible on Monday and Tuesday as the Francine remnant low moves into South Mississippi. Highs will be in the lower 80s. We will finally dry out Wednesday through Friday with sunshine, lower humidity, and highs in the 80s. Tracking the Tropics: Tropical Storm Gordon is over the far Eastern Atlantic Ocean. Winds are 40 mph and could get a little stronger on Saturday. It is forecast to battle dry and wind shear Sunday through Tuesday as it weakens to a tropical depression. It will move very slowly to the west over the open Atlantic Waters. A non-tropical area of low pressure could form along a stationary front a few hundred miles off the SE U.S. Coast this weekend. The low may develop some subtropical or tropical characteristics and a depression could form early next week. It is forecast to move NW back toward the U.S. The NHC is giving this system a medium chance of developing. Be sure to follow the CBS 42 Storm Team: Follow Us on Facebook: Chief Meteorologist Dave Nussbaum, Meteorologist Michael Haynes, Meteorologist Alex Puckett, and Meteorologist Jacob Woods. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CBS 42. Henderson County gets swept up by the Blue Tornado PADUCAH, Ky, (WEHT) The Henderson County Colonels fell to the Paducah Tilghman Blue Tornado, 48-10. The Colonels will look to turn things around when they face off against Owensboro Catholic next week. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Eyewitness News (WEHT/WTVW). We recently compiled a list of the 13 Best Commodity Stocks To Buy According to Analysts. In this article, we are going to take a look at where Antero Resources Corporation (NYSE:AR) stands against the other commodity stocks. Two major trends that are shaping commodity markets are the rising interconnection of the market and the increasing importance of power in the energy transition, as per a report. The link between necessary commodities for the energy transition, such as LNG and metals, grew to 56% in 2022-23, up from 27% in 2015-19. With the introduction of more than 100 new tankers in the previous three years, the supply of LNG is rising dramatically. By 2028, it is anticipated that there will be more LNG carriers than oil carriers. Flexible contracts and increased competition between Europe and Asia are the main causes of this change. Moreover, estimates suggest that power will play a larger part in the energy transition by 2040, contributing between $1.3 trillion and $2.4 trillion, expanding at a rate of up to 5% annually. Since renewable energy is predicted to account for the majority of the power mix between 2030 and 2050, significant investments in transmission networks, flexible power assets, and renewable energy sources will be required to meet net-zero targets. Up to 50% of the steel, copper, and aluminum needed for production will come from wind turbines alone. Meanwhile, it is becoming more difficult to reduce inflation as global commodity prices level off, according to the World Bank's April 2024 Commodity Markets Outlook. The price decline from mid-2022 to mid-2023 was 40%, but it has since stabilized. However, since the middle of 2023, indices of commodities prices has largely not altered. The World Bank projects that global commodity prices will fall by 3% in 2024 and 4% in 2025, assuming that geopolitical tensions do not flare up again. Inflation will continue to rise above central bank targets despite this modest decline as per the report World Bank. Oil prices are still high as the world economy is going down; Brent crude is expected to average $84 a barrel by 2024, as per the World Bank. Prices might rise above $100 in the event of global upheaval, providing investors in oil substantial profits. Secondly, due to geopolitical uncertainty and the robust demand from central banks in developing countries, gold is predicted to reach record highs in 2024. This confirms gold's reputation as a "safe haven" asset in times of market volatility. Moreover, the demand for metals like copper and aluminum is being driven by investments in green technologies. Already at a two-year high, copper prices are predicted to grow by 5% in 2024, while aluminum prices are forecasted to rise by 2% due to rising demand for renewable energy infrastructure and electric vehicles. On the other hand, a report from a large US bank stated that, in May, commodity prices reached all-time highs, driven by increases of 74% in only 1.5 months for U.S. natural gas, copper, gold, and cocoa. A retreat in June was brought on by profit-taking and worries about the U.S. economic slowdown. By year's end, Natasha Kaneva projects a 10% growth in the commodity market, citing weather-related supply chain disruptions and favorable fundamentals that might raise the price of gas, oil, and agricultural products. Energy transition commodities may see more gains from China's decarbonization initiatives, and gold prices may reach $2,600/oz by 2025 as a result of Fed rate cuts and central bank easing. Methodology: We sifted through holdings of commodity ETFs to form an initial list of 20 commodity stocks. Then we selected the 13 stocks that had the highest upside potential based on analysts' consensus. We have only included stocks in our list with an upside potential of 30% or higher. The stocks are ranked in ascending order of the upside potential. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletter's strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points. (see more details here) A fleet of tanker trucks transporting oil and natural gas, amidst the backdrop of open fields. The Denver-based company Antero Resources Corporation (NYSE:AR) searches for and produces natural gas and natural gas liquids across North America and Canada. The company stated that it has proven reserves of 18.1 trillion cubic feet of natural gas equivalent at the end of 2023. In 2023, production was roughly 3,483 million cubic feet equivalent per day on average, with 63% natural gas and 37% liquids. The Marcellus and Utica shales in West Virginia and Ohio are used by the company to produce natural gas. It focuses on locations with a comparatively high liquid content; around 35% of its production is made up of natural gas liquids and condensate. This suggests that the company is in a good position to profit from growing butane, propane, and ethane prices. Strong international demand exists for these petrochemical feedstocks, and Antero stands to gain significantly from its position as an anchor shipper on the Mariner East 2 pipeline, which provides direct access to export facilities on the East Coast. Antero reported earnings per share that were in line with PitchBook consensus, while revenue was below expectations due to lower natural gas prices. Antero has been struggling with prolonged weakness in gas prices, just like the majority of natural gas players. Nonetheless, it has improved its financial performance by shifting development toward natural gas liquids, or NGLs. Despite this, the lower commodity prices have caused the Morningstar analyst Stephen Ellis to nearly completely reduce the fair value from $25 to $24. Nonetheless, Antero Resources Corporation (NYSE:AR)s midstream contracts provide it priority access to LNG export markets, allowing it to capitalize on rising global demand for US natural gas. Overall AR ranks 9th on our list of the best commodity stocks to buy according to analysts. While we acknowledge the potential of AR as an investment, our conviction lies in the belief that some AI stocks hold greater promise for delivering higher returns, and doing so within a shorter time frame. If you are looking for an AI stock that is more promising than AR but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. Lead levels in everyday foods have emerged as a serious concern for consumers, leading to several recent recalls. That includes lead-tainted cinnamon in applesauce and apple puree products popular with young children, resulting in at least 500 cases of lead poisoning. That begs the questions of how widespread the problem is and which cinnamon products to avoid. The nonprofit organization Consumer Reports provides some valuable insights after testing the lead content in major cinnamon brands, including Costco's Kirkland Signature cinnamon. Costco sells its store-brand cinnamon in characteristically large 10.7-ounce containers, labeled as Organic Ground Saigon Cinnamon. With enticingly low prices, it's a fair guess the company moves a lot of cinnamon through 800-plus worldwide warehouse locations. Consumer Reports placed Costco's Kirkland Signature cinnamon in a middle category of "Okay to Use," due to its measured lead content on 0.80 ppm (parts per million). That's just under the criteria for placement in the "Don't Use" category, which encompasses 12 cinnamon products with lead levels above 1 ppm. Costco cinnamon joins other well-known brands in the okay-ish category, including Trader Joe's, The Spice Lab, and the Target-owned Good & Gather. While Costco's product hovers near the highest in that category for lead content of 0.80 ppm, others are considerably lower, including McCormick and Simply Organic, at 0.23 and 0.28 ppm, respectively. Putting this in perspective requires a closer look at what levels are considered acceptable, and how lead makes its way into cinnamon and other spices. Read more: 16 Worst Canned Foods You Can Buy Causes And Consequences Of Lead In Cinnamon Costco organic ground cinnamon - Costco The FDA has no established federal limits on lead in spices, including cinnamon, though it can take actions such as issuing safety alerts. A current petition on the Consumer Reports website urges the agency to take swift action on dangerous levels of lead in cinnamon. New York, the only state to regulate lead in spices, restricts lead amounts to 1 ppm, resulting in at least 100 state-level spice recalls over the past eight years. Lead in spices can come from the soil in which they grow, as well as through production, packaging, and storage processes. The vast majority of cinnamon comes from outside U.S. borders, with potentially low oversight and regulation enforcement. Harmful industrial elements in the soil, including lead, is particularly concerning with cinnamon because the bark can take as long as 10 years to reach maturation, potentially absorbing extensive soil and water contaminants. Lead in foods, including cinnamon, can cause a host of adverse health outcomes, particularly during pregnancy and early childhood. Those include developmental issues with the brain and nervous system, permanent damage to intellectual and behavioral abilities, and more. Adults, too, can suffer from lead ingestion. It may cause damage to reproductive systems, kidneys, the immune system, and other issues. Prevention is key, so be aware of which cinnamon brands have the lowest lead counts, including two Whole Foods brands rated as the best cinnamon for avoiding lead. Read the original article on Tasting Table. LINCOLN CITY, Ind. (WEHT) The South Spencer Rebels fell to the Heritage Hills Patriots, 48-0, Friday night. The Rebels will head home to face off against Pike Central next week, while the Patriots will go up against the undefeated North Posey. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Eyewitness News (WEHT/WTVW). This building in the village of Kfar Rumman was among a string of targets across southern and eastern Lebanon hit by the Israeli air force on Saturday (Mahmoud ZAYYAT) (Mahmoud ZAYYAT/AFP/AFP) Hezbollah's second-in-command warned on Saturday that an all-out war by Israel aimed at returning 100,000 displaced people to their homes in areas near the Lebanon border would displace "hundreds of thousands" more. Naim Qassem, number two in the Iran-backed Lebanese group, was speaking after Defence Minister Yoav Gallant said Israel was determined to restore security to its northern front. Gallant told Israeli troops last week that "we are preparing for anything that may happen in the north". In a speech in Beirut, Qassem said: "We have no intention of going to war, as we consider that this would not be useful. "However, if Israel does unleash a war, we will face up to it -- and there will be large losses on both sides," he said. "If they think such a war would allow the 100,000 displaced people to return home ... we issue this warning: prepare to deal with hundreds of thousands more displaced." Hezbollah has traded near-daily fire with Israeli forces in support of ally Hamas since the Palestinian militant group's October 7 attack on Israel triggered war in Gaza. Thousands of people living in the border area of both countries have been displaced by the fighting. On Saturday evening, the Israeli military said its air force had struck suspected Hezbollah weapons storage facilities at two locations in Lebanon's eastern Beqaa Valley, as well as in six locations in the south. Three children were among four people wounded in an Israeli strike in the northern Beqaa's Hermel district, some 140 kilometres (85 miles) from the Israeli border, the Lebanese health ministry said. A source close to Hezbollah said the strike targeted a farm in the area, a stronghold of the militant group. A second strike on the village of Serine, near Baalbek, targeted "warehouses storing food products", the source added. The cross-border violence since early October has killed 623 people in Lebanon, mostly fighters but also including at least 142 civilians, according to an AFP tally. On the Israeli side, including in the annexed Golan Heights, authorities have announced the deaths of at least 24 soldiers and 26 civilians. jos/srm/kir/ami Pieter Van Ry, director of Englewood Utilities and South Platte Renew, shows U.S. Sen. John Hickenlooper how a construction team replaced the lead water pipes at Jim Luethke's house in Englewood, on Sept. 13, 2024. (Lindsey Toomer/Colorado Newsline) U.S. Sen. John Hickenlooper joined local officials and community members in Englewood Friday for a lead pipe removal demonstration and conversation. In May, the Environmental Protection Agency awarded $32.8 million for Colorado to identify and replace lead pipes in drinking water service lines. Englewood Utilities received $10 million for this purpose, as well as $30 million in low-interest loans to replace about 3,000 lead service lines. The federal funding came from the Bipartisan Infrastructure Law. This is the way we ought to operate, and once the elections over, it doesnt matter whether youre a Republican or a Democrat, Hickenlooper said. Were all on that same team trying to solve these problems. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Hickenlooper, a Democrat, joined Englewood city officials and public health experts to discuss the citys lead pipe removal process as well as the health risks associated with lead. Exposure to lead in drinking water, particularly in children or pregnant people, can cause lasting neurological damage. Pieter Van Ry, director of Englewood Utilities and South Platte Renew, showed Hickenlooper what the construction companies do to cut off service lines containing lead and replace them with copper at a house that just had the process done. That home belongs to Jim Luethke, who said the pipe replacements gave him peace of mind that his kids and grandkids are drinking clean water. I think its a minor inconvenience to have your front of your home kind of ripped apart for a few days, or a day, basically and water off for about five hours, Luethke said. And sometimes you have to divert around the local blockages, but thats not a very big deal to have nice water. Englewoods lead reduction program is offered to homeowners at no cost, though utility fees may increase to support its financing. Programs like what Englewood is doing here is a big win for public health, and its removing the largest source of lead in our water system, and its also a big win for environmental justice, Nicole Rowan, director of Colorado Department of Public Health and Environments Water Quality Control Division, said at the event. John Michael, a spokesperson for CDPHEs Water Quality Control Division, said the division is helping local water systems conduct their own initial lead service line inventories, which are due to the state by Oct. 16. The state doesnt conduct any lead pipe removal replacements, but water systems interested in federal funding to support lead reduction efforts must apply through the state. Water systems must replace lead service lines that they own and offer to replace lead service lines owned by customers but are not required to bear the cost of replacing the customer-owned lead service lines, Michael said in an email. CDPHE estimates there may be up to 111,900 lead service lines across the state. Denver also started a lead reduction program, replacing pipes in certain homes throughout the city. Michael said once the state receives the initial inventory from water systems, it will have a better idea of what systems will need to be replaced. In general, we would expect to see lead service lines in some communities built before 1960, Michael said. However, not all communities had used lead lines before 1960. We may see some communities with lead lines in older areas and no lead lines in newer areas. Rebeca Medrano, strategic partnerships manager with Conservation Colorado, said getting clean, safe and affordable drinking water to disproportionately impacted communities in particular is imperative. She said about 40% of Coloradans fall into that category, including people of color and low-income communities. For many years, Colorado has recognized the significant risks that are posed by lead in our water, and as we hear the effects of lead are very scary, Medrano said. Research as recent as 2021 continues to show that Black children and children in low-income communities consistently show higher blood lead levels than their non-Hispanic white counterparts. The Centers for Disease Control and Prevention estimates that about a half a million children in the U.S. have elevated blood lead levels, meaning the amount of lead found during a blood test is higher than most other children. Colorado received $100 million from the Bipartisan Infrastructure Law to fund airport improvements, as well as $28.6 million for road safety improvements, among other projects. As we were doing this in Washington, I had real confidence that this would be implemented properly, Hickenlooper said about planning the law. We really looked at, how do we put the actual legislation in such a way that the state government has a real role, and that they have an incentive to make sure that they give autonomy to the counties and the municipalities. SUPPORT: YOU MAKE OUR WORK POSSIBLE WACO, TX (FOX 44) The Hispanic Leaders Network (HLN) marked the beginning of Hispanic Heritage Month with a vibrant celebration at Art Center Waco on Friday, spotlighting the incredible talents and creativity within the Hispanic community. Megan Bias is the Executive Director of Art Center Waco, who expressed her enthusiasm for the event, Its amazing. It just brings so much joy to my heart to be able to facilitate this and welcome them to the Waco Community Art Center. We want to support the community as much as they support us. The event was a testament to the communitys unity and cultural pride, with smiles and support filling the room. Daniella Rodriguez is a cultural board member of HLN, who shared, It was just really fun to see a bunch of Hispanic leaders tell us a little bit about their work and what inspires them, giving us a bit of inspiration ourselves. As Hispanic Heritage Month approaches, attendees are eagerly anticipating the upcoming events and the chance to showcase their culture. I think this month is an opportunity to bring color and brightness to the community. For those who havent tried our food or seen the Fiesta and mariachi, its a chance to experience the richness and beauty of our culture, said Ines Alvidres, a local painter and artist. HLN does an amazing job of bringing together the Hispanic community, showcasing artists, and creating a space where we can connect and share, said Eric Linares, a Waco citizen whos a part of numerous organizations. The Hispanic Leaders Network is a non-profit organization dedicated to supporting Latino leaders in the Greater Waco area, and has been a cornerstone of the community for the past seven years. Digital creator Marissa Ramos reflected on the significance of the month, Our community makes Waco what it is vibrant and beautiful. Its wonderful to see our culture highlighted and shared with a broader audience. In addition to celebrating local creatives, the event included a voter registration table ensuring that the Hispanic community is prepared for the upcoming elections. With Hispanic Heritage Month starting on September 15 and running through October 15, HLN is committed to hosting events that shine a light on Hispanic culture and contributions. Attendees left the event excited and inspired, looking forward to more opportunities to celebrate and share their heritage with Central Texas. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KWKT - FOX 44. Jared Isaacman swung open the hatch and climbed out into the void, gripping a structure called 'Skywalker' as a breathtaking view of Earth unfolded before him (-) (-/Polaris Program/AFP) The SpaceX Polaris Dawn mission, which made history when its crew conducted the first spacewalk by non-government astronauts, concluded early Sunday with a splashdown off the coast of Florida. The Dragon spacecraft plunged into the ocean at 3:37 am (0737 GMT), a webcast of the arrival showed, with a recovery team deploying in the pre-dawn darkness to retrieve the capsule and crew. The capsule was lifted from the water and onto the recovery vessel half a hour later. After brief medical checks, a smiling and waving SpaceX engineer Anna Menon was the first of the crew to exit, followed by engineer Sarah Gillis, pilot Scott Poteet and commander Jared Isaacman. A helicopter was due to transport them to land. "Happy, healthy, home," the Polaris Program wrote on X. "A new era of commercial spaceflight dawns, with much more to come." The four-member team led by fintech billionaire Isaacman launched Tuesday from the Kennedy Space Center, quickly journeying deeper into the cosmos than any humans in the past half century as they ventured into the dangerous Van Allen radiation belt. They hit a peak altitude of 870 miles (1,400 kilometers) -- more than three times higher than the International Space Station and the furthest humans had ever traveled from Earth since the Apollo missions to the Moon. Then on Thursday, with their Dragon spacecraft's orbit brought down to 434 miles, Isaacman swung open the hatch and climbed out into the void, gripping a structure called "Skywalker" as a breathtaking view of Earth unfolded before him. "SpaceX, back at home we all have a lot of work to do, but from here, Earth sure looks like a perfect world," he told mission control in Hawthorne, California, where teams erupted in applause. He went back inside after a few minutes and was replaced by a second astronaut, SpaceX engineer Gillis, who, like Isaacman, performed a series of mobility tests on SpaceX's sleek, next-generation suits. Since Dragon doesn't have an airlock, the entire crew were exposed to the vacuum of space. Mission pilot Poteet and SpaceX engineer Menon remained strapped in throughout as they monitored vital support systems. It marked a "giant leap forward" for the commercial space industry, said NASA chief Bill Nelson, as well as another triumphant achievement for SpaceX. Founded in 2002, the company has quickly outpaced its legacy competitors, now thriving as one of NASA's prime contractors -- thanks in large part to owner and CEO Elon Musk's fervent drive to colonize Mars. - Rousing violin solo - Since completing their extravehicular activity, the crew have continued to carry out roughly 40 science experiments -- for example inserting endoscopic cameras through their noses and into their throats to image their airways and better understand the impact of long-duration space missions on human health. They also demonstrated connectivity with SpaceX's Starlink internet satellite constellation by sending back to ground control a high-resolution video of Gillis playing "Rey's Theme" by "Star Wars" composer John Williams, on the violin. Polaris Dawn is the first of three missions under the Polaris program, a collaboration between Isaacman and SpaceX. Financial terms of the partnership remain under wraps but Isaacman, the 41-year-old founder and CEO of Shift4 Payments, reportedly poured $200 million of his own money into leading the 2021 all-civilian SpaceX Inspiration4 orbital mission. The final Polaris mission aims to be the first crewed flight of SpaceX's Starship, a prototype next-generation rocket that is key to Musk's interplanetary ambitions. ia/nro/mtp/fox/dw NEW YORK (PIX11) Some of the citys most iconic spots in Midtown West have been overtaken by the homeless and mentally ill. Stakeholders are concerned that if enough isnt done, tourism could be impacted, which would cut the citys bottom line. More Local News Eighth Avenue in Midtown, from Times Square to Chelsea, is the gateway to the most visited spots in the world. But when 60 million tourists arrive every year: People in distress, people with mental health issues, substance abuse disorders, looking to connect with those individuals, Manhattan District Attorney Alvin Bragg said. And its not at all the portrait New York leaders are looking to paint. Its significant, and thats why were all working together, Bragg added. Since February, Manhattan D.A. Alvin Bragg has teamed up with Assembly Member Tony Simone, the NYPD, and Sheriff Anthony Miranda. While theres a significant law enforcement element, they say it starts with housing the homeless and mentally ill. Thats why they launched the Neighborhood Navigators Program. A lot of these folks need to be helped. Were not going to arrest ourselves out of the problem, Simone said. The navigators have experienced homelessness themselves, and the peer-to-peer outreach has connected over 100 people so far with services. Still, many wonder why there is still so much despair on display. It takes months for them to establish a relationship and get them help, Simone said. Simone lives nearby and walks the avenue with Sheriff Miranda, looking for illicit activity, including unlicensed pot shops. The inspections are the result of community making complaints, Miranda said. Kathy Wylde leads the Partnership for New York, overseeing business development in the city. She calls this corridor extraordinarily important for its vitality. We have a lot of work to do in that area. It suffered most due to the pandemic, Wylde said. Wylde says she fielded safety concerns from fashion executives during New York Fashion Week. They see homelessness, they see flagrant drug abuse. Its not good, Wylde said. While she says it hasnt affected tourisms $74 billion business, it has led to more retail and restaurant openings in Brooklyn than in Manhattan. Overall, crime in the area is down, but D.A. Bragg says it will take time to see a difference. Theres more work, and well do it, Bragg said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. Its hot, more so than usual. South Florida under an excessive heat warning South Florida is no stranger to the heat, nor the constant heat advisories issued by the National Weather Service. But a warning issued Saturday about the weather calls for a bit more concern. Miami-Dade and Broward counties are under an excessive heat warning expected to last until 6 p.m, according to the NWS. Excessive heat warnings are rare and represent a higher level of concern compared to heat advisories. Saturdays heat index the temperature when you mix in humidity is expected to reach up to 113 degrees. What is an excessive heat warning? The designation is more severe and less common than a heat advisory, which is issued for Miami-Dade and Broward when a heat index of 105F or higher is anticipated for at least two hours. Specifically, the National Weather Service issues an excessive heat warning for Miami-Dade and Broward when a heat index of 110F or higher is anticipated for at least two hours, a new criteria. Up until last year, temperatures had to reach 113 degrees for an excessive heat warning to be issued for Miami-Dade and Broward counties. But this past year the NWS worked with Miami-Dade County to lower the criteria in an effort to combat heat-related illnesses, according to NWS Miami senior meteorologist Robert Garcia. Stay hydrated Extreme temperatures can increase the chances of heat-related illnesses. The National Weather Service advises staying indoors and drinking lots of fluids until the heat begins to subside. Stay in air-conditioned spaces, avoid outdoor activities during peak heat, and check in on family and neighbors. Wear lightweight, light-colored and loose-fitting clothing, and protect yourself from UV rays with a hat and sunscreen when you have to be outside. The Occupational Safety and Health Administration also suggests taking regular breaks in shaded or air-conditioned areas if youre outdoors. If you experience symptoms like dizziness, confusion, weakness or fainting, seek immediate medical help by calling 911. Hartlepool Council is considering measures to increase capacity [BBC] A council has warned it is reaching "crisis point" supporting children with special educational. Hartlepool Council Councillors said it was struggling to meet demand for special education needs and disabilities (Send) provision. The authority said that when it could not meet demand it had to use the independent sector, which was expensive and often out of the area. In a bid to ease the pressure, it said it was considering measures such as extending the boroughs only primary special school. Sally Robinson, executive director for children and joint commissioning services, said the council was reaching absolute crisis point, the Local Democracy Reporting Service reported. A report for a meeting of the councils childrens services committee was told scoping work had started around an extended build at Springwell School, but this would be likely to cost more than 1m. The school has also made 66,000 worth of adaptations to support six more children and prevent them being placed out of area. Councillors also heard several mainstream schools were taking action to meet demand, including Grange Primary, which would provide additional resourced provision for a further 12 children, adding to the existing 21 Send places. In addition, Greatham Primary was looking to accommodate 20 children in a project costing 19,000. Follow BBC Tees on X, Facebook, Nextdoor and Instagram, external. Send your story ideas to northeastandcumbria@bbc.co.uk. More on this story Related internet links Human remains found on private property are those of 3-year-old boy who went missing in February, authorities in Wisconsin announced Friday. Two Rivers Police Chief Benjamin Meinnert said the remains, which were tested forensically and determined to be those of Elijah Vue, were found only three miles northwest from where the child had been reported missing. This is not the outcome that we hoped for. The familys devastated. Were devastated. Our community is devastated, he said. I never met Elijah, but I watched that 3-year-old boy bring out the best in this community. A deer hunter found the skeletal remains Saturday in a wooded area with thick underbrush that was repeatedly searched by air and on the ground over the months, Meinnert said. What started out as a search for a missing boy is now a death investigation, he said. After Meinnerts brief statement at a news conference Friday, the department declined to answer questions but said it would provide timely updates as the investigation continues. Elijah Vue. Elijah disappeared from an apartment in Two Rivers, about 90 miles north of Milwaukee. Manitowoc County District Attorney Jacalyn LaBre said earlier this year that the child disappeared after he was sent to stay with a man because of "disciplinary reasons." Vues mother, Katrina Baur and the man her son was allegedly sent to stay with, Jesse Vang, were charged with child neglect. Baur was charged with being a party to the crime of neglecting a child, as well as two counts of obstructing an officer. Baur told police she left her son with Vang on Feb. 12 to teach him to be a man and planned to pick him up Feb. 23, according to a criminal complaint. In March, Meinnert said on Facebook that the red and white plaid blanket Elijah was said to have had with him when he disappeared was found in a rural area between Manitowoc and Two Rivers, about 3.7 miles from where he was last seen. This article was originally published on NBCNews.com property appraiser sitting at desk reviewing floorplan layout Cindy Chance, the CEO of the Appraisal Institute, was terminated during what she described as a secret board meeting on Thursday night that she was excluded from. The Appraisal Institute now faces a backlash from members who support Chance, a veteran nonprofit leader who joined roughly a year ago and pledged to make governance reforms and support the work of on-the-ground appraisers. The Appraisal Institute did not respond to HousingWires request for comment, but in a letter to members on Friday, the trade group said that Chance is no longer in her role, and a search for a new leader would soon begin. John Udelhofen will step in as interim CEO. We are committed to finding a leader who reflects the mission, vision and values of the Appraisal Institute and helps us carry forward the progress made towards our Strategic Plan goals, Board President Sandy Adomatis wrote in a letter to members. We want to reassure you that we take our mission as Directors of the Appraisal Institute seriously. We are moving forward with our progress on the top goals in our Strategic Plan to modernize our education delivery and development of new materials and continue to modernize technology and offer the programs weve heard are most valuable for you. As is our mandate, our efforts will remain focused on recruiting and retaining member professionals. We will continue our great work with PAREA, and our efforts in the areas of diversity, equity, and inclusion that include further expansion of college and university relations. Chance told HousingWire on Friday that she received no specific feedback prior to the termination notice. She said she was terminated without cause. People have been saying this would happen since the Q3 board meeting, she said. I heard about them planning to fire me through leaks and innuendo, not from the Board itself or any of the officers. Im very proud of my work and my focus has always been the welfare of the members and the appraisal profession. Asked why she was terminated and if there were any disputes, Chance replied, Youd have to ask them. Chance said she was on the record as recommending governance overhaul, but I wouldnt call that a dispute that was a recommendation based on my fiduciary responsibility to the organization. I was executing successfully and communicating transparently to the Board and the membership regarding my progress on our board-approved goals. Chance also said the board president and other members stepped in to make significant decisions including directing staff, firing key contractors and ending partnerships, while she was CEO. Story continues The trade organization, which is the largest professional association of real estate appraisers, provides free training resources to appraisers, distributes grant money and publishes a quarterly publication called Valuation. The Appraisal Institute has been criticized by the government for a lack of diversity in its ranks and has acknowledged a need to modernize. According to the groups own statistics, 78% of U.S. appraisers say they are male, 1.3% identify as Black and 4.3% as Hispanic. More than 70% of appraisers are over the age of 50 and many have been retiring. Another point of tension is the relationship between independent appraisers and appraisal management companies, middlemen that often take a substantial cut of the appraisal fee, squeezing profits for appraisers and accelerating the shift to virtual appraisals. Multiple independent appraisers on Friday took to social media to air grievances about Chances dismissal, and in some cases, announce their resignations from positions at the trade group. She was terminated because her positive changes threatened the entrenched interests of the Institutes Board members, said Lori Noble, a West Virginia appraiser who has been openly critical of the organizations board. They have a lot to answer for now and it is up to the members to demand a full audit of the organization or some type of legal action to explain the behavior and conduct recently, as well as the last several years. Demonstration in support of Gisele Pelicot and all rape victims, in Marseille Demonstration in support of Gisele Pelicot and all rape victims, in Marseille PARIS (Reuters) -Hundreds of people, mostly women, gathered in cities across France on Saturday in support of Gisele Pelicot whose husband is on trial, accused of drugging her and recruiting dozens of strangers to rape her in a case that has shocked the nation. Feminist associations have called for some 30 gatherings in cities from Marseille to Paris, where on the Place de la Republique banners read "Support to Gisele" and "Victims we believe you". As her extraordinary story has rippled through France since the trial began earlier this month, Pelicot, now aged 72, has become a symbol of France's fight against sexual violence. It was her decision to forgo a private trial and instead insist on a public trial, due to run until December, to alert the public to sexual abuse and drug-induced blackouts, her lawyers have said. "We thank her a thousand times for her enormous courage," feminist campaigner Fatima Benomar from the "Coudes a Coudes" association told BFM TV, adding the gatherings were also to show support to all rape victims. Seventy-one-year-old Dominique Pelicot is accused of repeatedly drugging his wife and allowing strangers to rape her in the couple's home over the course of a decade. He was due to testify this week but was excused due to ill health. If he is able, he is expected to testify on Monday. In Marseille, where about 200 protesters gathered in front of the Palais de Justice, Lou Salome Patouillard, a 41-year-old artist, said: "I am here to support Gisele and all women as there are many Giseles, too many Giseles." Prosecutors said Pelicot offered sex with his wife on a website and filmed the abuse. Fifty other men accused of taking part in the abuse are also on trial. Pelicot's lawyer Beatrice Zavarro has told French media Pelicot admits to his crimes. Some of the other defendants have admitted their guilt while others say they believed Gisele Pelicot had pretended to be asleep and was a consenting participant, according to French media. They each face up to 20 years in jail if found guilty. (Reporting by Dominique Vidalon, Manon Cruz; Editing by Ros Russell) Hundreds mistakenly paid over 20k in benefits last year allowed to keep money Most of the overpayments were triggered by innocent paperwork errors but a total 2.3mn was attributed to claimant fraud - MATTHEW HORWOOD/ALAMY Last year, more than 500 people were allowed to keep 20,000 or more in benefits that were wrongly paid to them, The Telegraph reveals. Within this group, 17.5 million in benefits were paid out in error and written off by administrators amounting to an average of 30,674 per person. Although most of the overpayments were triggered by innocent paperwork errors, there were 75 cases where claimants were fraudulently allowed to keep a total of 2.3 million they were not entitled to. The 569 write-off cases were revealed following a freedom of information request from The Telegraph to the Department of Work and Pensions (DWP). Overall, the department wrote off last year a total of 329 million in benefits that had been paid out by mistake and another 6 million that had been pocketed by fraudsters. The DWP also provided details on the cases of the biggest outstanding debts that it is still chasing down, which includes one person who now owes 547,000 in overpaid benefits. This person, who pocketed the cash through a mixture of fraud and paperwork errors, has been asked to pay back the debt at the rate of 130 per month meaning it will take them 350 years to completely settle the debt. Another person swindled the DWP out of 491,000 in benefits they were not entitled to, and they were now trying to track them down to start a repayment programme. In a separate case, the DWP was involved in a probate dispute with the relatives of a person who fraudulently claimed 343,000 in benefits before they died. The report comes after a gang of five Bulgarians living in Britain were sentenced for defrauding the British taxpayer of 50 million in Universal Credit payments earlier this year in the countrys biggest-ever benefit fraud. Complete failure Joanna Marchong, the investigations campaign manager of TaxPayers Alliance said: Taxpayers will view this as a complete failure from the Department of Work and Pensions. Writing off these overpayments, even after errors have been identified, is not just an act of laziness, but a costly one at that. DWP negligence is directly hitting the pockets of hard-working taxpayers, costing them millions. The government needs to be diligent in ensuring that only those who qualify and truly need benefit payments receive them and that they receive the correct amount. Last year in total, the DWP said 7.4 billion was lost to fraud from the benefit budget and another 2.4 billion to errors made by either the claimant or officials. The figures mean that every day almost 27 million in benefits is being paid out in error. The state attempts to recover the money in many cases but writes off some debts in cases where it decides there is no prospect of getting anything back. A DWP spokesman said: This government will not tolerate fraud or waste anywhere in public services, including in the social security system. We are determined to reduce fraud and error and are currently exploring all options on how best to achieve our goal. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Handshake in the colours of the Italian and Russian flags. Photo: Barbie Nadeau/CNN This summer, hundreds of posters have appeared on the streets of Italian cities with the slogan "Russia is not our enemy" and images of a handshake in the colours of the Italian and Russian flags. Source: CNN; European Pravda Details: Some posters also include "No more money for weapons for Ukraine and Israel. We want peace. We reject war". The responsibility for the posters was claimed by the group Sovranita Popolare. The posters, which first appeared in northern Italy in June and were then spotted in Verona, Modena, Parma, Pisa and several cities in the southern region of Calabria, were funded by associations created in protest against the closure of shops in the country. The Ukrainian Embassy in Rome responded to the situation, stating, "We are deeply concerned by the arrogance of Russian propaganda in the Eternal City" in a post on social media platform Twitter (X). The official reaction to the posters varied by region. The local authorities removed them in some places, while they were allowed to remain until the end of the period paid for in others. The mayors office in Rome was dissatisfied with the posters because they displayed both the citys name and its official symbol. The city ordered the local police and the advertising company that owns the billboards in Rome to remove all the posters. In turn, Sovranita Popolare published an article claiming responsibility for the campaign and quoted Article 11 of the Italian Constitution, which states that "Italy rejects war as an instrument of aggression against the freedom of other peoples and as a means for the settlement of international disputes." The group argued that "politicians, leaders, parties and the government, supported by the allied and complicit press, slowly and by turning to Italian citizens, have stirred up fear that the enemy is close to invading Europe" since Russia's invasion of Ukraine. "For two years, Italian warmongers have been fueling Russophobia, a feeling of hatred towards Russian people, culture and art," Sovranita Popolare claimed. An Italian media regulation official told CNN that Italian law does not require cities or regions to approve the content of posters, but companies owning advertising spaces must adhere to rules that prohibit support for fascism or other extreme views. Background: At the beginning of September, it was reported that the Italian government was suspected of ambiguity regarding its stance on Ukraine following a meeting of the leaders of the three ruling parties in Italy, aimed at signalling the alignment of positions before the parliament resumed work after the summer break. Support UP or become our patron! A grizzly bear (Photo by the United States Fish and Wildlife Service, Endangered Species Program via FLICKR | Creative Commons license). Two hunters who were reportedly charged by a grizzly bear on Monday north of West Yellowstone shot and killed the bear and also shot and injured one of her cubs, Montana Fish, Wildlife and Parks said on Friday. The incident happened in Tepee Creek; the two hunters were not injured, spokesperson Morgan Jacobsen said. They reported being charged by the sow, who had two yearling cubs along with her. FWP said the hunters shot and killed the sow with handguns and that the yearlings also charged the two people. One of them was shot and injured, Jacobsen said. FWP bear specialists and game wardens, along with Custer Gallatin National Forest law enforcement, went to the site on Wednesday and confirmed the sow, an older female, was dead. They found evidence that one of the yearlings had been injured but did not locate either of the cubs. FWP said it plans no further management actions but said the shooting is still under investigation by the U.S. Fish and Wildlife Service. Montanas archery season opened on Sept. 7, and while no other hunters have reported injuries in bear conflicts, and no other bears have been wounded or killed so far this season by hunters, FWP reminded hunters to carry bear spray, make noise and travel in groups, to avoid areas where close encounters with bears could occur, and to be aware of bear sign and how other animal calls could affect bear behavior. Police announced Friday that they arrested an illegal alien they believe may be responsible for the murder of a family of four in upstate New York last month. Irondequoit Police shared in a post via X the arrest of 34-year-old Julio Cesar Pimentel-Soriano, originally from the Dominican Republic. Pimentel-Soriano is wanted in his home country for another murder in 2019. On August 31, Fraime Ubaldo, 30, Marangely Moreno-Santiago, 26, Evangeline Ubaldo-Moreno, 4, and Sebastian Ubaldo-Moreno, 2, were murdered in their Irondequoit home. HAITIAN ILLEGAL IMMIGRANT FACING SEVERAL CHARGES WAS RELEASED AT US BORDER LAST YEAR "This was a horrific scene," said Irondequoit Police Chief Scott Peters in a press conference. "In almost 32 years of doing this job, I haven't seen anything like it." After an extensive investigation, police arrested Julio Cesar Pimentel-Soriano on September 7. The family home on Knapp Street in Irondequoit was the site where all four were murdered late last month. "It appears Mr. Soriano entered the island of Puerto Rico illegally and obtained fraudulent New York identification," read the Irondequoit Police Department's post. "With that identification, he was free to travel from Puerto Rico to the United States mainland." "Our condolences go out to the family, their friends and neighbors," Irondequoit Town Supervisor Andrae Evans said to Spectrum News. "Im so sorry this has happened, but I want you to know that the Town Board and I will authorize anything thats needed to our police department to collaborate and to use any and all resources to bring these people to justice." MEXICAN GOVERNMENT BUSES MIGRANTS TO US BORDER AS ILLEGAL IMMIGRATION BECOMES TOP ELECTION ISSUE The family's bodies were discovered in the basement while firefighters were addressing several fires at the home that morning. After further investigation, police could determine the cause of death for the family was not related to the fires. A 34-year-old illegal alien from the Dominican Republic was arrested on Friday for the slaying of a family of four in upstate New York. READ ON THE FOX NEWS APP Original article source: Illegal alien from the Dominican Republic suspected in murder of New York state family: police Illegal migrant from Dominican Republic suspected of horrifically killing New York family of four An illegal migrant wanted for murder in the Dominican Republic is accused of horrifically killing a family of four in upstate New York last month as firefighters made the gruesome discovery during a house call. Julio Cesar Pimentel-Soriano, 34, allegedly used a sharp object to fatally stab Fraime Ubaldo, 30, Marangely Moreno-Santiago, 26, Evangeline Ubaldo-Moreno, 4, and Sebastian Ubaldo-Moreno, 2, inside their Irondequoit home on Aug. 31, according to a felony complaint viewed by WHAM. He allegedly stabbed each victim in the neck and chest multiple times. Obviously, the allegations are troubling, Assistant District Attorney Perry Duckles told the outlet. They are difficult to deal with. They are difficult to see. Julio Cesar Pimentel-Soriano, originally from the Dominican Republic is suspected in killing a family of four on Aug. 31, 2024. Dominican Republic National Police It seems like this family was very well-liked and very active in their community, so obviously my condolences go out to their family, friends, and neighbors who had to suffer through this, Assistant District Attorney Perry Duckles said. Firefighters were called to the residence around 5:23 a.m. on Aug. 31 for multiple fires around the home before they discovered the family of fours bodies. Investigators determined that it was readily apparent their deaths were from a homicide, and not the fire. This was a horrific scene, Irondequoit Police Chief Scott Peters said, holding back tears at a press conference Friday. In almost 32 years of doing this job Ive never seen anything like it. Fraime Ubaldo (right), Marangely Moreno-Santiago, Evangeline Ubaldo-Moreno, and Sebastian Ubaldo-Moreno were found murdered while firefighters worked to put out fires at their home. 13WHAM ABC News/YouTube Duckles says police are still looking for at least one other actor in connection to the deaths. The Irondequoit Police Department captured Pimentel-Soriano on Sept. 7, a full week after the gruesome alleged killings. Pimentel-Soriano illegally entered Puerto Rico, where he obtained a fraudulent New York identification, police alleged. With that identification, he was free to travel from Puerto Rico to the United States mainland, the department said. The Dominican Republic National Police issued an arrest warrant for Pimentel-Soriano on Sept. 6. He is wanted for a 2019 homicide in the Dominican Republic. The familys bodies were discovered in the basement while firefighters were addressing several fires at the home on Aug. 31, 2024. FOX News A makeshift vigil was set on the front porch of the Moreno familys home on Knapp Avenue in Irondequoit in the past two weeks, complete with stuffed animals and photos of the victims. FOX News He was charged with second-degree murder and is being held at the Monroe County Jail without bail, according to jail records viewed by The Post. His case was moved to a grand jury. The assistant DA also alleged Pimentel-Soriano used the alias Rafael Jose Vargas and had connections within the Rochester area. A makeshift vigil was set on the front porch of the Moreno familys home over the past two weeks, complete with stuffed animals and photos of the victims. Our condolences go out to the family, their friends and neighbors, Irondequoit Town Supervisor Andrae Evans said to Spectrum News. Im so sorry this has happened, but I want you to know that the Town Board and I will authorize anything thats needed to our police department to collaborate and to use any and all resources to bring these people to justice. It is my guarantee and the Town Boards guarantee our police department work with our federal, state and local agencies and bureaus nonstop until justice is served, Evans said days before Pimentel-Sorianos arrest. Because no one, no one deserves this. And whoever you are who did this, you will be brought to justice. With Post wires Immediate need for all blood types: how to help SAN ANGELO, Texas (Concho Valley Homepage) Vitalant is set to host blood drives from Sept. 17 through Sept. 20. Vitalant noted in a press release that it is currently facing an immediate need for all blood types resulting from hundreds of donations that went uncollected due to canceled blood drives and closed donation centers in the path of Hurricane Francine. This weather event occurred during a recent decline in blood donations following the Labor Day holiday weekend. These two circumstances have put additional pressure to meet the demand for blood, which is essential for countless medical procedures and emergency situations. For those looking to participate, there will be different pop-up locations each day with operating hours. On Sept. 17, you can go donate at Bearded Barista, located at 2412 College Hills Blvd., from 11 a.m. to 3 p.m. On Sept. 18, there will be two locations and times. The first locations hours are 9 a.m. to noon at Pinnacle Bank, located at 502 S. Koengheim St. The second location is Coldwell Banker Legacy Realtors, located at 3017 Knickerbocker Road, from 10 a.m. to 1 p.m. Sept. 19s blood drive is hosted at the YMCA, located at 353 Randolph St., from 9 a.m. to 1 p.m. The final pop-up is on Sept. 20 is at Live Oak Testosterone & Weight Loss, located at 2021 W. Beauregard Ave., from 10 a.m. to 2 p.m. You can also visit the Vitalant Donation Center, located at 2020 W. Beauregard Ave., to donate blood. Their operating hours on Mondays, Tuesdays and Wednesdays are 9 a.m. through 6 p.m. Thursdays hours are noon through 7 p.m. Fridays and Saturdays hours are 7:30 a.m. through 2 p.m. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ConchoValleyHomepage.com. Jose Patino, a 35-year-old DACA recipient and Arizona community organizer, says it took him a long time to overcome the fear of sharing his personal information including his legal status on social media. (Photo courtesy of Jose Patino) For more than a decade, San-Francisco-based Miguel has been successfully filing renewals for his Deferred Action for Childhood Arrivals (DACA) status every two years, at least until 2024. For some reason, this year, it took more than five months to get approval, during which his enrollment in the program lapsed, leaving him in a legal limbo. He lost his work visa and was put on temporary unpaid leave for three months from the large professional services company where hes worked for a decade. In those three months, I was trying to do a lot of damage control around getting an expedited process, reaching out to the ombudsman, congressmen all of the escalation type of actions that I could do, he said. He was also being cautious about what he put in his social media and other online postings. Like many, he realized such information could put him at risk in an uncertain political environment around immigration. Given my current situation, I try not to brand myself as undocumented or highlight it as the main component of my identity digitally, Miguel said. Miguel, who came to the United States at age 7 with his parents from the Philippines, says he was already mindful about his digital footprint before his DACA protections lapsed. His Facebook and Instagram accounts are set to private, and while amplifying the stories of immigrants is one of his goals, he tries to do so from an allyship perspective, rather than centering his own story. While his DACA status has now been renewed reinstating his work permit and protection from deportation and Miguel is back at work, hes taking extra precautions about what he posts online and how hes perceived publicly. Its the reason that States Newsroom is not using his full name for this story. Miguels company is regulated by the SEC and has to take a nonpartisan approach on political issues, he said, and that extends to employees. Staying neutral about political issues may be a common rule for many American workers, but its more complicated when an issue is a part of your core identity, Miguel said. I think thats been a huge conflicting area in my professional journey, he said. Its the separation and compartmentalization that I have to do to separate my identity given that it is a very politicized experience with my actual career and company affiliation. Digital footprints + surveillance Its not unusual for your digital footprint the trail of information you create browsing the web or posting on social media to have real-life ramifications. But if youre an immigrant in the United States, one post, like, or comment on social media could lead to an arrest, deportation, or denial of citizenship. In 2017, the Department of Homeland Security issued a notice saying it would begin tracking more information, including social media handles for temporary visa holders, immigrants, and naturalized U.S. citizens in an electronic system. And Homeland Security would store that information. But in recent years, theres been more data collection. In 2019, U.S. Immigration and Customs Enforcement (ICE) was found to have contracted with commercial data brokers like Thomson Reuters CLEAR, which has access to information in credit agencies, cellphone registries, social media posts, property records, and internet chat rooms, among other sources. Emails sent by ICE officials were included in a 2019 federal court filing, showing that information accessed via the CLEAR database was used in a 2018 deportation case, the Intercept reported. ICE agents used an address found in CLEAR, along with Facebook posts of family gatherings, to build a case against a man who had been deported from his home in Southern California and then returned. The man had been living in the U.S. since he was 1, worked as a roofer and had children who are U.S. citizens. Ultimately, a Facebook post showing the man had checked in at a Southern California Home Depot in May 2018 led to his arrest. ICE agents monitored the page, waited for him to leave the store, then pulled him over. He was charged with felony illegal reentry. Ray Ybarra Maldonado, an immigration and criminal attorney in Phoenix, said hes seen more requests for social media handles in his immigration paperwork filings over the last few years. It can be nerve-wracking to think that the federal government will be combing through a clients posts, he said, but clients have to remember that, ultimately, anything put on the internet is for public consumption. We all think when we post something on social media that its for our friends, for our family, Ybarra Maldonado said. But people have to understand that whatever you put out there, its possible that you could be sitting in a room across from a government agent someday asking you a question about it. Ybarra Maldonado said hes seen immigration processes in which someone is appealing to the court that they are a moral, upstanding person, but there are screenshots of them from social media posing with guns or drugs. Ybarra Maldonado suggests that people applying for citizenship or temporary protections consider keeping their social media pages private, and to only connect with people that they know. He warns that people who share info about their legal status online can be the target of internet scams, as theres always someone looking to exploit vulnerable populations. But maintaining a digital footprint can also be a positive thing for his clients, Ybarra Maldonado said. Printouts from social media can provide evidence of the longevity of someones residence in the U.S. or show them as an active participant in their community. Its also a major way that immigrants stay connected to their families and friends in other countries, and find community in the U.S. Identifying yourself online For Jose Patino, a 35-year-old DACA recipient, that goal of staying connected to his community was the reason he eventually began using his full name online. When he was 6, Patino and his mother immigrated from Mexico to join his father in West Phoenix. From the beginning, he said, his parents explained his immigration status to him, and what that meant he wasnt eligible for certain things, and at any time, he could be separated from them. If he heard the words la migra, or immigration, he knew to find a safe place and hide. In Patinos neighborhood, an ever-present feeling lingered that the many immigrants living there felt limited and needed to be careful. He realized he could work, but it would always be for less money, and hed have to keep quiet about anything he didnt agree with. Most people in his neighborhood didnt use social media or didnt identify themselves as undocumented. You dont want your status to define your whole identity, he said. And its something that you dont want a constant reminder that you have limitations and things that you cant do. But like most millennials, when Patino went to college, he discovered that Facebook was the main way of communicating and organizing. He went back and forth at least 100 times, over signing up with the social media platform, and eventually made a profile with no identifying information. He used a nickname and didnt have a profile photo. Eventually, though, he realized no one would accept his friend requests or let him into groups. And then little by little, as I became more attuned to actually being public, social media protected me more my status than being anonymous, he said. If people knew who I was, they would be able to figure out how to support me. Patino and others interviewed for this story acknowledged that the DACA program is temporary and could change with an incoming federal administration. In his first few months of his presidency in 2017, Donald Trump announced he was rescinding the program, although the Supreme Court later ruled it would stand. That moment pushed Patino toward community organizing. He is now very much online as his full self, as he and his wife, Reyna Montoya, run Phoenix-based Aliento, which aims to bring healing practices to communities regardless of immigration status. The organization provides art and healing workshops, assists in grassroots organizing, and provides resources for undocumented students to get scholarships and navigate the federal student aid form. Now, Patino said, he would have very personal conversations with anyone considering putting themselves and their status online. The community has gained a lot of positive exposure and community from immigrants sharing their personal experiences, but it can take a toll, he said. His online presence is now an extension of the work he does at Aliento. Basically, I want to be the adult that my 17-, 18-year-old-self needed, he said. For me, thats how I see social media. How can I use my personal social media to provide maybe some hope or some resources with individuals who are, right now, maybe seeing loss or are in the same situation that I was in? Liberation and freedom Tobore Oweh, a 34-year-old Nigerian immigrant who arrived in Maryland when she was 7, has spent the last decade talking about her status online. After she received DACA protections in 2012, she felt like it was a way to unburden some of the pressures of living life without full citizenship, and to find people going through similar things. That was like a form of liberation and freedom, because I felt like I was suppressing who I was, and it just felt like this heavy burden around immigration and just like, its just a culture to be silent or fear, Oweh said. And for me, sharing my story at that time was very important to me. She connected with others through UndocuBlack, a multi-generational network of current and former undocumented Black people that shares resources and tools for advocacy. Being open about your status isnt for everyone, she said, but shes a naturally bold and optimistic person. She referred to herself as DACA-mented, saying she feels she has the privilege of some protection through the program but knows its not a long-term solution. Shes never felt super safe, but was more uneasy through the Trump administration when he made moves to end the program. Everyone with DACA is definitely privileged but, you know, we all are still experiencing this unstable place of, like, not knowing, she said. Since sharing more of her experiences online, Oweh said, she feels more opportunities and possibilities came into her life. Oweh moved to Los Angeles seven years ago and runs a floral business called The Petal Effect. She feels safe in California, as the state has programs to protect immigrants from discrimination through employment, education, small businesses, and housing. Access to community For Oweh, it was never a question of whether shed use social media, but rather how she would. She feels the accessibility to community and for sharing resources far outweighs the risks of being public about her status. Growing up, it wasnt like what it is now. I feel like, you know, future generations, or you know, the people that are here now, like we have more access to community than I did growing up just off of social media, Oweh said. So, its been instrumental in amplifying our voices and sharing our stories. Being vocal about your status isnt right for everyone, Beleza Chan, director of development and communications for education-focused Immigrants Rising, told States Newsroom. Social media, student organizing, protests, and blogging led to the passing of the DREAM act and DACA in the last two decades, and those movements were essential to immigrants rights today. But those feelings of security come in waves, she said. I think the political climate certainly affects that, Chan said. In the previous years, it was undocumented and unafraid, and, since Trump, its been like youre undocumented and youre very afraid to speak up. Important state, no debate: Michigan not picked to host for first cycle in decades Michigan, once again, factors to be a crucial state to national politics this fall, as it's considered one of a handful of election battlegrounds that will decide the balance of the 2024 presidential election between Vice President Kamala Harris and former President Donald Trump. But even as the state's profile grows nationally, a small but noteworthy trend in presidential elections is set to be broken as things stand, there won't be a presidential debate in Michigan for the current presidential cycle. Barring a last-minute change of plans, this would be the first presidential cycle since 2004 when Michigan didn't host a presidential debate for either the general election or the presidential primaries, according to the American Presidency Project at the University of California at Santa Barbara. The second debate of the general election cycle (the first between Harris and Trump) took place Tuesday in Philadelphia. Like Michigan, Pennsylvania is a swing state where either candidate winning could determine who ends up winning the White House. But Tuesday may have been the lone debate between the presidential candidates While the Harris campaign quickly began calling for another debate almost immediately after the first one ended, Trump has said he won't debate Harris again. And even if Trump reverses course and agrees to a third debate of the cycle, CBS News has offered to host the debate on Arizona State University's campus, thousands of miles away from voters in Michigan. So, it stands likely Michigan's run of hosting a presidential debate will come to an end. Here's a look at the recent history of presidential debates held in the Mitten State: AFP_1J87ZG.jpg Democratic presidential hopefuls former Vice President Joe Biden (L) and US Senator from California Kamala Harris greet each other ahead of the second round of the second Democratic primary debate of the 2020 presidential campaign season hosted by CNN at the Fox Theatre in Detroit, Michigan on July 31, 2019. (Photo by Jim WATSON / AFP)JIM WATSON/AFP/Getty Images In 2020 primaries, Dems went after Biden in Detroit The early field for the 2020 Democratic presidential primary was so crowded that candidates had to participate in two separate debates over two nights in late July 2019 at the historic Fox Theatre in Detroit. While Biden's 2020 run had its ups and downs before he clinched the Democratic nomination on his way to the White House, he was viewed as the frontrunner in the race when nearly two dozen candidates debated in Detroit. And on July 31, 2019, he was the main target for the nine other candidates on stage, including Harris. "But as the debate wore on, Biden found himself fending off numerous attacks from both Harris and others in this second night of debates in Detroit, with challengers questioning decisions on the Iraq War, support for a 1994 crime bill and many other statements and positions in a political career dating back to the 1970s," the Detroit Free Press wrote in its coverage of the debate. Despite the challenges to Biden in Detroit and in subsequent debates, he eventually emerged as the Democratic nominee. There were no Republican debates that year, due to a lack of challengers to Trump, the incumbent. At one point, a debate was slated to take place between Biden and Trump in Oct. 2020 on the University of Michigan's campus, but the university withdrew from hosting due to concerns with the coronavirus pandemic, which was ongoing at the time. Republicans, Democrats both debate in Michigan during 2016 primary Just three days apart, both Republican and Democratic presidential hopefuls ventured to Michigan for debates during the 2016 primary cycle in Detroit and Flint, respectively. First, on March 3 of that year, Trump faced barbs from other Republican candidates at the Fox Theatre, the Free Press reported at the time. When Trump first launched his candidacy for president, many Republicans viewed him as a party outsider, a far cry from his stature within Republican politics today. By the time Republicans held their Detroit debate, Trump had a clear, but not insurmountable advantage in the delegate count. He would emerge victorious from the Republican primary, and eventually win Michigan on his way to the White House in 2016. More: Harmful algal bloom reported in Ypsilanti's Ford Lake: What health officials are saying More: JD Vance returning to Michigan, this time for a rally in Kent County on Tuesday Then on March 6, former U.S. Secretary of State Hillary Clinton and U.S. Sen. Bernie Sanders sparred in Flint. The debate came less than two years after the onset of the Flint Water Crisis, which was a prevalent issue raised during the night. Both Sanders and Clinton called for former Gov. Rick Snyder to resign due to the water crisis during the debate. Clinton, who went on to lose the Michigan primary, win the nomination nationally and then lose to Trump that fall, criticized Sanders for a 2009 vote during the federal government's rescue of the automotive industry. "The first 22 minutes of the debate were consumed with the crisis in Flint, with both candidates hoping to score a victory in Tuesdays Democratic primary in Michigan attempting to one-up the other in terms of concern," the Free Press wrote in coverage of the evening. Rick Perry's 'Oops' moment in Rochester ahead of 2012 primary Eight Republican presidential hopefuls debated on Oakland University's campus on Nov. 9, 2011, a little less than a year before the 2012 presidential election that would ultimately pit former President Barack Obama against Republican nominee, former Massachusetts Gov. Mitt Romney. While Romney, a Michigan native, participated in the Rochester debate, perhaps the most memorable moment of the night involved a different former governor. Former Texas Gov. Rick Perry, whose campaign had already been hurt by poor performances in prior debates, forgot the name of the third federal agency he'd eliminate if he were to be elected. He named the departments of Commerce and Education, before taking several moments to recall the name of the third. He eventually recalled the Environmental Protection Agency, according to an ABC News report, but later retracted and said the Department of Energy was the third agency. The third agency of government I would do away with - the education, the uh, the commerce and lets see. I cant. The third one. I cant. Sorry. Oops," Perry said. Perry, at least, was able to joke about the gaff. The Free Press reported at the time he told reporters following the debate "I'm glad I had boots on because I sure stepped on it out there." The last cycle Michigan didn't host a presidential debate in was 2004 The lone debate of the 2008 presidential election cycle to take place in Michigan was a Republican primary debate in Oct. 2007, when nine candidates, including the late John McCain, a U.S. Senator from Arizona, participated in a debate on the University of Michigan's Dearborn campus. McCain was the eventual Republican nominee. It's been twenty years since neither party held a presidential debate in Michigan, as neither Democrats nor Republicans held a debate in Michigan during the 2004 primary election. The presidential debates ahead of the general election that year were in Arizona, Florida and Missouri. The American Presidency Project tracks presidential debates dating back to 1960, when John F. Kennedy and Richard Nixon participated in the first televised presidential debate between candidates. According to the project, the earliest presidential debate held in Michigan was during the 1992 election cycle, when then-President George H.W. Bush, then-Arkansas Gov. Bill Clinton and independent candidate Ross Perot met for a debate on Michigan State University's campus. Contact Arpan Lobo: alobo@freepress.com Looking for more on Michigans elections this year? Check out our voter guide, subscribe to our elections newsletter and always feel free to share your thoughts in a letter to the editor. This article originally appeared on Detroit Free Press: Michigan has hosted notable debates, even if it won't in 2024 Every few years, organizations like Siena College and C-SPAN ask historians, political scientists, and presidential biographers to rank the best U.S. presidents. The results invariably spark debate among academics and the public alike, and the whole exercise has become an amusing, if somewhat cynical, pastime. Most Americans are only most interested in who tops the list, a discussion often dominated by the perennial question: Was George Washington or Abraham Lincoln the better president? Scholars, however, are often more fascinated by the figures who, after years of re-evaluation, begin to shake up the rankings. Some presidents, like Ulysses S. Grant, have seen their reputations rise over time. Others, such as Andrew Jackson, have experienced a steady decline in esteem. This ongoing reassessment fuels a steady industry of historians advocating for their chosen president to have their legacy revisited and judged anew. Lindsay M. Chervinskys pick? John Adams. In Making the Presidency: John Adams and the Precedents That Forged the Republic, she offers a compelling exploration of the rise and tumultuous tenure of the Adams administration, a period often overshadowed by Washingtons legacy and Jeffersons ascension to power. But Chervinskya presidential historian and the executive director of the George Washington Presidential Librarydoes more in the book than simply recall the often overlooked years of 1791-1801. Instead, she argues convincingly that, far from being a mere footnote in the history of the office, it was John Adams who faced the true test of establishing enduring precedents for the nations highest role. It was a feat that Chervinsky chronicles as anything but easy. Unlike Washington, who enjoyed near-universal reverence and unchallenged authority, Adams inherited a presidency fraught with deepening political divisions and growing public skepticism. As Chervinsky puts it, the second president would have to withstand cabinet schemes fomented by department secretaries to increase their authority at the expense of the president, and to combat European countries efforts to exploit the United Statess weaknesses for their own imperial aims. In many ways, the second presidentwhether Adams or anyone elsewas set up to fail from the outset. The greatest challenge Adams faced during his presidency was the looming threat of war with Revolutionary France, in what became known as the Quasi-War. This undeclared conflict arose when France began seizing American ships throughout the Caribbean in retaliation for U.S. neutrality in the war between France and Britain. The crisis over how to respond sharply defined the emerging party lines of the early American political system. While Federalists pushed for a strong response to French aggression and closer ties with Britain, the Jeffersonian Republicansseeing the French Revolution as an extension of their own struggle for libertyfavored diplomacy with France. Much to the frustration of his fellow Federalists, Adams made peace his priority. Deeply suspicious of the motives driving European powers to make alliances with the young nation, Adams saw them as cynical actors who viewed the United States as little more than a pawn to be manipulated. Following the precedent set by Washington, he argued that America should avoid war and steer clear of entangling alliances with European nations whenever possible. Yet, as Chervinsky aptly observes, His positions should not be confused with isolationism, because Adams was also a fervent supporter of intellectual, financial, and diplomatic engagement on the world stage. Maintaining peace, however, proved difficult. In 1797, Adams sent diplomats to France, only for them to encounter demands for bribes in the XYZ Affair, which outraged the American public and intensified calls for war. Despite this pressure and the ongoing criticisms he faced from Federalists in public and private, Adams continued to pursue diplomacy. In 1799, he sent a second peace commission to negotiate directly with the new French leader, Napoleon Bonaparte, who was preoccupied with other wars in Europe and more inclined toward peace. This led to the Convention of 1800, which officially restored peace between the two nations. Reflecting on this success in 1815, Adams quipped to Massachusetts Sen. James Lloyd, I reflect upon them with So much satisfaction that I desire No other Inscription on my Grave Stone than Here lies John Adams who took upon himself the Responsability of the Peace with France in the Year 1800. Foreign conflict was only one of his concerns. At home, Adams grappled with a hostile press, intensifying political polarization, rising xenophobia, domestic unrest, recurring yellow fever epidemics, and a near-treasonous vice-president. A particularly dramatic moment unfolded in May 1798. After attending a morning church service, Adams returned to the presidents residence in Philadelphia to find a mob gathered outside, sporting tricolor cockades in support of revolutionary France. Alarmed, he immediately sent word to the War Department for reinforcements while his servants, fearing the worst, began barricading the house. The situation grew even more perilous when a second group arrived, this time bearing the black cockades of the Federalists. Thankfully, the militia arrived just in time to defuse the standoff, though many feared the city would be ablaze by morning. Thanks to Chervinskys engaging prose of events like these, Making the Presidency certainly makes for a compelling and captivating read. Meanwhile, factionalism within his own party continued to plague Adams. Alexander Hamilton in particular had long sought to undermine his leadership. Before Adams took office, Hamilton had encouraged Federalists to support Thomas Pinckney in the 1796 election, while exerting influence over key members of Adams cabinet. As tensions escalated, the former treasury secretary launched more direct attacks, culminating in a public condemnation of the presidents leadership in the infamous Letter Concerning the Public Conduct and Character of John Adams ahead of the 1800 election. Hamilton accused Adams of being often liable to paroxysms of anger, which deprive him of self command, guilty of a vanity without bounds, and ultimately responsible for serious errors as president. Despite Adams reputation for being difficult, stubborn, and overly sensitive to criticism, Chervinsky uncovers the complexity of his character, emphasizing his genuine desire to serve his country and his efforts to rise above partisan politics whenever possible. As Benjamin Franklin once remarked, I am persuaded that he means well for his country, is always an honest man, often a wise one, but sometimes and in some things absolutely out of his senses. This captures the contradictions of Adams leadershipprincipled and deeply committed, yet at times erratic. Following suit, Chervinsky depicts him as a leader humbled by the weight of the presidency, yet fiercely determined to make his mark on the young republic. With extensive experience in Congress and international diplomacy, as well as eight years as vice president, Adams proved to be the right man for the daunting task of succeeding Washington. The first presidents efforts to establish norms, traditions, and precedents would have amounted to little had Adams not successfully met the challenge of maintaining them. This is not to suggest that Adams was without fault. Chervinsky makes it clear he made his share of mistakes, but perhaps none were more damaging to his legacy than the Alien and Sedition Acts of 1798. Chervinsky observes that the Federalists viewed these drastic measures as essential to counter the threats from France and domestic Republican opposition. Designed to suppress dissent and curb foreign influence, the Acts were seen as a necessary response to the looming prospect of war with France. While Adams did not draft the legislation, he signed it into law, including the Sedition Act, which effectively made government criticism illegal. Although Adams would later regret this decision, Chervinsky notes that at the time, Adams had long feared that the republic would be short-lived, and he refused to oversee its collapse. If these themesdebates over foreign involvement and friendships torn apart by politicssound familiar, its because they are. As Chervinskys narrative highlights, while the specific challenges facing the United States may have evolved, the broader patterns remain strikingly consistent, and the lessons from John Adams and his times are enduringly relevant. No lesson remains more relevant for Chervinsky than the outcome of the 1800 election. Despite Adams and Jefferson having been close allies since the Second Continental Congress of 1775, their friendship had become increasingly strained by the time Adams assumed the presidency. It finally fractured during the 1800 campaign, when Federalists accused Jefferson of being a Francophile atheist while Jeffersons supporters depicted Adams as a covert monarchist. The election ended in an unprecedented Electoral College tie between Jefferson and Aaron Burr, with 73 votes apiece (Adams trailed with 65.) The tie threw the decision to the House of Representatives where, after 36 rounds of voting and significant political maneuvering, Jefferson finally won. Even in defeat, Adams exemplified sound leadership by ensuring a peaceful transfer of powermaking it a cornerstone of the American republic. Thanks to Adams, today, we take such transfers for granted, seeing elections as routine contests between two parties vying for a largely stable electorate. But in times of media distrust, economic volatility, and global uncertainty, the stakes are higher, and the example of Adams, according to Chervinsky, feels more urgent. For Chervinsky, exploring the peaceful transition of power in 1800 undeniably echoes the dark events of January 6, 2021. Both Adams and Donald Trump faced contested elections, yet where the former respected democratic norms, the latter undermined them. Some readers might find the comparison somewhat overstretched, yet the larger point isnt merely about Adams giving up power (as if he could have realistically held onto it). Rather, its about the dignityalbeit muted by appointing his Federalist allied midnight judges and his refusal to attend Jeffersons inaugurationwith which he accepted his defeat. Adams ensured the continuity of the republic by stepping aside for a political rival he once admired but now passionately disagreed with. After January 6, Americans were reminded of the preciousness and fragility of republics, Chervinsky writes, confessing that she, like many Americans, had taken a peaceful transfer of power for granted. Adams never did. Read more at The Dispatch The Dispatch is a new digital media company providing engaged citizens with fact-based reporting and commentary, informed by conservative principles. Sign up for free. We recently compiled a list of the 15 Best Humanoid Robot Stocks That Will Ride A $30 Trillion Opportunity According To Morgan Stanley. In this article, we are going to take a look at where Bayerische Motoren Werke Aktiengesellschaft (OTC:BMWYY) stands against the other humanoid robot stocks. Over the course of the last century, the makeup of modern day society and the world as we know it has significantly changed. Humans living in the first quarter of the 20th century were just starting to get used to automobiles and long distance air travel was still a distant dream for most people. Now, with the age of artificial intelligence seemingly upon us, the world has changed. AI, a technology exclusive to science fiction in just the past decade, is now a reality even though its highest firm, i.e. artificial general intelligence, is far from being a reality. Similarly, while factories in the 20th century had to rely on workers for most of their production, now, automation is in full swing. No where is the impact of this clearer than in the factories of Elon Musk's car company. The electric vehicle billionaire has often described his attempts at establishing a viable production base for electric vehicles as "hell," and now, he believes that the future of the world lies in the hands of humanoid robots. So much so that Musk believes that by selling $1 trillion of humanoid robots annually, his company can reach an unbelievable market value of $25 trillion. Right now, it's valued at $708.7 billion after having lost 8.96% year to date, and the combined value of the S&P 500 is $45.7 trillion. Musk aims to have the first version of his firm's Optimus humanoid robot in small scale production early next year and start selling the humanoid robot to other companies in 2026. A simple humanoid isn't what one of the world's richest men is talking about though, since the key to his plan of selling robots is autonomy. This is the key valuation driver, believes Musk, as he shared during the Q2 2024 earnings call: And it takes the valuation, I think, to some pretty crazy number. ARK Invest thinks, on the order of $5 trillion, I think they are probably not wrong. And long-term Optimus, I think, it achieves a valuation several times that number. Ark Invest, Cathie Wood's hedge fund which filed $11.2 billion of investments with the SEC for Q2 2024, is also quite bullish on humanoid robots. Calling humanoid robots as generalizable robots, Wood's firm believes that they represent a $24 trillion revenue opportunity. This opportunity is split even between household and manufacturing robots, with the investment firm outlining that even a 50% take rate coupled with a 50% productivity boost could lead to a $7.1 trillion revenue opportunity for humanoid robots. Looking ahead, Ark Invest believes that humanoid robots will "have grown to 10% of the number of humans in the manufacturing workforce" by 2030 - in an era where cheap "robots in human form-factors have begun to populate households" to "address a third of household chores" and be an attractive purchase because of the time that they help people save. Story continues Cathie Wood and Elon Musk aren't the only ones who are convinced about the potential that humanoid robots offer. Another big believer is the investment bank, Morgan Stanley. It believes that the biggest potential of humanoid robots is the cost savings that they can offer. As per analyst Adam Jonas' estimates, these robots can "bring about cost savings of roughly $500,000 to $1 million per human worker over 20 years." These savings will come at a hairline of a fraction of the cost, with the bank's estimates sharing that they could cost anywhere between $10,000 to $30,000 to manufacture. The investment bank's estimates also believe that by 2030, America could have as many as 40,000 humanoid robots helping humans. Talking about overall usage, the industrial and other uses of humanoids could lead to a wage impact of $1 billion. These wage impacts grow as we move further down the future, and peak in 2050 when the bank believes that the humanoid population will be at an unbelievable 68 million for a wage impact of a whopping $3 trillion. Of course, the robots that the bank has envisioned aren't your everyday run of the mill equipment. These will use artificial intelligence, which naturally expands the investment options for investors looking to profit from this potential growth. As per analyst Ed Stanley, the list of potential contenders for investment as humanoid robot stocks includes "companies making the generative AI that will power the robots brains, the mechanics that make their bodies run, and the battery storage needed to power them. Further development in those three areas will be key to achieving humanoid commercialization.." If you thought that we were done with trillion dollar estimates for the humanoid robot industry, you'd be wrong. Another such estimate comes from the management consulting firm Roland Berger. It believes that if the sector scales according to optimistic projections, then by 2050, 50 million humanoid robots could generate $1.5 trillion in revenue. However, the firm does caution that this optimism is contingent on "heavily dependent on technological progress and the regulatory environment" as regulatory scrutiny of humanoids is benign right now as the majority of them are currently prototypes. Our Methodology We used Morgan Stanleys Humanoid 66 stock list. for our list of the biggest beneficiaries of the humanoid robot race. This list is divided into three categories, humanoid enablers and beneficiaries, enablers, and beneficiaries. From these three, we selected the top stocks from the beneficiaries list. If you're interested in the other two categories, be sure to check out $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley. For these stocks, we also mentioned the number of hedge fund investors. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points (see more details here). 25 Richest Billionaires in Automotive Industry A luxury car dealership's showroom, representing the automotive industry the company operates in. Bayerische Motoren Werke Aktiengesellschaft (OTC:BMWYY) Number of Hedge Fund Investors in Q1 2024: N/A Bayerische Motoren Werke Aktiengesellschaft (OTC:BMWYY) is another German automotive giant. Like its peer Mercedes, it benefits from using humanoids by saving on costs, ensuring employee safety, and increasing production efficiency. While the estimates for humanoids in our introduction stretch out to decades in the future, Bayerische Motoren Werke Aktiengesellschaft (OTC:BMWYY) is one of the early movers in this space. The firm plans to use humanoid robots in a factory in South Carolina this year, after it announced a deal with a startup earlier this year. Bayerische Motoren Werke Aktiengesellschaft (OTC:BMWYY) made progress on this front in August when it tested the first humanoid robot in a German factory and used it for vehicle chassis manufacturing. Yet, since the firm still generates revenue from selling cars, any weaknesses or unexpected costs don't do well for the shares. This was also the case in September when Bayerische Motoren Werke Aktiengesellschaft (OTC:BMWYY)'s stock dropped by 10% after it cut 2024 EBITDA margin guidance to 6% - 7% from an earlier 8% - 10% following a costly recall. Overall BMWYY ranks 14th on our list of the best humanoid robot stocks to buy. While we acknowledge the potential of BMWYY as an investment, our conviction lies in the belief that some AI stocks hold greater promise for delivering higher returns and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than BMWYY but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. Participants of the 2024 Indigenous Peoples Summit walk off of the University of Nebraska at Omaha campus to the Elmwood Park Pavilion for lunch. They did so because UNO's exclusive contract with a food caterer would not allow an exception for summit organizers to bring in their preferred Native American caterer. (Cindy Gonzalez/Nebraska Examiner) OMAHA It was not a walk that participants in the annual Indigenous Peoples Summit wanted to take on an 86-degree afternoon. But they did on principle, and to underscore a key reason that brought some 125 leaders of tribal governments, Indigenous groups and others together in the first place: a quest to reclaim cultural power and traditions. What pushed conferees on Friday to walk the quarter-mile from a University of Nebraska at Omaha auditorium to Elmwood Park for lunch many in ribbon skirts and traditional regalia was that they were not permitted to bring food from a preferred culturally sensitive caterer onto UNO grounds. UNO has an exclusive contract with Sodexo, a global food services company. Efforts by summit organizers to find a work-around were rejected, so they took their lunch hour off campus. We were put into a place where we would have had to compromise our dignity and traditions, Sheelah Bearfoot of the Chiricahua Apache Nation told the Nebraska Examiner. More at stake than lunch Choosing to walk to the park was inconvenient, particularly for the elders who were offered rides. But Bearfoot, an environmental justice advocate, and other planners of the event said there was more at stake than lunch. Fridays gathering was about empowerment, rebuilding Native Nations, reaching economic sovereignty, climate justice, sacred sites and traditional ecological knowledge. The group sought to strengthen partnerships, to create opportunities, to learn and to share successes. Expert speakers represented an array of tribes and academia from as far away as Zimbabwe, where Aya Khourshid, a climate and social researcher, joined virtually to talk about rethinking economies. After morning workshops, waiting for the group at the Elmwood Park pavilion was a colorful spread prepared by Anthony Warrior, a Nebraska-based chef specializing in Indigenous-inspired cuisine, including braised bison with Umoho Nation corn medley, white earth wild rice and patty pan squash. The group walked back to UNOs Milo Bail Student Center for afternoon programs. In addition to many Indigenous leaders, speakers included State Sen. John Cavanaugh of Omaha, who welcomed the group to his district and offered to help with legislative efforts, and State Sen. Terrell McKinney of North Omaha, who has battled for Native American issues including a law that protects public school students from discrimination based on cultural hairstyles, tribal regalia and headdress and funding for a Chief Standing Bear museum. No solution is too far McKinney, in response to a question on how to craft state policy, said that any legislative initiative should keep top of mind the core of who you are. But be as creative, as bold as you can be no solution is too far, McKinney said. During the same panel on economic sovereignty, Luis Marcos, co-founder of Comunidad Maya Pixan Ixim and an organizer of the event, noted the significance of the luncheon dilemma. He said Indigenous and tribal governments want to be respected and treated as sovereign nations. Victoria Kohout, chief of external relations for UNO, said Sodexo is the exclusive caterer for all main campus buildings except the Thompson Alumni Center. She said its a setup thats common practice for universities. Local Sodexo representatives referred comments to UNO. When will this stop? Marcos said, amplifying his voice to conferees. He referred to an earlier conference discussion that delved into ways to rebuild sovereignty and authority stolen from Indigenous people. When will we be recognized as sovereign nations? Missty Slater, chief of staff for the Iowa Tribe of Kansas and Nebraska, said its unlikely anyone will be able to codify culture or make culture a law or a business. But she said leaders can push the needle to incorporate more cultural values into policy. Band together and shun industries and businesses causing harm to Native communities and goals, she said. Hurt their bottom dollar. For Indigenous people, Slater said, money is more of a medium goal. The larger goal of economic development is creating a positive impact on a collective community. National model Panelist Perry Worden of Bridgepoint Investment Banking, who also is vice president of Comunidad Maya Pixan Ixim, told the summit that Nebraska-based Ho-Chunk Inc. has become a national model for self-empowerment. Ho-Chunk Inc., for example, has WarHorse Casino, farmland, housing, and companies that manufacture and distribute Native American tobacco products. The ancestral name for the Winnebago Tribe is Hochungra, often truncated as Ho-Chunk. Worden said the tribes holding company ventures have been able to help create generational wealth, which leads to economic independence. Fridays summit continued an annual gathering of pan-Indigenous communities. Last years event took place over two days in various Omaha area locations including a sacred water ceremony on the banks of the Missouri River. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Multibillion-pound overruns led to cancellation of parts of HS2, seen here being constructed in the Misbourne Valley in Buckinghamshire - Jim Dyson/Getty Images Europe Rachel Reeves is to abolish two key government bodies overseeing the UK engineering industry after a series of disastrously managed infrastructure projects, ranging from HS2 to Hinkley Point C nuclear power stations. The National Infrastructure Commission (NIC) and the Infrastructure Projects Authority (IPA) are to be restructured and merged with many staff likely culled to create a powerful new organisation responsible for monitoring all major government-funded projects. It follows analyses by groups including the pro-growth campaign Britain Remade showing that the UK pays more for its infrastructure projects than almost any other developed country. The move is the biggest shake-up in infrastructure management for more than a decade and follows a series of projects wrecked by surging costs and delays. These include HS2 where multibillion-pound overruns led to cancellation of part of the project linking the West Midlands to Manchester and to the East Midlands. Originally priced at 38bn, costs for just the London-Birmingham leg went to 50bn and then 70bn. Retaining the cancelled sections would have pushed costs to more than 105bn. Similarly, Hinkley Point C power station went from a budget of 20bn to 42bn. The Transpennine Rail upgrade has seen its initial cost of 300m spiral to 10bn. Hinkley Point C power stations budget leapt from 20bn to 42bn - Daniel Leal/AFP There are fears the looming refurbishment of the Houses of Parliament currently costed at 3.5bn could go the same way. The buildings are so high-profile that any cost or time overruns would prove highly politically awkward. The new body is to be called the National Infrastructure Service Transformation Authority, or NISTA. It would give ministers support dealing with the many looming, but massively controversial projects, especially in transport, such as Gatwick Airports plans to open a second runway and Heathrows long-term hopes for a third runway. Such projects would boost economic growth but generate furious protests from environmental groups. Some of the most complex projects are in energy, including Sizewell C, the next nuclear power station, the small modular reactor programme, along with the rebuilding of the National Grid to ensure it is fit for net zero. Without the firm management that the UK has been missing, all have the potential to go disastrously over budget and timescales. The plans to replace NIC and IPA with a new body were confirmed by Darren Jones, Chief Secretary to the Treasury, at a Labour Party forum where he pledged to deliver a 10-year infrastructure programme, to be announced shortly, with every department told what it could spend up to five years ahead. He said the two bodies were not able to provide the stability needed for such long-term thinking partly because the NIC is not a statutory body, meaning its findings can be ignored. Mr Jones said: The NIC was doing a brilliant job on strategy. For those of you involved, I think everybody agrees, their outputs are actually really great. But lets be frank, the government wasnt really listening or taking it seriously. So they produced great reports, but it wasnt informing decisions. He also criticised the IPA, which reports to the Cabinet Office and Treasury and which is meant to support delivery of all types of major projects ranging from railways and roads to defence, schools and hospitals. Mr Jones said that the IPA had evolved into a compliance body rather than leading the way. He said: The Infrastructure and Projects Authority has expanded a lot over the years since it was first created. If were honest, its become a bit of a compliance function, and needs to be more about speeding up delivery and focusing on delivery. He said the result of having infrastructure split between two bodies meant the sector lacked leadership and authority which the new organisation, NISTA, would be expected to provide. He said: We have infrastructure thats not delivering for parts of the country, thats holding back our growth and our potential. We think we can really create an authority at the centre of government that has the buy-in of Treasury, the Cabinet Office, and No 10, working with departments and other partners to really drive delivery in the way that we want to and to actually fix the problems that all of us know. British families worse off than Americans Analyses by Britain Remade, which campaigns for better infrastructure management, show the impact of the UKs failures on energy, transport links and construction of new homes. It calculates that British families are now 13,500 worse off than the average American family and almost 6,000 worse off than the average German family. It reviewed over 300 transport projects across 20 countries and found Britain builds trams at twice the cost of the European average and almost four times the cost in Germany. Britain pays three times more than Germany to electrify its rail lines and HS2 worked out nine times more expensive than the Tours to Bordeaux high speed line. Sam Dumitriu, head of policy at Britain Remade, said: On almost every form of infrastructure from rail to road, from nuclear power stations to trams, Britain spends more on a like-for-like basis. Too often Britain reinvents the wheel and lessons learnt on one project are forgotten for the next. Some projects are chopped-and-changed so many times over that their cost balloons and hundreds of millions of pounds are wasted on unnecessary work. The TransPennine Rail Upgrade which morphed from a straightforward electrification project to a massive change forecast to cost 10bn highlights the need for reform in the way the UK plans and executes infrastructure projects. Sir John Armitt, chairman of the National Infrastructure Commission, who is 78 years old and steps down in January, welcomed Labours plan for a 10-year infrastructure delivery strategy. He said : Closing the infrastructure gap between what the UK has and what we need is a long, hard task. NISTA provides an opportunity to bring delivery of this strategy into the heart of government. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Inmate dies after being found unresponsive at federal prison in Mississippi YAZOO COUNTY, Miss. (WJTV) An inmate died after being found unresponsive at a federal prison in Mississippi. According to the Federal Bureau of Prisons, Robert Angelo Mangine, 51, was found unresponsive at the Federal Correctional Institution (FCI) Yazoo City Medium around 1:30 p.m. on Friday, September 13. Trial for Rankin County teen accused of killing mother begins Monday Officials said responding employees initiated life-saving measures, and emergency medical services (EMS) were requested while life-saving efforts continued. Mangine was pronounced deceased by EMS personnel. The Federal Bureau of Investigation (FBI) was notified. Officials said Mangine was sentenced in the Northern District of Iowa to a 420-month sentence for Conspiracy to Distribute 500 Grams or More of Methamphetamine Within 1,000 Feet of a School Zone, Felon in Possession of a Firearm, Possession with Intent to Distribute 20.85 Grams of Methamphetamine, and Carrying a Firearm in Relation to a Drug Trafficking Crime. He had been in custody at FCI Yazoo City Medium since July 15, 2022. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJTV. An inmate at the Smith Correctional Facility in Banning has died after a stabbing attack by a fellow inmate, authorities said. On Sept. 5, deputies responded to a report of an assault with a deadly weapon at the facility at 1:30 p.m., when they found the victim suffering a stab wound injury, according to the Riverside County Sheriff's Department. Deputies provided medical aid until paramedics took the victim to a hospital. Steve Gonzalez, 36, of Moreno Valley, succumbed to his injuries and was pronounced dead on Sept. 7. Officials said the victim's family was notified and was able to be at his bedside while he was being treated at the hospital. The sheriff's Corrections Central Investigations Unit, in coordination with the sheriff's Homicide Unit, identified 55-year-old Scott Lowder as the suspect who allegedly killed Gonzalez, but no further details were provided regarding the altercation. Lowder was in custody for criminal threats and brandishing a firearm and will remain in custody pending murder charges, officials said. Anyone with information regarding the death was encouraged to contact Investigator R. Navarrete with the Central Homicide Unit at 951-955-2777. The death is the latest in the county's jails. Department records submitted to the California Department of Justice show there were 19 deaths in 2022 and 14 in 2023. Three deaths were reported as of June 2024. Roughly a dozen lawsuits have been filed on behalf of inmates who died in 2022 and 2023. City News Service contributed to this report. Ema Sasic covers entertainment and health in the Coachella Valley. Reach her at ema.sasic@desertsun.com or on Twitter @ema_sasic. This article originally appeared on Palm Springs Desert Sun: Inmate killed at Smith Correctional Facility in Banning An internal Secret Service investigation has confirmed that multiple, substantial communication breakdowns preceded the 13 July attempted assassination of Donald Trump in Butler, Pennsylvania. The Washington Post, citing unnamed officials, reported on Saturday that the former presidents security detail failed to direct local police to secure the roof of the building used by the gunman. The Secret Service had discussed placing heavy equipment and flags between the stage and what would become Thomas Matthew Crookss perch atop a glass factory 300ft away to block the clear sight lines from the roof. Related: Melania Trump boosts conspiracy theory online about rally shooting But supervisors who arrived at Butler for the rally found cranes, trucks and flags were not placed in a way that blocked the line of sight. Crook was later able to climb on to the roof and fire a rifle seven times, killing one spectator, wounding Trump in the ear and injuring two others, before being shot dead by Secret Service snipers. The internal inquiry, known as a mission assurance investigation, found that unlike security details guarding a sitting president and vice-president that have military support, the Secret Service uses a command post separate from local police to protect political figures who are not serving in office. But in Butler, Trumps security detail had no way of communicating with local police guarding the perimeter of the fairground. The astonishing lack of communication led to Crooks being able to get on the roof despite reports of a suspicious person carrying a rangefinder an hour before Trump was due to speak that were not relayed to the Secret Service. It took rally-goers to alert local police to a man bear-crawling on the roof before he loosed off shots at the former president, with one clipping Trumps ear. Instead, local countersnipers were instructed to text a photo of Crooks to just one Secret Service agent, and agents never heard local police radio traffic about trying to track him down. Butler county police also reportedly warned the Secret Service that they would not be able to post a patrol car next to the building but received no further instruction. Kimberly Cheatle resigned as director of the agency days after the shooting after saying the roofs slope was too steep for agents to manage. The acting agency director, Ronald Rowe, said in a statement to the outlet that the Secret Service cannot operate under the paradox of zero fail mission while also making our special agents and uniformed division officers execute a very critical national security mission by doing more with less. The report also found that the Secret Service had been slow to beef up Trumps security even after it received reports of an Iranian plot to kill political candidates. Rowe testified to Congress later in July that he was embarrassed by security lapses and vowed to reform the agencys practices. Two separate congressional investigations are also looking at security lapses. The Trump campaign has said it has sometimes been forced to cancel or postpone events over concerns that security is insufficient and followed years of requests from Trump aides for greater security. Both the first lady, Jill Biden, and the vice-president, Kamala Harris, were in Pennsylvania that day, lending credence to claims that the Secret Service was stretched too thin. I think the American people are going to be shocked, astonished and appalled by what we will report to them about the failures by the Secret Service in this assassination attempt on the former president, the Democratic senator Richard Blumenthal told Fox News after being briefed on the internal review. Illustration: Benjamin Currie; Photos: Getty Five years ago, Kris Kobach, Kansas former secretary of state, announced on Fox Business Network that, in order to quickly deport undocumented immigrants seeking asylum in the United States, the Trump administration would need camps. Or, as he also put it, processing towns. The U.S. government owns thousands of empty mobile home trailers, Kobach told host Lou Dobbs, and it should deploy them to border cities and create processing towns that are confined. People who cross the border seeking refuge in the United States, he said, should be detained there until their claims are rejected, then promptly expelled from the country. Kobach, then the general counsel of a private border wall-building effort two former leaders of which later went to prison for defrauding donors was a lonely voice at the time. But in the years since, the Trump wing of the Republican Party has come around to his point of view. Key allies and advisers arent mincing their words: In order to carry out Trumps mass deportation agenda, the United States will need enormous prison camps for immigrant families, part of an effort to deport millions of people at a record pace. The mass deportation operation will be a bloody story, Trump said last weekend. And key advisers have promised a historic infrastructure project to churn people out of the country. The camps will be built on open land in Texas near the border and should have the capacity to house as many as 70,000 people, which would double the United States current immigrant detention capacity, Stephen Miller, the main point man on immigration in Trumps White House, said last year. In multiple interviews, Miller has gleefully described daily flights out of the camps to all corners of the world, an undertaking he said would be greater than any national infrastructure project in American history. Trump comes back in January Ill be on his heels coming back, and I will run the biggest deportation force this country has ever seen, Thomas Homan, who served as acting director of Immigration and Customs Enforcement during the Trump administration, said in July at a conference for Trump-aligned conservatives. They aint seen shit yet, Homan said. Wait until 2025. Eisenhower 2.0 Trump himself, as usual, has stayed away from the details of his plan to deport more than 10 million people, and his campaign didnt respond to HuffPosts questions about specific policies. Instead, the campaigns national press secretary, Karoline Leavitt, said in a statement: President Trump will restore his effective immigration policies, implement brand new crackdowns that will send shockwaves to all the worlds criminal smugglers, and marshal every federal and state power necessary to institute the largest deportation operation of illegal criminals, drug dealers, and human traffickers in American history. Trump has frequently invoked former President Dwight D. Eisenhower whose administration infamously oversaw a massive, deadly deportation program named after a slur, Operation Wetback as a model. That program, launched in 1954 to push undocumented migrants into Mexico, has been a Trump hobbyhorse for years. In 2016, CNNs Jake Tapper pressed Trump to respond to critics who called it a shameful chapter in American history; Trump countered by saying, Some people think it was a very effective chapter. Eisenhower did a massive deportation of people, Trump told Time in April this year. He got very proficient at it. I will run the biggest deportation force this country has ever seen ... They aint seen shit yet. Wait until 2025.Thomas Homan, former acting director of Immigration and Customs Enforcement during the Trump administration Eisenhowers deportation effort resulted in the expulsion of more than 1 million people, according to a government report. That tally is likely exaggerated, though, and includes a significant number of people who today might be said to have self-deported that is, they left the country on their own rather than face arrest or deal with court proceedings. Government records detail a militaristic operation using trucks, jeeps and planes. The government conducted naval deportations on cargo ships that a congressional investigation later compared to cramped slave ships and which led to highly publicized drownings. Law enforcement agencies, from local police up to the federal Border Patrol, pitched in on mass sweeps of industrial areas and immigrant-dense neighborhoods. Press clippings from the time noted numerous camps were used to house people awaiting deportation. The Los Angeles Times described one such concentration camp as a wire-fenced security camp capable of holding 1,000 people in Elysian Park. Within a few years, the same area would host Dodger Stadium. Subsequent coverage included a photo of a 10-month-old in her mothers arms youngest internee, the caption reads and a 1-year-old American citizen being deported along with his family. Other stories referred to human freight being shipped back to Mexico. I have seen mothers deport[ed] and leave on this side their nursing babies, one South Texas resident reportedly wrote to the attorney general. What is wrong with this country any way? The nature of immigration, and immigration law, was much different in the 50s, when the U.S.-Mexico border was more porous and seasonal migration was common. But Trump and the modern Republican Party have embraced the Eisenhower operations purge of immigrants: At the Republican National Convention, scores of people held up Mass Deportation Now! signs distributed by organizers, and this years GOP platform promises the largest deportation operation in American history. A person in a wheelchair holds a sign that reads "Mass Deportation Now" on the third day of the Republican National Convention at the Fiserv Forum on July 17, 2024 in Milwaukee, Wisconsin. Andrew Harnik via Getty Images Aspects of Trumps plan would almost certainly be challenged in court. But the scope of Republicans ambitions is nonetheless startling. The GOP platform calls for immigration arrests executed in cooperation with local police and massive portions of Federal Law Enforcement whove been shifted from their normal work over to immigration enforcement, alongside thousands of Troops who would be transferred to the border. The platform also calls for invoking the Alien Enemies Act, an 18th-century law that gives the president broad powers to deport people from hostile nations. Trump has reportedly considered using the law as a pretext to deport people whose countries of origins contain drug cartels and gangs, accusing them of invading the United States. Large-Scale Staging Grounds If Trump and his team are serious about deporting every undocumented person in America, theyll need a place to put them first. Despite Trumps hatred for catch-and-release the fishing term he uses to describe the policy of releasing immigrants from custody as their legal cases proceed the simple fact is there was, and is, nowhere near enough detention space available to incarcerate everyone Trump wants to deport. Immigration officials struggled to keep up with the Trump White Houses demand for space to detain immigrants in deportation proceedings, according to records obtained by NPR. Trump increased the number of proceedings initiated against undocumented immigrants each year but that didnt mean that everyone facing deportation was actually forced to leave the country. Instead, it just left behind a huge backlog of cases for his successor. Over the course of Trumps presidency, U.S. migrant detention expanded to new heights, part of a decades-long trend of putting more and more people behind bars. Miller was perhaps the most influential voice in Trumps ear on immigration, including on Trumps infamous family separation policy and his ban on immigrants from several Muslim-majority countries. And he has been clear about his plans for Trumps next term in office. Rather than simply trying to block immigrants and asylum seekers from entering the country in the first place, as Texas Gov. Greg Abbott (R) is trying to do with his militarized Operation Lone Star, Miller envisions a detain-and-remove strategy, as he told Charlie Kirk last September. Such a strategy would involve local, state and federal law enforcement, as well as the U.S. military, he said, including deputized National Guard soldiers. You would need to switch to indiscriminate, or large-scale, enforcement activities involving, basically, going into any place where theres known congregations of illegals and holding everybody on sight, determining whos there illegally, and then taking people who are there illegally into federal detention, he said on The Clay Travis and Buck Sexton Show in November. The detention facilities Miller envisions would be massive. Hes described them as large-scale staging grounds near the border, most likely in Texas, and an extremely large holding area that could hold upwards of 50, 60, 70 thousand illegal aliens. The camps would have constantly operating runways, Miller said separately in November, with a packed schedule of lights probably military aircraft, some existing DHS assets shipping deportees around the world. White House senior adviser Stephen Miller watches as President Donald Trump tours a section of the southern border wall, Wednesday, Sept. 18, 2019, in Otay Mesa, Calif. via Associated Press Miller isnt the only one thinking about this. Former Trump officials told NBC News in July that the mass deportation effort would likely involve the Pentagon, which would be asked to participate in either setting up detention camps or relocating migrants to foreign military bases. The Interior Department would be asked to provide federal land for deportation sites, the report added, describing interviews with the former officials. The Department of Justice and Department of Health and Human Services would also be involved, according to the report the latter because its the government agency that currently deals with unaccompanied children. Like Watching Schindlers List Trump has not denied or distanced himself from any of this. Shortly after he praised Eisenhowers massive deportation operation to Time, Trump said he would use the National Guard to round up people and if they werent able to, then Id use the military. Without naming him, Trump also embraced Millers theory that undocumented people are actually enemy invaders, and therefore fair game to be deported by the military. And, pressed by his interviewer on the need to build new migrant detention camps, the former president dodged the question before saying, I would not rule out anything. Its possible that well do it to an extent but we shouldnt have to do very much of it, because were going to be moving them out as soon as we get to it, Trump said. On this point that massive camps wont be necessary, because the deportations will happen very quickly Miller and others with experience in the Department of Homeland Security disagree. If a deportation team goes to a particular house and arrests an illegal alien family so, say, a mother, a father, and four children theres not just a plane on a tarmac thats 10 minutes away ready to take them, Miller told Kirk. Rather, Miller said, you need to then build massive staging facilities to hold people until theyre shipped out of the country. Other Homeland Security veterans agree with that assessment, even if theyre less giddy about it. The nightmare becomes obvious, Thomas Warrick, a former DHS deputy assistant secretary for counterterrorism policy, wrote in The Hill Thursday. A second Trump administration could detain hundreds of thousands of people, but it does not have the ability or the capacity to move them out of the country as fast as ICE, the National Guard and local law enforcement can bring them in. Expect to see families behind barbed wire in overcrowded camps, desperate U.S.-citizen children looking for missing immigrant parents, and U.S. citizens swept up in immigration raids. To get a sense of what future deportees might find in these camps, just look to historic conditions in immigration detention particularly at processing centers near the border, where migrants are sent after being arrested by Border Patrol. In this March 27, 2019, file photo, Central American migrants wait for food in a pen erected by U.S. Customs and Border Protection in El Paso, Texas. via Associated Press In 2019, during a period of more frequent migration, the government erected tent cities to detain new arrivals. Conditions were poor. At one point, government lawyers argued that the administration wasnt required to provide soap to children. Squalid living arrangements and dangerous overcrowding were common. At an El Paso Border Patrol facility, border agents told government investigators that some of the detainees had been held in standing-room-only conditions for days or weeks. Separately, investigators observed detainees standing on toilets to make room and gain breathing space. Multiple children died after being detained while entering the United States, including several who died from the flu. The way they were treated in the facilities was horrific, horrific. Total medical neglect, the medical director of a non-governmental migrant shelter near the border told HuffPost recently, recounting people they treated whod passed through the processing centers in late 2018 and 2019. It was like watching Schindlers List. America Moves Right Perhaps most troubling to activists and advocates concerned with migrants rights is the rightward shift both parties have taken on the border in recent years. After all, former President Barack Obama removed more people from the country in each of his two terms than Trump did in his one term, earning himself the nickname deporter-in-chief. There are some caveats to that statistic, though. For one thing, Trump dramatically reduced legal immigration. For another, the COVID-19 pandemic reduced the number of people arriving at the border, both because fewer people made the trip to the United States and because Trump cited the pandemic to invoke a rule called Title 42, which allowed Border Patrol agents to turn away even those seeking asylum. The Trump administration also created the so-called Remain in Mexico policy formally called the Migrant Protection Protocols to force certain migrants seeking asylum to await their court dates south of the border, frequently in dangerous and unsanitary conditions. Trump has said he would pursue this policy again as president. President Joe Biden has opened legal pathways for migrants seeking to enter the United States, and the overall number of border crossings during this presidency has been much higher than during the Trump administration though Biden has also removed far more people from the country than Trump did. Biden has also recently dramatically limited asylum rights at the border echoing some of the Trump administrations legal strategies by placing new restrictions on the ability to pursue asylum that are triggered on the number of unauthorized crossings per day. The policy prevents countless asylum seekers from exercising their right to seek safe haven in the United States, an ongoing lawsuit from immigrant rights groups alleges. Since Biden implemented that asylum cap, the Kino Border Initiative, a migrant aid program with locations in the United States and Mexico, has received hundreds of would-be asylum seekers at its Mexican clinic who were turned around and expelled back into Mexico at the U.S. border. For the last eight years or so, we have been consistently with different policies blocking peoples access to asylum, Pedro De Velasco, the groups director of education and advocacy, said of U.S. authorities. Vice President Kamala Harris, the Democratic nominee for president, has played up her experience as a border state prosecutor in campaign ads, a sign shes likely to continue Bidens more restrictive border stances. And, like Biden, she supported a bipartisan border bill that would have expanded some legal immigration pathways while also limiting asylum rights. Still, theres little doubt that a return to Trump would shift U.S. immigration and border policy even further to the right. Project 2025, an ideological handbook for a second Trump term authored by scores of former Trump administration officials though technically not part of the GOP candidates campaign platform offers hints at what could be to come. On top of laying out plans to severely limit legal migration, the Project 2025 playbook offers several steps to weaken the protections offered by sanctuary cities, which, broadly defined, limit local police departments ability to cooperate with federal immigration authorities. Those sanctuary policies are a major reason Trump wasnt able to deport as many people as Obama. Project 2025 calls for a nationwide detention standard that allow[s] the flexibility to use large numbers of temporary facilities such as tents. It also reiterates a goal of Trumps first term ending the Flores Settlement Agreement, a 1997 consent decree that places limitations on the detention of migrant children. Put together, the overlapping arrest, imprisonment and deportation operation imagined for a second Trump term would, like Miller has said, be a historic feat of logistics and, potentially, a new frontier in cruelty. And there appears to be plenty of other plans being developed behind the scenes. Russ Vought, who was director of the Office of Management and Budget during Trumps presidency, was a key co-author of Project 2025. He was also policy director of the Republican National Conventions platform committee. And hes in line for a high-ranking post if Trump wins a second term. He recently told undercover reporters that he was working on about 350 different documents full of plans for the next administration including on mass deportations. You may say, OK, all right, DHS, we want to have the largest deportation, Vought said in a secretly recorded meeting. What are your actual memos that a secretary sends out to do it? Like, theres an executive order, regulations, secretarial memos. Those are the types of things that need to be thought through so youre not having to scramble or do that later on. The plans wont be public, Vought said but rather, very, very close hold. JACKSON, Miss. (WJTV) Theres more trouble for Jacksons Thalia Mara Hall. The city has 10 days to present a plan to fix 22 fire code violations at the facility. In early August, the city was forced to close the facility after early microbial activity was discovered following a recent malfunction with the HVAC controller in the facility. Jackson leaders address efforts to repair Thalia Mara Hall Mississippi Commissioner of Insurance and State Fire Marshal Mike Chaney asked fire inspectors to conduct an inspection of Thalia Mara Hall on Tuesday, September 10. This comes after the State Fire Marshals Office received a complaint on Monday, September 9 in reference to safety issues. I had a lot of complaints just from citizens in the Jackson area, Chaney stated. The report showed in addition to mold growing in the facility, human waste was found on the balcony of Thalia Mara Hall. Homeless people may have been there and used the place for living quarters, maybe had defecated on the balcony, said Chaney. Some fire extinguishers at Thalia Mara Hall are not up to date, and its been almost 20 years since some of them have been replaced. Chaney said Jacksons building code inspectors should have replaced some of the extinguishers years ago. Jackson arts leaders frustrated with Thalia Mara Hall closure If the city does not submit a plan, Chaney said things are going to get serious. If they do not give us a plan, we will red tag the building. And when we do that, it requires us to be with anyone that enters the building. And we could sanction the city with code violations, and they can be fined. I dont think well ever go that far, he said. Chaney is hopeful that the city will give their plan of remediation. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJTV. An engineer inspects the container ship Dali in April, after it slammed into the Francis Scott Key Bridge. Photo courtesy National Transportation Safety Board. A new report says a loose wire in the container ship Dali could have triggered the blackout that caused the crew to lose control of the ship and sent it careening into the Francis Scott Key Bridge in the early morning hours of March 26. The report Wednesday from the National Transportation Safety Board details of series of examinations and tests of Dali systems by a team of engineers over the course of the month of April, when the ship was still pinned under the wreckage of the bridge. The team which included engineers from the NTSB, from the ships operators and from Hyundai Heavy Industries, which built the ship, among others determined that the loosely connected cable could create an open circuit that would trigger blackout. When they tested their theory by disconnecting the cable, all equipment powered by the Low Voltage (440V) Switchboard, including operating lights throughout the vessel, immediately lost power, which only came back on after about 10 seconds. The Dali was leaving the Port of Baltimore early on March 26 when it suddenly lost power as it was approaching the Key Bridge, which crosses over the shipping channel into and out of the port. Instead of passing under the bridge, the drifting, 984-foot Dali slammed, fully loaded, into one of the bridges piers. The crash caused the center span of the bridge to collapse in a matter of seconds, killing six of the eight members of a road crew that were working on bridge repairs at the time and sending tons of debris onto the ship and into the Patapsco River below. Besides cutting an important route for more than 30,000 commuters, and for truckers looking to bypass the center of the city, the debris also clogged the Patapsco River, blocking shipping traffic in and out. It closed the Port of Baltimore for months, throwing thousands of workers off the job and hitting businesses in the region that rely on the port. It took more than two months for the coordinated efforts of state and federal agencies, and private contractors, to remove more than 50,000 tons of debris from the Patapsco and reopen shipping lanes to Baltimore. The Dali left in late June and headed for Norfolk, where hit was to undergo further repairs. While the port was reopened after just two months, state and federal officials expect it will take years to replace the Key Bridge. But the state last month awarded a $1.2 billion contract to Nebraska-based Kiewit Infrastructure, which could begin construction by next spring, around the one-year anniversary of the crash. Plans for the replacement bridge are still being developed, but state officials have said it will be higher, and its center span wider, than the old bridge, to allow for even larger container ships that are expected in the future. Bridge replacement is expected to ultimately cost as much as $1.7 billion. In the hours after the crash, President Joe Biden pledged that the federal government would pick up 100% of the cost of the project, and bills have been introduced in Congress to do just that. But those bills have been stuck in committed so far. Many migrants who were living in Iran are refugees, having being deported back to Afghanistan - MOHSEN KARIMI/AFP Iran is to deport two million Afghan migrants in six months, in one of the largest expulsion programmes in recent history, according to Ahmad-Reza Radan, head of Irans national police. Police have already begun violently detaining migrants and dumping them back across the border of the Taliban-ruled country. Shops in one province have been ordered not to sell food to Afghans, while videos have gone viral of an Afghan George Floyd having his neck knelt on by police officers. According to the UN, around 4.5 million Afghans live in Iran, with many having fled the country since the takeover of the Taliban in 2021. In order to prevent more migrants entering the country, Tehran is also building a 13ft-tall wall along a stretch of the 900-km-long border with Afghanistan. A sign in a bakery in Iran reading: By order of the honourable judge: Selling bread to non-nationals is forbidden before adding that any bakeries committing such an offence will be dealt with according to the law. It also gives teh address and telephone number of the bakeries union. Afghans who had worked in the security forces of the US-backed government told the Telegraph they were terrified of being sent back. It follows the return of at least 600,000 Afghan refugees from Pakistan since Islamabad launched a crackdown on illegal migrants in October last year. Mr Radan, referring to Afghan nationals, said: We are working to deport a significant number of unauthorised foreign nationals whose presence in the country is illegal. Iran has been grappling with an economic crisis driven by Western sanctions and the mismanagement of the Islamic regime. There are reports of brutal and violent treatment of Afghan migrants by Iranian police - X Eskandar Momeni, Irans interior minister, said this week: Afghans are cultivated people, but our country cannot receive so many migrants. We plan to handle these matters in an orderly fashion and without much fuss, he said, referring to the deportations. Our priority lies with irregular migrants. Around 625,000 Afghan migrants left Iran in 2023, according to the Danish Refugee Council. The drastic increase in deportations promised by authorities appears to be linked to Masoud Pezeshkians (the new president) pledge to secure the border with Afghanistan during the election campaign. In one widely-shared video taken last month, a group of police officers can be seen arresting a teenager, with one officer pressing his knee on the Afghans neck. Women protest the officers actions as the boy is handcuffed, lifted off the ground and shoved into an unmarked car. The migrant, who was later released, has been nicknamed the Afghan George Floyd, with social media users widely circulating remarks made by Ayatollah Ali Khamenei, Irans supreme leader, following George Floyds killing by US police. The Ayatollah said, A police officer pressed his knee on the neck of a black man until he died, while other officers watched and did nothing, this is not something new, it is the nature of America. In another video, police officers take turns hitting an Afghan who leans against the boot of their patrol vehicle. . pic.twitter.com/8MGo2ktR6U Azizullah Sanjar (@AzizullahSanjar) July 26, 2024 In some areas, Afghans face severe restrictions: they are banned from buying groceries, renting homes and visiting certain areas. Thousands have had their phone sim cards blocked, while goods are also sold to Afghans at higher prices than to Iranians. Local prosecutors in southern Kerman province have even prohibited bakeries from selling bread to Afghan nationals. Afghans speaking to The Telegraph have reported being beaten and humiliated by both Iranian police and ordinary Iranians. My son went out to buy bread, and we didnt hear from him for over a week, then he called us from Afghanistan, said Mr Rahmatullah, an Afghan migrant living in the southern Iranian city of Shiraz. His 13-year-old son was arrested at a bakery and deported to Afghanistan after spending four days in a refugee camp. We couldnt go to the police to search for him during those days, as we feared they would arrest us too, he said. A week later, his cousin from Afghanistans western Herat province called to say that Mr Rahmatullahs son was with him. According to witnesses, Iran is sending tens of thousands of migrants back to Afghanistan, despite threats of famine - HOSHANG HASIMI/AFP Mr Rahmatullah, 46, was a high-ranking police officer under the US-backed government before the Talibans return and joined thousands who fled. I cannot return to Afghanistan and life here is too difficult we cannot even go out to buy bread without facing harassment from Iranians, he said. My cousin will send my son back to Iran. Another Afghan national, living in a city near Tehran for the past two decades, said that the anti-Afghan attitude has never been so intense. We have legal documents, yet we still cannot go anywhere some ordinary Iranians sometimes even spit on us for no reason, life has become difficult, he said. There are signs outside parks that say Afghans and dogs are not allowed to enter they claim were taking their jobs but no Iranian would do the hard labour that Afghans would do, he added. Water rights of the Helmand River Taliban officials believe that the deportations are linked to a dispute over the water rights of the Helmand River between the two countries. Iran relies on this water for farmland irrigation in its southeastern regions and has accused the Taliban of restricting the supply by constructing dams. We all know the real issue is the water, everything else is just an excuse, an official from the Talibans interior ministry told The Telegraph from Kabul. They want more water, and were not giving it to them, thats it, he said. The previous government was giving them more water than Iran was entitled to. There have been discussions with the Iranians to halt the return of Afghans, as the Mujahideen are already struggling to feed millions of impoverished people, he explained. Adding this challenge would make the situation even more difficult, he said. Even amid the deportation programme, Afghans continue to hire smugglers to transport them into Iran so they can escape the hardships of life under the Taliban. A Taliban fighter stands guard at the Islam Qala border between Iran and Afghanistan - HOSHANG HASHIMI/AFP While many Afghans remain in Iran and send money back to their families in Afghanistan, some attempt to reach Europe via Turkey. Samad, who works with smugglers in Herat province, collects Afghans arriving in the city to transport them to the border, earning a commission for each person he sends. The number of people fleeing the country is overwhelming, they include children and the elderly, all trying to go and send a piece of bread back home, he said. They are desperate and extremely poor, leaving because if they stay, their families will starve, he added. Upon reaching Nimroz province, which borders Iran, Afghan smugglers hand over their charges to their Iranian counterparts. Another route goes through Pakistan. Many will be held hostage, not being allowed to go out to find work, until their families pay the smugglers, who charge up to one hundred million rials (150) a months wage in Iran. Either war kills Afghans or hunger does, Samad said. Thats our destiny. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Iran says it is open to talks but rejects pressure as US, EU impose sanctions Iran's top nuclear negotiator Araqchi talks to journalists after meeting senior officials from the United States, Russia, China, Britain, Germany and France in a hotel in Vienna DUBAI (Reuters) - Iran's foreign minister said that Tehran was open to diplomacy to solve disputes but not "threats and pressure", state media reported on Saturday, after the U.S. and three European powers imposed sanctions against the country's aviation sector. Abbas Araqchi's comments come a day after The European Union's chief diplomat said the bloc is considering new sanctions targeting Iran's aviation sector, in reaction to reports Tehran supplied Russia with ballistic missiles in its war against Ukraine. "Iran continues on its own path with strength, although we have always been open to talks to resolve disputes ... but dialogue should be based on mutual respect, not on threats and pressure," Araqchi said, according to the official news agency IRNA. Araqchi said on Wednesday that Tehran had not delivered any ballistic missiles to Russia and sanctions imposed on Iran by the United States and three European powers would not solve any problems between them. The United States, Germany, Britain and France on Tuesday imposed new sanctions on Iran, including measures against its national airline Iran Air. (Reporting by Dubai newsroom, Editing by Louise Heavens) Multiple insiders secured a larger position in Brightstar Resources Limited (ASX:BTR) shares over the last 12 months. This is reassuring as this suggests that insiders have increased optimism about the company's prospects. While insider transactions are not the most important thing when it comes to long-term investing, logic dictates you should pay some attention to whether insiders are buying or selling shares. Check out our latest analysis for Brightstar Resources Brightstar Resources Insider Transactions Over The Last Year Over the last year, we can see that the biggest insider purchase was by insider Jack Yetiv for AU$2.0m worth of shares, at about AU$0.011 per share. Even though the purchase was made at a significantly lower price than the recent price (AU$0.019), we still think insider buying is a positive. While it does suggest insiders consider the stock undervalued at lower prices, this transaction doesn't tell us much about what they think of current prices. In the last twelve months Brightstar Resources insiders were buying shares, but not selling. The chart below shows insider transactions (by companies and individuals) over the last year. By clicking on the graph below, you can see the precise details of each insider transaction! Brightstar Resources is not the only stock that insiders are buying. For those who like to find small cap companies at attractive valuations, this free list of growing companies with recent insider purchasing, could be just the ticket. Does Brightstar Resources Boast High Insider Ownership? Many investors like to check how much of a company is owned by insiders. I reckon it's a good sign if insiders own a significant number of shares in the company. It appears that Brightstar Resources insiders own 16% of the company, worth about AU$18m. We've certainly seen higher levels of insider ownership elsewhere, but these holdings are enough to suggest alignment between insiders and the other shareholders. So What Does This Data Suggest About Brightstar Resources Insiders? It doesn't really mean much that no insider has traded Brightstar Resources shares in the last quarter. But insiders have shown more of an appetite for the stock, over the last year. Insiders do have a stake in Brightstar Resources and their transactions don't cause us concern. In addition to knowing about insider transactions going on, it's beneficial to identify the risks facing Brightstar Resources. Every company has risks, and we've spotted 5 warning signs for Brightstar Resources (of which 3 don't sit too well with us!) you should know about. Story continues Of course, you might find a fantastic investment by looking elsewhere. So take a peek at this free list of interesting companies. For the purposes of this article, insiders are those individuals who report their transactions to the relevant regulatory body. We currently account for open market transactions and private dispositions of direct interests only, but not derivative transactions or indirect interests. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. Russia is getting more missiles from Iran, but claims about their accuracy may be way off. A recent Iranian attack highlighted what are likely to be deep flaws with ballistic missiles. Missile accuracy may not be high on Russia's priority list. Russia is restocking its ballistic missile arsenal with help from Iran, but there's good reason to believe that these 200 or so short-range missiles Iran is widely believed to have delivered are not nearly as accurate as Tehran claims. Iran recently transferred Fath-360 close-range ballistic missiles to Russia, weapons that can blast fortified positions or cities near the frontlines and which could strain Ukraine's air defenses. Iran claims the Fath-360 is accurate to about 100 feet of a target, but a recent Iranian attack highlighted deep flaws with its missile claims. "Russia needs all the shorter range firepower that it can get, and despite the technical failings of Iranian missiles, the Russian war in Ukraine is not about precision, it is about brute force," Nicholas Heras, senior director of strategy and innovation at the New Lines Institute, told BI. Reports of the Fath-360 delivery via the Caspian Sea came barely a week after the Associated Press reviewed a new expert report on Iran's April missile attack on Israel that raised serious questions about the accuracy of Iranian missiles. The report found that the liquid-fueled Emad missiles that Iran fired at an Israeli F-35I air base missed by a wide margin. Iran claimed the Emad could hit within 50 meters of a target, but the experts concluded the weapons had a 0.75-mile circular error probable, a measure of the distance from the aim-point in which 50% of missiles will land. That's 40 times less accurate than Iran claimed. "Russia wants this missile system in order to reduce stress on its own stocks of mobile short-range ballistic missiles, most notably for systems such as Iskander," Matthew Orr, Eurasia Analyst at the risk intelligence company RANE, told Business Insider. An Israeli soldier examines part of an Iranian ballistic missile fired at Israel during Iran's direct attack in April. OREN ZIV/AFP via Getty Images These latest reports follow a Reuters exclusive in early August that Russian military personnel were receiving training in Iran on the Fath-360. While the Emad, derived from the Shahab-3 family of Iranian missiles, is from a different class than the Fath-360, such accuracy issues could tarnish the usefulness of Moscow's newest imports. Russia already acquired KN-23 ballistic missiles from North Korea. However, their use against Ukraine early this year raised serious questions about their accuracy. On the other hand, the short range of the Fath-360 (75 miles) may indicate that Russia simply wants these missiles to augment its firepower in its depleting war of attrition against Ukrainian forces in eastern Ukraine. "Russia is likely not concerned with the wide target deviation circular error because many of Russia's own munitions have similar issues and because Russia has targets for which it is less concerned with such deviation," RANE's Orr said. "The short range of this system suggests that Russia likely intends to use these systems against Ukrainian front-line forces and their supporting infrastructure, pushing the system launchers into greater danger than some of its own launchers for longer-range systems," he added. "Thus, they will supplement Russia's glide bomb campaign and further complicate the work of Ukrainian anti-air forces near the front line." Consequently, while these close-range ballistic missiles could contribute to some Russian tactical victories, they are certainly no game-changers. "It seems dubious that several hundred of these munitions could have a significant impact on the war," Orr said. The Iranian missiles are further justification for why Ukraine needs relaxed rules on its use of ATACMS missiles and other strike weapons like the Joint Air-to-Surface Standoff Missile, a cruise missile launched from an aircraft, Orr said. That step, Orr concluded, "could have a significant impact on the war by increasing the costs of the war for Russia." Heras from the New Lines Institute similarly believes that Russia isn't overly picky about its imports. "The Russians want to overwhelm and bludgeon Ukrainian forces, which are already facing manpower shortfalls," Heras said. "It is not the type of missile that Iran is giving to Russia that matters, it is the deepening of the Iranian-Russian military alliance that is the big story here." "The Russian war in Ukraine advances Iran's goal to build a great power alliance with Russia." Read the original article on Business Insider Iraqi oil minister stable after surgery in US, official says Iraq's oil minister Hayan Abdel-Ghani speaks during a press conference at Iraq's Majnoon oil field near Basra BAGHDAD (Reuters) - Iraqi oil minister Hayan Abdel-Ghani is in stable condition after receiving emergency heart surgery during an official visit to the United States, an oil ministry official who is part of the Iraqi delegation said on Saturday. Abdel-Ghani arrived in the U.S. earlier this week on a trip aimed at courting U.S. companies to invest in Iraqs energy sector. The oil ministry official told Reuters he fell ill and was rushed to hospital during the trip and underwent heart catheterization surgery. "Hes out from the operating theatre and is in a stable condition," the official said. Abdel-Ghani and other oil ministry officials could not immediately be reached for comment. (Reporting by Ahmed Rasheed, Writing Timour Azhari, Editing by Louise Heavens) U.S. Central Command (CENTCOM) announced on Friday a raid which resulted in the death of 14 ISIS terror operatives in Western Iraq. Of the 14 operatives, four were ISIS leaders: Ahmad Hamid Husayn Abd-al-Jalil al-Ithawi, Abu Hammam, Abu-Ali al-Tunisi, and Shakir Abud Ahmad al-Issawi. US, IRAQ TEAM UP TO KILL 15 ISIS OPERATIVES IN EARLY MORNING RAID, US MILITARY SAYS The raid occurred on August 29 and was conducted in partnership with Iraqi Security Forces. According to CENTCOM, the responsibilities of the four ISIS leaders who were killed involved military and technical operations in Iraq. A masked Islamic State soldier poses holding the ISIS flag in 2015. "This operation targeted ISIS leaders and served to disrupt and degrade ISIS ability to plan, organize, and conduct attacks against Iraqi civilians, as well as U.S. citizens, allies, and partners throughout the region and beyond," read CENTCOM's statement. 3 YEARS AFTER US WITHDRAWAL FROM AFGHANISTAN, ISRAEL LOOKS TO LESSONS LEARNED FROM WAR ON TERROR ISIS, or the Islamic State of Iraq and the Levant, "is a Salafi-jihadist group that has conducted and inspired terrorist attacks worldwide, resulting in thousands killed or injured" according to the Department of National Intelligence. Gen. Michael "Erik" Kurilla, commanding general of U.S. Central Command, addresses the attendees during Army Day on Camp Buehring, Kuwait, May 19, 2023. Army day is an opportunity for U.S. Army Soldiers to showcase their capabilities to senior military and civilian leaders during the conference. READ ON THE FOX NEWS APP Original article source: ISIS leaders killed in Western Iraq in joint raid with Iraqi Security Forces: CENTCOM Salvini has repeatedly used attacks against illegal immigration to boost his political capital (GABRIEL BOUYS) (GABRIEL BOUYS/AFP/AFP) Italian prosecutors requested on Saturday a six-year prison sentence for Matteo Salvini, Italy's far-right deputy prime minister, for blocking migrants from disembarking at one of the country's ports in 2019. Salvini, a partner in Prime Minister Giorgia Meloni's coalition, is on trial for alleged deprivation of liberty and abuse of office for keeping 147 migrants at sea for weeks on a ship run by the Open Arms charity. "The prosecution has asked for former interior minister Salvini to be sentenced to six years," Open Arms' lawyer Arturo Salerni told AFP, as the "long and difficult trial" nears an end. A verdict in the trial, which began in October 2021, could come next month, he said. Salvini would be free to appeal any decision. Salvini was not present, but wrote on Facebook: "Six years in prison for having blocked arrivals and defended Italy and Italians? Madness. Defending Italy is not a crime." Meloni also criticised the prosecutors. "It is incredible that a minister of the Italian Republic risks 6 years in prison for doing his job defending the nation's borders, as required by the mandate received from its citizens," the prime minister wrote on X. In summing up, prosecutor Geri Ferrara told the Palermo court in Sicily that there was "one key principle that is not debatable". "Between human rights and the protection of state sovereignty, it is human rights that must prevail in our fortunately democratic system," he said. The ship was stuck at sea for nearly three weeks before the migrants were finally allowed to disembark on the island of Lampedusa following a court order. Members of Open Arms have testified that the migrants' physical and mental well-being reached a crisis point as sanitary conditions onboard became dire, including a scabies outbreak. - 'Chaos' - Salvini, head of the anti-immigration League party and interior minister at the time, testified in January that he had understood that "the situation was not at risk" onboard the ship. "The POS (safe port) should have been provided immediately and without delay," prosecutor Marzia Sabella said Saturday, according to Italian media reports. "Refusing to do so was breaking the rules, not being in line with a government plan," and Salvini's "choices" had given rise to "chaos", she said. A populist known for an "Italians first" policy, Salvini has repeatedly used attacks against illegal immigration to boost his political capital. In 2019, serving under prime minister Giuseppe Conte, he implemented a "closed ports" policy under which Italy refused entry to charity ships that rescue migrants stranded while crossing the Mediterranean. He cast it as a tough measure against traffickers who operate boats between North Africa and Italy and Malta, the deadliest migrant crossing in the world. French far-right leader Marine Le Pen, also known for her anti-immigrant politics, offered Salvini a message of support on Saturday night, alleging he was the target of "judicial harassment aimed at silencing him". Salvini thanked her and promised not to "give in". Much of the trial has been focused on determining whether the decision-making and responsibility in the case lay with the Conte government or Salvini alone. Salvini has previously faced a similar trial, accused of refusing to allow 116 migrants to disembark from an Italian coastguard boat in July 2019. But it was thrown out by a court in Catania in 2021. bur-ide/smw/mca ROME (Reuters) - An Italian prosecutor on Saturday asked a judge to sentence right-wing League leader Matteo Salvini to six years in prison over his 2019 decision to prevent more than 100 migrants from landing in the country. The then interior minister, who is currently serving as deputy prime minister and transport minister in Giorgia Meloni's government, has been charged with kidnapping and is awaiting sentencing following his decision that left the migrants stranded at sea. Prosecutors had then ordered the seizure of their ship and the evacuation of the people on board. On Saturday, the prosecutor asked for Salvini's imprisonment at a hearing in the Sicilian capital of Palermo. The final decision rests with a senior judge at the end of a three-stage judicial process. A definitive conviction could bar Salvini from holding government office. "I would do it all again: defending borders from illegal immigrants is not a crime," Salvini said in a post on X on Saturday. During his 14 months as interior minister, Salvini stopped several boats from docking in Italy in an effort to halt migrant flows. He regularly accused migrant rescue charities of effectively encouraging people smuggling. Salvini's League is part of Meloni's centre-right government. "It is unbelievable that a minister of the Italian Republic risks six years in prison for doing his job of defending the nation's borders, as required by the mandate received from the citizens," Meloni said in a post on X. "Turning the duty to protect Italy's borders from illegal immigration into a crime is a very serious precedent. My full solidarity," she added. Meloni, who rose to power in 2022, has vowed to clamp down on unauthorised arrivals from North Africa with harsher immigration laws, restrictions on sea rescue charities and plans to build migrant reception camps in Albania. At the same time, she has opened the door to hundreds of thousands of migrants to work in Italy legally in an effort to plug labour gaps in the country, and stop migrant smugglers. Interior ministry data show the number of irregular migrants reaching Italy by sea so far in 2024 is around two third lower than in the same period last year, at 44,675 people. (Reporting by Giselda Vagnoni; editing by Clelia Oziel) A source close to Dame Carolyn said the TV boss felt leading the Industrial Strategy Council would be too time-consuming - Chris Ratcliffe/Bloomberg The boss of ITV has turned down the chance to lead the Governments Industrial Strategy Council in another blow to Labours pro-business credentials. Dame Carolyn McCall, who was also the former chief executive of easyJet and the Guardian, was approached by the Department for Business and Trade with a view to taking up the role. However, she is understood to have declined the opportunity amid concerns about time commitments. A source close to Dame Carolyn said the TV boss felt it would be too time-consuming and entail significant lobbying from bosses in other industries. They added: If youre a FTSE CEO, thats a big commitment. Dame Carolyns decision represents another blow to Jonathan Reynolds, the Business Secretary, and Chancellor Rachel Reeves, who are already struggling to appoint an investment minister. The setback comes just weeks before a crucial international summit on Oct 14 designed to show Britain is open for business. Chancellor Rachel Reeves is also struggling to appoint an investment minister - Stefan Rousseau/PA Wire Benjamin Wegg-Prosser, who runs Global Counsel alongside Labour peer Lord Mandelson, was the Chancellors first choice for the investment role, but turned it down for financial reasons. Mr Reynolds has said the Industrial Strategy Council will be a key government advisory body underpinned by statute, enabling it to withstand the winds of political change. However, it is thought the councils statutory footing means the role requires a minimum commitment of two days a month. In addition to her role at ITV, Dame Carolyn already holds non-executive positions at fund manager Bridgepoint and is a trustee at the Royal Academy of Arts. Dame Carolyn has previously held roles on government bodies, including the previous governments Levelling Up Advisory Council led by former Bank of England chief economist Andy Haldane. However, these have previously required lower time commitments. It is thought that the Industrial Strategy Council will form a key pillar of Labours mission to boost economic growth. The Kings Speech claimed Labour would forge a new partnership with both business and working people and prioritise wealth creation for all communities. The Industrial Strategy Council would have an objective to see rising living standards in all nations and regions in the United Kingdom, with four missions including delivering clean power by 2030 and building a more resilient economy. A spokesman for ITV declined to comment. The Department for Business and Trade was approached for comment. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Javion Magee Was Found Dead Against A Tree With Rope Around His Neck In Rural NC: What We Know So Far A devastated family in Chicago is demanding answers after their loved one was found dead on Wednesday in a rural area in Henderson, North Carolina against a tree and he had rope around his neck. The family, according to ABC 11, is going from Chicago to North Carolina to demand information on the death of the 21-year-old truck driver, Javion Magee. As the news station reported, Magee was found leaning with his back up against a tree and a rope around his neck. What police have said so far Although police havent yet provided much details, Vance County Sheriff Curtis R. Brame is refuting some of the information circulating on the internet. I understand theres over 1,000 hits on TikTok (accusing) the sheriffs office of not being transparent, not providing information to the family and that is not true, Brame said, per ABC 11. Theres been information put out there that theres a lynching in Vance County. There is not a lynching in Vance County. The young man was not dangling from a tree. He was not swinging from a tree. The rope was wrapped around his neck. It was not a noose. There was not a knot in the rope, so therefore, it was not a lynching here in Vance County. While Magees body is sent to the medical examiner for an autopsy, Brame said there are no signs of foul play. According to the sheriff, Magee died shortly after he went to a nearby Walmart. Information will be available to the family as soon as the autopsy report is available, Brame added. According to CBS 17, deputies said they responded to a call at 285 Vanco Mill Road on Wednesday and found the 21-year-old in a seated position with a rope wrapped around his neck and the other end of the rope attached to a tree. The Aurora, Illinois native was found outside of a fenced-in area located near a tractor repair business and a church, the sheriffs office added. As WRAL reported, Brame said evidence shows MaGee purchased a rope from a Walmart, where he had stopped for a delivery before his death, but MaGees family is skeptical of that information. A search warrant in the case lays out the timeline of MaGees last hours, as captured on surveillance cameras. The sheriffs office used GPS from MaGees delivery truck to track his movements before his death. Their report continues, At 4:20 p.m. on Sept. 10, he was seen on surveillance cameras at the Walmart purchasing the rope that was found with his body. Two hours later, he was later seen going into and out of a Hampton Inn on Ruin Creek Road in Henderson. Surveillance cameras at the hotel show he arrived at 6:28 p.m. and left at 6:36 p.m. Surveillance cameras in the area where his body was found show MaGee pull up in his truck and walk toward the direction of the tree where his body was found the next day around 10 a.m. In response to the discourse happening on social media, Mike Waters, the district attorney for multiple North Carolina counties including Vance, told the Raleigh News & Observer, I feel at this point this investigation has been conducted the same way any other suspicious death investigation would be, and they are doing all that they can do. The News & Observer reported that his cell phone information will be reviewed by officials and his truck searched as the investigation continues. Civil rights attorneys are representing the family Civil rights attorneys Harry Daniels and Lee Merritt, along with North Carolina attorney Jason Keith, are now representing the family as they search for answers. According to a statement from the familys spokesperson, per CBS 17, said that authorities told them that they couldnt view the body and a video posted by a family member on social media went viral overnight. As of today, the authorities havent shown us anything proving that this young man with no history of mental illness took his own life, Daniels added, per CBS 17. How can the police expect us to take their word for it when they wont even let this family view the body? Civil rights leader Bishop William Barber II is also urging for officers to provide information. We must have truth and transparency in this matter. Hanging is not a form of death that can be easily dismissed, particularly here in the South where it has been used as a weapon of terror against Black families for generations, Barber said, per CBS 17. I join attorneys Daniels and Merritt as they seek truth and justice for Javion McGee and his family. The NC NAACP has also made a statement Per WFMY, the North Carolina NAACP has stated the following: The death of Javion Magee is a heartbreaking event that requires the utmost attention from all levels of law enforcement. We demand a comprehensive and transparent investigation to uncover the truth behind this tragedy. As a community, we cannot rest until we have clear answers. The NAACP will stand with the Magee family and will continue to push for justice in this matter, ensuring that every aspect of this case is investigated with the seriousness it deserves. Magees death has gone viral on TikTok Several TikToks and X (formerly known as Twitter posts), including those referenced by Brame, have gone viral after focusing on everything from news reporting to speculation about what happened to Magee. 2024 AND WE STILL HAVE CRAP LIKE THIS HAPPENING!? NO, THIS NEEDS TO BE EVERYWHERE. THERE'S ALWAYS CAMS FOR EVERYTHING ELSE, SHOW THE PROOF IF THERES NO FOULPLAY! #JavionMagee #whatsthetruth #hangingin2024 pic.twitter.com/k1UXGoJ2Tf The Zenovybe Stream (@TheZenovybe) September 13, 2024 #JavionMagee's family is entitled to answers to what happened to Javion. We know from experience that nothing good comes from them withholding information and stonewalling the family. @hendersonNCgov we need answers. NOW. https://t.co/b1ZHZApC0D pic.twitter.com/atStTEn2Oe OverwhelminglySickOfThisShit (@imsickoftheshit) September 13, 2024 One TikTok user, @scottieprimpin, identifies herself as Magees cousin and posted a TikTok that has now gone viral in which she asks for help. The family is questioning what authorities are saying Candice Matthews, a civil rights activist and state chair for the Texas Democratic Black Caucus who is the spokesperson for Magees family, said via The TRiiBe: How can you say that it was a suicide? We have not even had autopsy report. We havent had a full investigation. Now that all of this is out and were amplifying the issue, now, all of a sudden, the sheriff has put out a statement and isnt saying that it is a suicide. Now hes saying if anyone knows anything to reach out to Crime Stoppers hotline. Were not going to play this game, sir. Matthews also noted that Magee did not have a recorded history of mental health struggles. So what would make this man hang himself? she said. And how he was hung, it was no way that he could have done that himself. Per the TRiiBE, Matthews says that the family is calling for transparency and wants government officials to investigate it as a hate crime. We demand the Department of Justice to come in and investigate this. We demand the FBI to come in and investigate this as a hate crime, she said. This is a developing story with more details to come; if you know anything about Javion Magees death, call the Vance County Sheriffs Office at 252-738-2200 or the Henderson-Vance Crime Stoppers at 252-492-1925. JD Vance heading back to Wisconsin Wednesday for campaign stop in Eau Claire Republican vice presidential nominee, Senator JD Vance, holds a press conference on crime and safety in Kenosha, Wisconsin on Tuesday, August 20, 2024. Republican vice presidential candidate JD Vance is heading back to Wisconsin on Wednesday for a campaign stop in Eau Claire. It will be Vance's second stop in Eau Claire and fifth trip to the state since former President Donald Trump tapped him to be his running mate in July during the Republican National Convention in Milwaukee. Vance spoke to reporters in Eau Claire just a few miles away from a rally for Vice President Kamala Harris and her running mate Tim Walz in early August, headed to Milwaukee for an Aug. 16 visit with a small crowd of law enforcement and reporters at the Milwaukee police union, criticized Democrats handling of public safety during an Aug. 20 stop in Kenosha, and talked about the economy at an Aug. 28 visit to De Pere. Vance is expected to focus on immigration and the U.S.-Mexico border during Wednesday's event in Wisconsin, which is scheduled to be held at 4:30 p.m. at the Eau Claire Event Center. Vance has joked about his repeat trips to the battleground state, saying, "I basically live in Wisconsin now." Despite his frequent travel to Wisconsin, this week's Marquette University Law School poll found Vance is viewed favorably by 37% of voters and unfavorably by 47%, with 16% having no opinion. In that same poll, 43% of Wisconsin voters viewed Walz favorably and 37% viewed him unfavorably, with 19% saying they haven't heard enough or didn't know. Walz campaigned in Wausau on Friday and is scheduled to hold a rally in Superior on Saturday. This article originally appeared on Milwaukee Journal Sentinel: JD Vance returning to Wisconsin Wednesday to Eau Claire campaign stop A new viral video shared by Republican vice-presidential nominee and Ohio Sen. JD Vance is continuing to fuel rumors about migrants abducting and eating pets in the state. However, this time it says it's happening in Dayton, about 30 miles from Springfield, the epicenter of recent unproven claims that allege Haitian immigrants are killing pets. The video was posted on X Saturday morning by Christopher F. Rufo, a prominent conservative activist who initiated the adversarial movement against critical race theory. The video shows what seems to be skinned animals on top of a small charcoal grill in the yard of a home. A couple of live cats could also be seen roaming the yard around the grill. The post quickly gained traction on the social media platform, amassing over 33,000 likes and and 15,000 retweets as of Saturday afternoon. Two of those retweets were from Vance who along with other Republican influencers, spread rumors earlier this week about Haitian migrants in Springfield eating pets and Donald Trump Jr. "Kamala Harris and her media apparatchiks should be ashamed of themselves. Another 'debunked' story that turned out to have merit," Vance posted. In the caption of Rufo's post, he claims the video is proof of migrants eating cats in Ohio. EXCLUSIVE: We have discovered that migrants are, in fact, eating cats in Ohio. We have verified, with multiple witnesses and visual cross-references, that African migrants in Dayton, the next city over from Springfield, barbecued these cats last summer.https://t.co/8QveTJy4Vp pic.twitter.com/PxuJQ7fJc9 Christopher F. Rufo (@realchrisrufo) September 14, 2024 "We have discovered that migrants are, in fact, eating cats in Ohio," the caption reads. "We have verified, with multiple witnesses and visual cross-references, that African migrants in Dayton, the next city over from Springfield, barbecued these cats last summer." However, Dayton Mayor Jeffrey Mims said in a statement Saturday afternoon that he refutes the rumors of Dayton's immigrant and refugee population eating pets and called the claims "baseless." "This claim is totally false and dangerously irresponsible of politicians aiming to sow division and fear. There has been absolutely zero reports of this type of activity and spreading such misinformation undermines our status as a welcoming city," Mims said in his statement. "The city of Dayton stands by its immigrant and refugee community and we value all of their contributions to our city's vibrant culture and economy. We will remain committed to creating an inclusive environment for all Dayton residents." Vice presidential candidate and Ohio Sen. JD Vance shared a viral X post from Christopher F. Rufo, who claims a video shows migrants in Dayton cooking cats on a grill. City of Dayton officials have come out and refuted the claims in the post. Dayton Police Chief Kamran Afzal also released a statement Saturday afternoon, saying the department "strongly refutes the irresponsible information being put out on social media or other avenues about our immigrant community." "We stand by our immigrant community and there is no evidence to even remotely suggest that any group, including our immigrant community, is engaged in eating pets," Afzal said in the statement. "Seeing politicians or other individuals use outlandish information to appeal to their constituents is disheartening." Dayton police and city officials did not immediately respond to requests for further comment. Dayton is the second Ohio city to be at the center of pet-eating rumors. Earlier this week, rumors about Springfield, a town of about 58,000, and its growing Haitian population have dominated the internet and national news. The rumors alleged that Haitian migrants in Springfield were abducting and eating pets, as well as waterfowl from local parks. Those rumors rapidly spread on social media and were even mentioned by former President Donald Trump during his debate with Vice President Kamala Harris on Tuesday. Co-owner of Champion City Cuts, Rufus Humphrey, left, and Joe Ruck, right, talk about the influx of Haitians into the community, Tuesday, Sept. 10, 2024. The business is in the strip mall on the west side of the city, they say is known as Little Haiti. Fact check: Ohio woman accused of eating cat is from Canton, not from Springfield "In Springfield, they are eating the dogs, the people that came in, they're eating the cats. They're eating the pets of the people that live there," Trump said during the debate. However, Springfield police and city officials and the Ohio Department of Natural Resources all said there is no evidence of pets, ducks or geese being eaten. "In response to recent rumors alleging criminal activity by the immigrant population in our city, we wish to clarify that there have been no credible reports or specific claims of pets being harmed, injured or abused by individuals within the immigrant community," Springfield City Manager Bryan Heck said in a previous statement. Despite city officials debunking the rumors, Rufo said in a post Wednesday that he is offering a monetary reward to anyone that can provide him with evidence of migrants eating cats in Springfield. "I will provide a $5,000 bounty to anyone who can provide my team with hard, verifiable evidence that Haitian migrants are eating cats in Springfield, Ohio. Deadline is Sunday. Go." Rufo said in the post, which has about 23,000 likes and 3,300 retweets as of Saturday afternoon. This article originally appeared on Cincinnati Enquirer: Dayton officials debunk rumors of migrants grilling, eating pets Even if it's not a huge purchase, we think it was good to see that Stephan Nanninga, the Independent Member of Supervisory Board of Cabka N.V. (AMS:CABKA) recently shelled out 46k to buy stock, at 3.08 per share. Although the purchase is not a big one, increasing their shareholding by only 3.1%, it can be interpreted as a good sign. See our latest analysis for Cabka Cabka Insider Transactions Over The Last Year Notably, that recent purchase by Independent Member of Supervisory Board Stephan Nanninga was not the only time they bought Cabka shares this year. They previously made an even bigger purchase of 57k worth of shares at a price of 5.34 per share. So it's clear an insider wanted to buy, even at a higher price than the current share price (being 3.04). While their view may have changed since the purchase was made, this does at least suggest they have had confidence in the company's future. To us, it's very important to consider the price insiders pay for shares. As a general rule, we feel more positive about a stock if insiders have bought shares at above current prices, because that suggests they viewed the stock as good value, even at a higher price. Cabka insiders may have bought shares in the last year, but they didn't sell any. They paid about 3.83 on average. This is nice to see since it implies that insiders might see value around current prices. You can see the insider transactions (by companies and individuals) over the last year depicted in the chart below. If you click on the chart, you can see all the individual transactions, including the share price, individual, and the date! There are plenty of other companies that have insiders buying up shares. You probably do not want to miss this free list of undervalued small cap companies that insiders are buying. Does Cabka Boast High Insider Ownership? Looking at the total insider shareholdings in a company can help to inform your view of whether they are well aligned with common shareholders. A high insider ownership often makes company leadership more mindful of shareholder interests. Cabka insiders own 53% of the company, currently worth about 40m based on the recent share price. I like to see this level of insider ownership, because it increases the chances that management are thinking about the best interests of shareholders. So What Does This Data Suggest About Cabka Insiders? It's certainly positive to see the recent insider purchases. And an analysis of the transactions over the last year also gives us confidence. But on the other hand, the company made a loss during the last year, which makes us a little cautious. When combined with notable insider ownership, these factors suggest Cabka insiders are well aligned, and quite possibly think the share price is too low. That's what I like to see! So while it's helpful to know what insiders are doing in terms of buying or selling, it's also helpful to know the risks that a particular company is facing. To that end, you should learn about the 4 warning signs we've spotted with Cabka (including 1 which doesn't sit too well with us). Story continues If you would prefer to check out another company -- one with potentially superior financials -- then do not miss this free list of interesting companies, that have HIGH return on equity and low debt. For the purposes of this article, insiders are those individuals who report their transactions to the relevant regulatory body. We currently account for open market transactions and private dispositions of direct interests only, but not derivative transactions or indirect interests. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. Jones County student arrested after allegedly threatening to shoot up school, police say A female Jones County student was arrested Thursday after allegedly threatening to shoot up a school, police said. The 15-year-old threatened to shoot up Jones County High School if a boy rejected her, the Jones County Sheriffs Office confirmed in an online post. The student was detained and transported to the Macon Regional Youth Detention Center, where she is being held pending a juvenile court hearing. Local police and school resource officers identified the student after spending hours Thursday night investigating and interviewing other students, police added. Authorities said they received multiple reports of school threats this week but determined that they were not credible to the countys schools. The Jones County Sheriffs Office said it takes any threat to school safety seriously and will thoroughly investigate. We hope that students will realize school shootings are not something to joke about or take lightly. Parents, please talk with your children about the seriousness of making threats and spreading rumors online, the office said in a social media post. The incident follows a wave of online rumors circulating across Middle Georgia school districts and the Apalachee shooting in Barrow County. Josh Harder vs. Kevin Lincoln for Congress: What to know about this close California race Nonpartisan election analysts are tracking the race for Stocktons congressional district as one that could be close on November 5. Nonpartisan analysts including The Cook Political Report, Inside Elections and Sabatos Crystal Ball rate that Californias 9th Congressional District will likely, but not definitely, pick incumbent Rep. Josh Harder, D-Tracy, over Stockton Mayor Kevin Lincoln, a Republican. The 9th Congressional District, which centers around Stockton, holds most of San Joaquin County and reaches from Galt through Manteca. There are more Democrats registered than Republicans. Stay Informed On Election News Sign up here to get our Election 2024 newsletter, with local, state and national political news and analysis sent right to your inbox. Over the last year, The Bee has spoken to analysts across these organizations. Theyve cited national Republican enthusiasm to flip this seat and Lincolns candidacy as an upside for the GOP on this seat. They noted Harders decisive 2022 win and the districts left-leaning makeup favor Democrats. While its rated as likely Democratic, analysts are watching to see whether it will become closer as November approaches. Thats one that I think Republicans are really bullish on, and I can definitely see that becoming more competitive, Erin Covey, a U.S. House analyst and editor at The Cook Political Report, said in an August interview. Ten California House races are on analysts watch lists, including the one for the 9th. California congressional contests will help decide whether Democrats or Republicans control the House of Representatives in 2025. Several of the states races are high priorities for national Democrats and Republicans in November. There are 220 Republicans, 211 Democrats and four vacancies in the House. Three of those empty seats were held by Democrats, one by a Republican. The number for control of the chamber is 218, meaning Democrats need to flip four seats nationwide to win control of the House. Josh Harder Harder, 38, has represented the Central Valley in Congress since 2019. He entered the House by ousting a Republican congressman from a district containing Harders hometown of Turlock. Harder retained his seat in the 2020 election. Following 2020 redistricting, the once-a-decade redrawing of legislative maps based on census data, Harders old district was chopped up. A significant chunk moved into a district with Stockton. When longtime Stockton Rep. Jerry McNerney, a Democrat, said he was not going to run for Congress again, Harder announced he would run in the newly-drawn seat. He won by almost 10 percentage points against San Joaquin County Supervisor Tom Patti, a Republican. Harder worked in venture capital until 2017. He left during his first congressional bid and taught at Modesto Junior College. In Congress, he sits on the powerful House Appropriations Committee, which writes government spending bills. Harder said in an interview that cost of living, water access and reproductive health care were driving constituent conversations. He thinks of his two young daughters when he thinks about the rise of laws restricting abortion access and the overruling of Roe v. Wade. Harder said Roe v. Wades federal protections should be returned. Following the Supreme Courts 1973 decision in Roe v. Wade, a person nationwide legally could seek an abortion until the point of fetal viability, which is generally regarded as around 24 weeks of pregnancy. The Supreme Court overturned the near-50-year precedent in 2022. I want my daughters, who will be six-generation residents of San Joaquin County and the Valley, to be able to have a home, Harder said, to be able to have the same way of life thats been passed down generation after generation, and to be able to have the same rights that have been enshrined into our law that are being undermined right now. Kevin Lincoln Lincoln, 43, has been mayor of Stockton since 2021, running for and entering public office for the first time amid the coronavirus pandemic that forced lockdowns throughout the U.S. Cost of living, crime and combating the effects of fentanyl were top-of-mind issues in many of his recent conversations with constituents, he said in an interview. Prior to becoming mayor, Lincoln served in the U.S. Marine Corps and on Marine One during President George W. Bushs administration. After moving back to California to start his family, Lincoln was a district manager for a private security company and an executive administrator for his local church. Lincolns own family has struggled with the effects of addiction, crime and homelessness. He recalled as a teenager a homeless man asking him for money, only to find out the person was his biological father. Lincoln hadnt seen him in a few years. Throughout his childhood, his father had been in and out of jail. There were people in my life here in Stockton that helped me and my mom and my family out in times of need, Lincoln said. And so Id always had a call to service. I always felt the desire to give back where I could. Fundraising Harder has had the fundraising advantage. While campaign finances are far from the only factor in determining an election, cash on hand lets candidates spend more on advertisements, events and other supplies necessary to a campaign. Its also good to reserve money in case of unexpected issues. Campaign funds come from a wide range of sources, including those not specified in the candidates official report, from individual donors, political action committees, other lawmakers and joint-fundraising groups. Harders campaign committee raised almost $730,000 from the beginning of April to end of June, according to the most recent Federal Election Commission reports, which became available in July. He had more than $3.3 million available. Lincolns raised almost $338,000 in the same time period. He reported over $620,000 on hand. Previously, analysts had said that President Joe Biden running for re-election might have had a negative impact on vulnerable Democratic incumbents such as Harder, particularly due to the nationalization of local races and the decline of split-ticket voting, where someone picks candidates for separate offices from a different parties on the same ballot. With Vice President Kamala Harris at the top of the ticket, Bidens drag is not a factor. Rather, deputy editor of Inside Elections Jacob Rubashkin said in August, Harris ability to keep up the enthusiasm and keep up her ability to win over moderates and Independents is going to be crucial for these downballot candidates. First Judicial District Court Judge Matthew Wilson listens to arguments on Thursday, Sept. 12, 2024 in his Santa Fe courtroom. (Photo by Shaun Griswold / Source NM) A ruling is expected later this fall in the trial over a controversial monument in New Mexicos capital city that ended this week. Closing arguments came on Friday morning from the lawyers who represent Union Protectiva de Santa Fe, a historical Spanish colonial group that sued the city after the monuments and others were removed from public spaces. Union Protectiva and lawyers from the city of Santa Fe must turn in written factual findings and legal arguments by Sept. 27, First Judicial District Court Judge Matthew Wilson said. Wilson said he will then issue a written ruling in due time. If Wilson rules in favor of the city of Santa Fe, then the Union Protectiva is likely to ask an appeals court to review the ruling. What follows is a summary of both sides closing arguments this week. State law requires protection of historic sites Kenneth Stalter said the New Mexico Prehistoric and Historic Sites Preservation Act prohibits the city of Santa Fe from spending public money on altering the Plaza, unless theres no alternative. He argued on behalf of his clients with Union Protectiva that the project must include all possible planning to preserve, protect and minimize harm to the site. He said Santa Fe Mayor Alan Webbers June 2020 emergency order counts as an action, and therefore a project, supported by public money under state regulation. He pointed to Webbers testimony that he didnt do any planning before issuing the emergency proclamation, and didnt consult with the State Historic Preservation Office. Stalter said the box placed over the base of the obelisk, the sign attached to the box and the removal of a plaque first installed in 1973 all count as projects because they were paid for with public money. Stanley Harris, the attorney for the Santa Fe City Council, said there is no program or project about the Soldiers Monument requiring use of the historic Plaza or the historic district that surrounds it, because the city government has not made a final decision on the matter. Back to square one Stalter said the citys changes to the obelisk after protesters damaged it counts as a physical and visual element under the State Historic Preservation Office regulation. Hes asking the court to force the city government to repair and restore it back to the way it was before in the Plaza. Harris said the plaintiffs havent proposed an alternative with any basis in sound engineering, telling the judge that Union Protectiva has no idea and has not shown that such repair and restoration is in any way feasible, prudent or even physically possible. Box and sign had no adverse effect on Plaza, city says Stalter said state law includes a definition of an adverse effect as the introduction of physical, audible, visual or atmospheric elements that substantially impair the historic character or significance of the site, or substantially diminish the aesthetic value of the site. He said the testimony and evidence show the Soldiers Monument has been the Plazas central feature since the late 19th century. I dont think anyone would dispute that physical and visual elements have been introduced, Stalter said while displaying to the courtroom two photos of the obelisk before and after its destruction by protesters and alteration by city officials. Harris said whats important is the use itself is the adverse effect on the site, which is not the case here. Theres no testimony or evidence that (the box) was anything except protective, thats what it was doing, he said. It was not a program or project that has an adverse effect on the Plaza. How courts have interpreted the law Harris said the only time New Mexico courts have interpreted the law at issue is called National Trust for Historic Preservation v. City of Albuquerque. Stalter said the ruling in that case allows someone to sue when their use or enjoyment of a historic site is threatened. He pointed to Vigils testimony about how the destruction of the obelisk affected his and his organizations use and enjoyment of the Plaza. Harris said its the plaintiffs burden not the defendants to prove there was an alternative design for the project which wouldnt cause substantially equal damage to the site. He said even if the plaintiffs had proven that, the project can still be legal if the less-damaging alternative is infeasible or imprudent. Stalter said National Trust came up through the courts in a very different procedural history than this case. He said in that case, the city of Albuquerque had participated in the regulatory process with the State Historic Preservation office, where alternatives to the governments proposal were identified and debated. None of that has been done here, Stalter said. What the judge should look at for historical significance Harris said the changes made to the obelisk after its destruction did not affect the Plazas listing on the National Register of Historic Places because the obelisk isnt mentioned in the part of the listing where a federal official called the keeper approves the sites historical significance. He pointed to Kimberly Parkers expert testimony that the reason any property is listed on the National Register is for its significance, and the Soldiers Monument is not part of the significance of the Plaza being listed. Harris said the description part of the form also includes other things like benches, concrete sidewalks and large Cottonwood trees. That doesnt make them part of the Plazas historic significance, he said. Stalter said state law doesnt mention the nomination form, and the National Park Service was using those forms before the New Mexico Legislature passed the law in 1989. If the Legislature had wanted to hang everything on the nomination forms, they very clearly could have used that and state it in this Act, he said. Theyre putting words into this that are not in this section. He pointed to Parkers testimony that even if the nomination is important, the form as a whole, including the description section, identifies the Soldiers Monument. He said the judge isnt constrained to just looking at the forms contents, because theres nothing in state law or regulation saying so. He suggested the judge look at other evidence like expert testimony or the 2005 Cultural Landscape Report. Were not saying just because benches or concrete sidewalks or Cottonwood trees are mentioned, that anything to do with them affects the historic character, Stalter said. What were saying is that defines what the property is evaluate the historic character of these features. Was Union Protectiva harmed? Stalter said under National Trust, an organization has grounds to sue under the state Prehistoric and Historic Sites Preservation Act when it has members who use, enjoy or benefit from the site. That time can never be brought back, he said on behalf of his clients who have lived for more than three years without the monument in place at the Plaza. Harris said plaintiffs dont have standing, but for a different reason: National Trust says standing is denied if the case will undermine the effective functioning of the Act or interfere with its administration. Because theres no violation of the [Prehistoric and Historic Sites Preservation Act], plaintiff cant show an injury or hardship from any action taken by defendants, Harris said. Stalter said asking for enforcement of the law ultimately in no way undermines or interferes with the statute and regulations. SUPPORT: YOU MAKE OUR WORK POSSIBLE SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Judge says Ashli Babbitt familys suit over Jan. 6 death must go to trial before end of 2025 A wrongful death lawsuit filed by Jan. 6 rioter Ashli Babbitts family against the U.S. government must go to trial before the end of 2025, a judge ruled Friday. In a terse order, U.S. District Judge Ana Reyes said that a multiyear pretrial schedule proposed by Babbitts family and the government in the $30 million suit is unacceptable. The parties are directed to meet and confer on a schedule that puts trial, at the latest, in December 2025, Reyes said. Babbitt was shot and killed by law enforcement during the Jan. 6, 2021, Capitol attack as she attempted to climb through a barricaded door near the House chamber. The shooting was captured on video, and Babbitt has since been portrayed by the political right as a martyr who was unjustly killed. The lawsuit for wrongful death and assault and battery was filed this January by conservative legal group Judicial Watch on behalf of Babbitts estate and her husband, Aaron Babbitt. In court filings Friday, lawyers for Babbitts family and the government jointly proposed a pretrial schedule that would stretch into 2027 before heading to trial around October or November of that year. The parties proposal suggested that discovery would last eight months, beginning in January 2026 with a deadline for completion by Sept. 21 that year. Though they agreed on the timeline, they disagreed on how many discovery requests and depositions should be allowed as part of that process. Babbitts lawyers suggested that 100 interrogatories, 50 fact depositions and unlimited requests for production of documents and admission should be allowed due to the chaos of the scene where Babbitt was killed and the investigation that followed. They contended that scores of rioters and law enforcement officers, plus some House members and staff, were present in the space where Babbitt was shot, and many other witnesses became involved during the aftermath, from paramedics to the officials who investigated the shooting. Plaintiffs requested increases also seek to prevent the use of limits on discovery to shield the facts and avoid or limit liability for the governments singularly most embarrassing event on January 6 the officer shooting and killing of Ashli Babbitt, Babbitts lawyers wrote in the filing. The government instead suggested that 25 interrogatories and 25 fact depositions should be allowed, in addition to 50 requests for admission and 25 requests for the production of documents. The above limitations reflect Defendants view that this case focuses on the shooting of Ashli Babbitt, a circumscribed event confined to a narrow time period, the government wrote. Defendants requested limitations to document discovery also seek to prevent use of this litigation to launch a sweeping inquiry into January 6 that far exceeds the scope of permissible discovery and the claims presented in this lawsuit, their proposal said. Babbitt was one of four people killed in the mob of pro-Trump rioters who stormed the Capitol as the certification of the 2020 presidential election was ongoing, though two of the others died of natural causes and the third died of an accidental overdose, according to The New York Times. Several police officers also died in the days and weeks after the riot. The Babbitt familys lawsuit alleges that U.S. Capitol Police Lt. Michael Byrd was negligent when he fired at Babbitt that day, contending he did not identify as an officer and failed to provide her with any warnings or commands before shooting. The lawsuit also purports Babbitt posed no threat to the safety of anyone. The facts speak truth, the lawsuit reads. Ashli was ambushed when she was shot by Lt. Byrd. An internal investigation by the U.S. Capitol Police found that Byrd acted lawfully and within department policy and would face no discipline. It also determined that Byrds actions had potentially saved members and staff from serious injury and possible death. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. 'We just ran out of time': Boeing Starliner astronauts on why their spaceship returned to Earth without them When you buy through links on our articles, Future and its syndication partners may earn a commission. NASA astronauts Suni Williams (left) and Butch Wilmore, who flew to the International Space Station on Boeing's Starliner capsule in June 2024, discuss their mission during a press conference from the ISS on Sept. 13. | Credit: NASA Boeing's Starliner capsule might have been able to finish its mission as planned if time had been on its side. Starliner launched June 5 on its first-ever crewed flight, a trial run that sent NASA astronauts Suni Williams and Butch Wilmore to the International Space Station (ISS). The duo were supposed to live on the orbiting lab for just a week or so, but NASA extended their stay to about three months while studying thruster issues that cropped up during Starliner's rendezvous with the ISS. Ultimately, the agency concluded that bringing Williams and Wilmore home on Starliner was just too risky, so the capsule returned to Earth uncrewed on Sept. 6; its former crew will come home next February on a SpaceX Crew Dragon capsule. But that decision was made under some time pressure, Wilmore said, noting that 12 astronauts are currently living and working on the ISS. Build Boeing's Starliner! Boeing Starliner model from Metal Earth You can build your own Boeing Starliner space capsule with this Metal Earth Boeing CST-100 Starliner 3D Metal Model Kit, available for $10.95 at Amazon. Seven of those 12 are NASA astronauts; the other five work for Russia's space agency, Roscosmos. Six of the Americans, including Williams and Wilmore, have been on the station since June or earlier, presenting a challenge for the NASA ISS team. (The seventh NASA spaceflyer, Don Pettit, arrived at the station with two Russian colleagues on Sept. 11.) "To staff the space station with six [NASA] people we've done it. We've done it well, I think, over the past couple of months. But it's not prepared for that long-term. And so we had to make some decisions on a timeline," Wilmore said during a call with reporters that he and Williams held from the ISS today (Sept. 13). "The timeline came to the point where we had to decide, is Starliner coming back with us or without us?" he added. "And we just did not have enough time to get to the end of that runway where we could say that we were going to come back with it. I think we'd have gotten there, but we just ran out of time." Related: Astronauts would have been fine on Boeing's Starliner during landing, NASA says Wilmore said that he and Williams were involved in the discussions that Boeing and NASA held about the thruster issues and Starliner's homecoming plans. "I was really impressed," Williams said of those meetings. "There were a lot of opinions. There was a lot of data coming in at different times, and a lot of people trying to digest that data and understand it and take it forward." a cone-shaped spacecraft docked to a multi-cylinder space station RELATED STORIES: Boeing Starliner capsule lands back on Earth, without astronauts, to end troubled test flight (video) Boeing Starliner astronauts will return home on a SpaceX Dragon in 2025, NASA confirms Starliner: Boeing's next-generation spaceship for astronauts Williams and Wilmore said that they aren't disappointed to be spending all that extra time in space. Both astronauts stressed that the Starliner mission was a test flight, so some issues were to be expected and dealing with the unexpected is a big part of being a NASA astronaut (as well as a Navy test pilot, which both Williams and Wilmore were as well). The two spaceflyers said they'll miss their families and friends during their extended orbital stay, but both accentuated the positive. Williams, for example, described space as her "happy place." And she highlighted the benefits of getting a different ride back to Earth. "We're excited to fly in two different spacecraft. I mean, we're testers; that's what we do," Williams said. "We wanted to take Starliner to the completion and land it back on land at home. But, you know, you have to turn the page and look at the next opportunity," she added. "We'll come back with some evaluation of both spacecraft, and I think we're pretty fortunate for that." MADISON Vice President Kamala Harris will return to Wisconsin on Friday as part of a swing through "blue wall" battleground states including Pennsylvania and Michigan. The campaign on Saturday confirmed the visit but did not provide any additional details. The Democratic presidential candidate will participate in a "fireside chat" hosted by the National Association of Black Journalists in Philadelphia on Tuesday, followed by a "Unite for America" livestream event with Oprah Winfrey in Michigan on Thursday and the Wisconsin event on Friday. The latest swing follows the campaign's "New Way Forward" tour and the only scheduled debate between Harris and Republican former President Donald Trump. The campaign is set to host events "in every media market in every key battleground state in just four days." Republican vice presidential candidate JD Vance is also scheduled to return to Wisconsin next week, with a Tuesday campaign stop in Eau Claire. It will be the Ohio U.S. senator's second stop in Eau Claire and fifth trip to the state since former Trump tapped him to be his running mate in July during the Republican National Convention in Milwaukee. Harris' running mate, Minnesota Gov. Tim Walz, campaigned in Wausau on Friday and was to hold a rally in Superior on Saturday. A new Marquette University Law School poll released Wednesday showed Harris with a four-point lead over Trump. It also showed Harris leading for the first time among the most enthusiastic voters, while Trump held that advantage when President Joe Biden was still in the race and during the early days of Harris' own campaign. In the poll, Walz was the only name at the top of the ticket including third-party candidates with a net positive favorability rating, meaning more people view him favorably than unfavorably. Harris and Trump both have net negative favorability. Harris' last Wisconsin rally was at Milwaukee's Fiserv Forum on Aug. 20, appearing along with Walz during the second night of the Democratic National Convention. Jessie Opoien can be reached at jessie.opoien@jrn.com. This article originally appeared on Milwaukee Journal Sentinel: Kamala Harris to make 'blue wall' campaign stop in Wisconsin next week Kamala Harris just gave her first solo interview as the presidential nominee. Heres what we learned Vice President Kamala Harris gave her first solo interview since she was selected as the Democratic nominee for president, pitching herself as a new generation of leadership. In the exclusive interview on Friday, Harris sat down with 6ABC during her campaigning in the swing state of Pennsylvania where she discussed the economy, gun control, and reaching undecided voters. Harris told Action News Brian Taff that she has a plan for bringing down prices for Americans and making life more affordable starting with helping small businesses and first-time homebuyers. When I talk about building an opportunity economy, it is very much with the mind of investing in the ambitions and aspirations and the incredible work ethic of the American people, and creating opportunity for people, for example, to start a small business, she said. So my opportunity economy plan includes giving startups a $50,000 tax deduction to start their small business. It used to be $5,000. Nobody can start a small business with $5,000. Harris gave a solo interview on Friday night in the swing state of Pennsylvania (6abc) Harris also explained her plan to bring back the American Dream by giving first-time homebuyers a $25,000 down payment. Opportunity economy means, look, we dont have enough housing in America. We have a housing supply shortage, and what that means, in particular, for so many younger Americans, the American Dream is elusive, its just actually not attainable, she said. To help people who just want to get their foot in the door, literally, and so giving first-time homebuyers a $25,000 down payment assistance. Fridays interview also touched on a major issue in the election gun control. When asked where she draws the line in America on gun ownership and gun use, Harris insisted that she is not taking anyones guns away, but that there should be a ban on assault weapons and universal background checks. I am a gun owner and Tim Walz, my running mate, is also a gun owner. Were not taking anyones guns away. I support the Second Amendment and I support reasonable gun safety laws, Harris said. I feel very strongly that it is consistent with the Second Amendment and your right to own a gun to also say we need an assault weapons ban. Theyre literally tools of war. Harris continued with one of her solutions: I say we need universal background checks. The majority of NRA members support that. Why? Its just reasonable. You just might want to know. In less than 53 days, Harris will go head-to-head with Donald Trump as Americans cast their votes in the 2024 election. Donald Trump and VP Kamala Harris on the debate stage on Tuesday (Copyright 2024 The Associated Press. All rights reserved.) When asked how she differed from Biden, Harris repeated a line from the debate, saying she is obviously not Joe Biden. She added that she would offer a new generation of leadership. Harris also touched on how she plans to reach undecided voters. I, based on experience, and a lived experience, know in my heart, I know in my soul, I know, that the vast majority of us as Americans have so much more in common than what separates us, she said. Harris then took a swing at Trump, explaining why voters would prefer her over her rival. And I also believe that I am accurate in knowing that most Americans want a leader that brings us together as Americans and not someone who professes to be a leader who is trying to have us point our fingers at each other. Trump posted about Harriss interview on his social media platform Truth Social on Saturday morning, writing that it was a world salad, a real mess! (Truth Social) Trump posted about Harriss interview on his social media platform Truth Social on Saturday morning, writing that it was a world salad, a real mess! Fresh polls show that Harris has seen a boost among voters, after the candidates faced off in the presidential debate in Philadelphia, the first since Biden stepped down from the Democratic ticket in July. The former president has since said he will not debate her again, claiming he was the winner. Kamala Harris needs Latinos to win these swing states. They say she has more to do ALLENTOWN, Pa. Latino voters in 2020 were part of the Democrats mainstay coalition that catapulted Joe Biden to the White House. But many say they have not heard this year from either major partys presidential candidates. Vice President Kamala Harris has a little more than seven weeks to turn the tide in her favor in battleground states such as Pennsylvania, Nevada and Arizona following her abbreviated campaign for president, and to do so she'll need more Latino votes than shes currently getting. Pennsylvania has seen a fast-growing Latino population in the state, where white and blue collar workers are shrinking in key Democratic strongholds like the Lehigh Valley region, which is home to Allentown, the state's third largest city. The same is true of Milwaukee in Wisconsin and Detroit in Michigan. Taken together, these small pockets of voters could be decisive in pushing Harris across the victory line. Latino voters, we crave engagement, said Allentown Mayor Matt Tuerk, a strong Harris supporter who is Cuban American and was elected the citys first Latino mayor in 2022. There's some amount of attention given to Latino voters but we always appreciate more, Tuerk added. When I talk to the campaign, I'm always pushing hard to say, Hey, better Latino engagement. Better Latino engagement. Yet, more than half of Latino voters nationwide (55%) said they havent been contacted by either Harris or former President Donald Trump, according to a recent UnidosUS poll. Harris' campaign has held fewer events focused on Latino voter outreach, even as it has blasted a $3 million investment into Spanish-language radio ads and started a WhatsApp channel. Especially within the Latino community, those voters on the margins can get often left out of traditional campaigns, said Melissa Morales, president and founder of Somos PAC, a liberal Latino advocacy organization working to turn out first-time and disaffected Latino voters. That happens, Morales said, either because its too expensive or it's too hard to reach them. 2024 Campaign: Why HHS secretary thinks Latino support for Kamala Harris will grow In Pennsylvania, where there are roughly 600,000 Latino voters, 49% said they have not been contacted, according to UnidosUS. Whats more, Latino voters say they want to see better outreach year-round, not just during the run-up to the election. My whole thing here is, how do you pick the people up that's been kicked so long? And how do you get the Latino voters? And how do you make us feel it? said Jose Rivera, 53, a self-described independent of Puerto Rican heritage, who attended a Harris campaign watch party Tuesday in Allentown at the invitation of a friend. Instead of just coming around during the election. Its like, don't knock on my door just because it's election season, he said. You should be knocking on my door to find out what they need. Harris lags with Hispanic voters With roughly 50 days until the election, Harris campaign says its ramping up its outreach to Latino voters. To do so, it is beefing up its staff adding four Hispanic consultants to the campaign on Friday and fanning out top surrogates to key battleground states to drum up Latino support. The campaign laid out its strategy Saturday morning, timed to the start of Hispanic Heritage Month. It includes top surrogates stopping by Saturday night's fight between world champion boxer Canelo Alvarez and Edgar Berlanga and organizing around Mexican Independence Day which is Sept. 16 to host events at churches, celebration events and parades in cities like Phoenix and Raleigh, N.C. The campaign also is bolstering its presence at community and sporting events in the Blue Wall state of Michigan, including a canvass launch and a Hispanic Heritage tailgate at a Detroit Tigers game. Harris is also slated to deliver remarks at the Congressional Hispanic Caucus Institutes annual conference on Wednesday, where she will speak about her agenda to boost middle-class Latino families. Harris-Walz Campaign Manager Julie Chavez Rodriguez said Hispanic Heritage Month is an important moment for the campaign to leverage efforts to reach out to Latino voters about the stakes of this election, how crucial their vote will be in deciding this race, and defeating Trump and his anti-Latino agenda. Building on our historic efforts to break through and earn the support of Latino voters everywhere, this Hispanic Heritage Month will be a key part of our aggressive campaign efforts to make our case to voters about Vice President Harris, who has spent her entire career fighting for Latino families, and as president, will always focus on the issues that our community cares most deeply about, Chavez Rodriguez said. Ahead of the push, second gentleman Doug Emhoff addressed a Latino voter event hosted by his wifes campaign last Saturday in Allentown. New Mexico Gov. Michelle Lujan Grisham spoke at an event on Monday in Woodbridge, Virginia an area with a large Central American population in a state that Trump's team still insists will be competitive but is seen among the Democrats as no longer in play. Chavez Rodriguez, the granddaughter of American labor leader Cesar Chavez, led a virtual call with United Farm Workers over Labor Day weekend. Civil rights icon Dolores Huerta endorsed Harris in Phoenix, Arizona, in July. Of the four total interviews the Democratic presidential candidate has given, one was with a Latino-focused radio station in Arizona. By any metric, though, Harris is playing catch up. President Joe Bidens support among Latino voters had seen a steep drop off before he handed Harris the keys to the campaign. Harris is running 10 points behind 2020 exit polls with Latino voters in a national New York Times/Siena poll. She was winning 55% of the Hispanic vote to Trumps 41%. Four years ago, Biden won 65% of Hispanic voters to Trumps 32%, according to CNNs exit polling. Trump has made steady gains with Hispanic voters, primarily among those without a college degree, a Pew Research study said. Analysts have said Harris will need two-thirds of Latino voters to win. Her biggest opportunity is with voters who have sat out previous election cycles. Harris has room to grow on Bidens margins with new Hispanic voters and those who did not cast a ballot in the last election: Hispanic adults made up 10% of the voting electorate, but accounted for 20% of nonvoting citizens in the last election, Pew said. A separate Pew study found an estimated 36.2 million Hispanics are eligible to vote in 2024 compared with 32.3 million in 2020, an increase of nearly 4 million potential Hispanic voters nationwide. The push to secure Latino votes The Harris campaign is going on offense as Election Day gets closer. Congressional Hispanic Caucus Chair Nanette Barragan said shes been to Allentown, Las Vegas, Atlanta and Minneapolis to campaign for Harris in the last several weeks. Harris campaign also wants her to visit Reno and Detroit. Harris team has very much increased the requests for members of the Hispanic caucus more so than than the Biden folks had, Barragan, D-Calif., said. They keep asking the members, are you available this weekend? Can you go now? Can you go tomorrow? she said. The same day Harris hit the trail in Pennsylvania on Friday, her campaign launched a new ad to hit the airwaves: an endorsement from Puerto Rican Lehigh Valley host of La Mega Radio, Victor Martinez. The ad will air on TV and radio in Spanish in the Philadelphia, Allentown, and Reading media markets. That ad will also run digitally in Spanish and English. Last month, the campaign launched their first Spanish ad a $775,000 ad buy that played in Spanish stations in Arizona, Nevada, Pennsylvania and Wisconsin. Overall, the campaign has released four ads targeting Latino voters since Harris became the Democratic candidate. U.S. Vice President and Democratic presidential candidate Kamala Harris, Democratic vice presidential candidate, Minnesota Governor Tim Walz and U.S. representative Ruben Gallego (D-AZ) visit Cocina Adamex in Phoenix, Arizona, U.S., August 9, 2024. Harris also held a rally in Phoenix after announcing Minnesota Gov. Tim Walz as her running mate where the two dropped into a Mexican restaurant and met with the owners and some supporters. Earlier this month, the campaign put on a press conference with local elected officials and small business owners in Reno that was intended to bring attention to Harris proposed $50,000 tax break for startups. But the pressure on the Harris campaign is coming down to the wire. In key battlegrounds like Pennsylvania, the Trump campaign believes it can compete for Latino supporters by focusing on their economic anxieties. Trump also secured the endorsement of Puerto Rican reggaeton star Anuel AA a move to appeal to young Latino men, who lean more conservative. I see the problem that we've been facing right now with the economy and everything, and I think he might do better work, said Mario Peralta, an artist in Allentown who supports Trump. The most important issue for me is the cost of life. The new ad by the Harris campaign is an effort to alleviate some of those concerns saying that Harris will take on the companies that are causing high prices. Trump has a long history of anti-immigrant rhetoric and hardline immigration policies as president. On the campaign trail, Trump has warned his base that immigrants are "poisoning the blood" of the nation and has promised a mass deportation of undocumented immigrants. But Peralta, a 54-year-old Dominican immigrant who has lived in Allentown for 20 years, said Trumps rhetoric does not concern him. There are borders, and there is law you have to respect, Peralta said. If youre not respecting that, then you should be punished. If you want to come to this country, you should come through the right way. Lujan Grisham, one the highest ranking Latino officials in the country, said Harris is introducing herself to voters highlighting her immigrant background while also contrasting herself with Trumps extreme rhetoric. You have to do both, Lujan Grisham said, adding that Harris needs to make sure that Trump isnt defining her, before adding: People want to know people's personal stories. I want to know who you are. I'm interested in that. Still, Harris' last-minute campaign for the presidency is trying to find ways to make up ground with Latino voters. I hope they do, Lujan Grisham said of zeroing in on Latinos in battleground states. Campaigns wait too long to talk to Hispanic voters. I dont know what it is, every candidate. I don't believe that they are ignoring this strategy. This article originally appeared on USA TODAY: Why Kamala Harris needs Latino voters to win the 2024 election WILKES BARRE, Penn. Vice President Kamala Harris said she will cut college degree requirements for certain federal jobs if elected president as the Democratic presidential candidate and her Republican rival woo veters with economic pledges. Harris and Republican former President Donald Trump are in a tight race for the Nov. 5 U.S. elections. Harris has previously said she will aim to pass a middle class tax cut, while Trump has advocated for cutting taxes on overtime pay. Both candidates have supported eliminating taxes on tips. "As president, I will get rid of the unnecessary degree requirements for federal jobs to increase jobs for folks without a four-year degree," Harris on Friday in her speech in Wilkes-Barre, Pennsylvania. More than 62% of Americans age 25 or older did not hold a bachelor's degree, according to data released by the U.S. Census Bureau in early 2023. Americans without college degrees made up three out of five voters in 2020. More: Inside Trump's and Harris' starkly different visions for the economy Democratic presidential nominee and Vice President Kamala Harris gestures as she speaks during a campaign event in Wilkes-Barre, Pennsylvania, September 13, 2024. The Democratic presidential candidate said on Friday the U.S. should recognize the value of paths to success beyond a college degree, like apprenticeships and technical programs. A degree does not necessarily indicate a person's skills, Harris said. She added: "And I will challenge the private sector to do the same." A survey by Gallup and Lumina Foundation released earlier this year found that many Americans are skeptical about the value and cost of college. Over half of U.S. adults who have never been enrolled or once were enrolled said the cost of education was a "very important" reason for them to not sign up or return to college. More: Is college worth it? The answer for half of Americans is striking. Harris tells Gaza protesters: 'I am speaking' Harris' speech faced some interruption from protesters opposing U.S. support for Israel's war in Gaza that has killed tens of thousands and caused a humanitarian crisis. Demonstrators have for months demanded an end to the war and restrictions on shipments of weapons to Israel. Harris reiterated her support for a ceasefire and hostage rescue deal. "Now is the time to get a hostage deal and ceasefire," Harris said when interrupted. "I respect your voice, but right now, I am speaking," she added. Harris has pledged support for Israel. Observers have said that if pro-Palestinian Americans including activists as well as Muslims and Arabs, who overwhelmingly voted for the Democrats in the last presidential election, withhold their vote, it may hurt Harris' chances. While those groups are unlikely to tilt toward Trump, some activists have pledged support to third party candidates. More: These Democrats are challenging state legislators who rejected 2020 election results The latest bloodshed in the decades-old Israeli-Palestinian conflict was triggered last Oct. 7 when Palestinian Hamas militants attacked Israel, killing 1,200 and taking about 250 hostages, according to Israeli tallies. Israel's subsequent assault on the Hamas-governed enclave has killed over 41,000 Palestinians, according to the local health ministry, while displacing nearly the entire population of 2.3 million, causing a hunger crisis and leading to genocide allegations at the World Court that Israel denies. This article originally appeared on USA TODAY: Harris says she'll cut degree requirements for some federal jobs Kamala Harris, in an interview with Brian Taff of Philadelphias 6abc Action News, re-emphasized many of the points she made in Tuesdays debate and throughout her brief campaign, including her stance on guns. In the 11-minute interview aired by the ABC affiliate on Friday, the Democratic nominee for president spoke about gun control, unity among Americans, and her goal of creating an opportunity economy. Most Americans want a leader who brings us together as Americans and not somehow who professes to be a leader who is trying to have us point fingers at each other, Harris said in response to a question about how she would reach out to Trump voters. I think people are exhausted with that approach. The interviews airing came as Donald Trump visited Harriss home state of California, where he held a news conference in Rancho Palos Verdes, a coastal city about 30 miles south-west of Los Angeles. Later in the day, Trump travelled north for a fundraiser hosted by Tom Siebel, the billionaire cousin of Jennifer Siebel-Newsom, wife of California governor Gavin Newsom. Harris also reiterated that she and Walz are gun owners and believe that they can respect the second amendment while pushing for and implementing long-asked-for policies like a ban on so-called assault weapons and universal background checks on gun purchases. We are not taking anybodys guns away, she said. I feel very strongly that its consistent with the second amendment to say we need an assault weapons ban. Theyre literally tools of war they were literally designed to kill a lot of people quickly. Related: 2024 US presidential polls tracker: Trump v Harris latest national averages Harris also promoted her economic plan, which she says is heavily based on her middle-class upbringing. We have ambitions and aspirations, but not everyone necessarily has access to the resources that help them fuel the aspirations and ambitions, she said. Harris says that if elected, she will expand the child tax credit and offer parents $6,000 for the first year of their childs life, raise the tax break for small businesses from $5,000 to $50,000 and give first-time homebuyers $25,000 in assistance. In Pennsylvania, a state that has received a lot of attention from both candidates, Harris held a rally in Wilkes-Barre, 20 miles from Scranton, Joe Bidens home town. Pennsylvania is a tight race for Harris and Trump, as 538s presidential polling average shows less than a percentage point between the two as of Friday afternoon. Harris also paid a visit to the cafe and bookshop Classic Elements, where she told reporters: I am feeling very good about Pennsylvania, because there are a lot of people in Pennsylvania who deserve to be seen and heard. During Friday evenings rally, Harris was interrupted at least three times by protesters, including people speaking out about the war in Gaza. Harris also announced a new policy that would do away with college degree requirements for some federal jobs. As president, I will get rid of the unnecessary degree requirements for federal jobs and increase jobs for folks without a four-year degree, understanding that requiring a certain degree does not necessarily talk about ones skills, she told the crowd. We recently compiled a list of the 20 Worst Performing AI Stocks of Last Week. In this article, we are going to take a look at where Celestica Inc. (NYSE:CLS) stands against the other AI stocks. US Stocks in September This September saw a sluggish start for most US stocks, and large-cap technology stocks were no exception to this trend. The main driving factors for this development include concerns over the health of the American economy resurfacing, particularly in light of the August jobs report. The report underscored the labor market's weakness in the US, which has not left investors feeling all that secure about the state of the economy. On the stock side, many investor favorites in the artificial intelligence (AI) space have been doing poorly so far in September, with losses ranging from around 4% to even over 20% for the first week of September. The primary reason for this decline seems to be that investors are just not satisfied with the growth demonstrated by major AI companies at present. While growth is definitely present, it's continuing to fall short of investor expectations, which have increased exponentially in light of the hype cycle created around AI stocks. Are We Really In An AI Bubble? The first week of September was actually the worst week for chip stocks recorded in over two years. Many investors are now beginning to wonder whether AI is worth the amount of money being poured into it, resulting in corporate spending on AI coming under greater scrutiny than ever before. The greater scrutiny is predominantly because of investors and analysts now thinking that many AI stocks are overhyped and overvalued and don't have the means to justify this hype and valuation - essentially, the main concern is that we're in an AI bubble that's on the brink of bursting. However, as with any high-tension market situation, there are diverging opinions as well. In his September 6 interview on CNBC's "Closing Bell Overtime," Deepwater Asset Management's managing partner, Gene Munster, emphatically stated that we are not in an AI bubble. For him, the bigger problem in the AI space is that every other company today is trying to talk about AI and say that it's working towards AI incorporation in its operations - something that's leading to a lot of noise in the market, which is drowning out the voices of companies offering real substance in this space. He thus noted that it's important for investors to be careful not to invest in just any company that says it's working with AI and instead to focus on the better, perhaps more boring, options in the market. According to Munster, the main players to keep your money in are predominantly big tech names, as these are the only companies that are poised to deliver substantial growth instead of just generating noise. However, investors are still confused about whether AI is a good place to invest in even today, which is why we've compiled a list of the worst performing AI stocks in September so far and explained whether these stocks are worth picking up or if they're just temporary beneficiaries of the hype around AI. Our Methodology We compiled our list by screening for AI stocks that have seen declines of 10% or above in the first week of September, and then ranked the stocks based on their weekly decline as of Friday, September 6. We have also mentioned the number of hedge funds holding stakes in each stock. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points. (see more details here). A close-up of a circuit board with components depicting the intricate electronic componentry products the company produces. Celestica Inc. (NYSE:CLS) Weekly Decline: 19.71% Number of Hedge Fund Holders: 38 Celestica Inc. (NYSE:CLS) is an information technology company based in Toronto, Canada. It provides supply chain solutions to tech firms and has been benefitting immensely from the growing demand for AI-related hardware. The company is a leading provider of connectivity products for data centers and is renowned for its 400G and 800G switches. Like other AI stocks, Celestica Inc. (NYSE:CLS) has seen a shocking decline in the first week of September. As a result, the stock has gotten caught up in a global sell-off of highly appreciated AI stocks, which is making many investors still sticking with Celestica Inc. (NYSE:CLS) consider exiting their positions. Additionally, recent fears surrounding the American economy have also instilled concern among Celestica Inc. (NYSE:CLS) shareholders. Since this company's business is highly cyclical and volatile, considering the fact that its growth is dependent on customer demand, many investors are worried about falling customer demand forecasts and what this may mean for Celestica Inc. (NYSE:CLS). The only position that might work with this stock is if you buy and hold on to it long-term since only then would you be able to generate a meaningful return on your investment. Otherwise, Celestica Inc. (NYSE:CLS) is not looking too attractive for short-term investors. We saw 38 hedge funds long Celestica Inc. (NYSE:CLS) in the second quarter, with a total stake value of $843.9 million. Overall CLS ranks 2nd on our list of the worst performing AI stocks last week. While we acknowledge the potential of CLS as an investment, we believe that AI stocks hold promise for delivering high returns and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than CLS but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. Kansas City is seeking proposals from contractors who can complete key portions of a downtown park over Interstate 670 before the city hosts the mens FIFA World Cup soccer tournament in June and July of 2026. The project includes capping the south freeway loop from Wyandotte Street to Grand Boulevard and building a multi-use park on those 5.5 acres. I am excited to review strong and creative proposals reflecting the South Loop Park we all dream of, transforming our downtown and eliminating a long-time barrier to opportunity and community gathering space, Mayor Quinton Lucas said in a Friday news release announcing that proposals are being sought from construction management and general construction firms. A rendering on display at a 2023 meeting on the South Loop project shows how downtown would gain four more blocks of park space if a lid is put over Interstate 670. Proposals are due Oct. 22, and the city intends to give the winning bidder a notice to proceed with the project on Dec.. 13 following City Council approval, according to the 194-page request for proposals. The roughly $200 million project is being financed with federal, state and local tax dollars along with private donations. The freeway trench was dug in the 1960s, separating downtown from the Crossroads area. It is patterned after a similar park in Dallas that is a tourist draw and host community events. KEARNS, Utah (ABC4) A Kearns man was indicted Wednesday by a grand jury after a shooting near the Utah Olympic Oval injured one person during a birthday party. Colby Neil Hawkins, 20, of West Valley City was charged on three counts of felony discharge of a firearm. Hawkins is accused of shooting a man in the face and shoulder. One in custody after gunfight during party at Kearns park According to the charging documents, detectives believe that Hawkins was at one of two parties that took place at Oquirrh Park on Sept. 1, according to Sgt. Aymee Race of Unified Police. Documents state that another male, identified as Carlos Chavez was in the bathroom when Hawkins approached and began socializing with Julian Moreno, a friend of Chavez. Charging documents say the interaction started with jokes and eventually turned into an argument. Thats when Chavez came out of the bathroom asking if there was a problem. Hawkins allegedly pulled out a handgun and shot Chavez twice in the right side of the face and once in the shoulder. When officers arrived and searched the park, they found a key fob to a car, a black Mercedes, which was registered to Hawkins. A magazine of a handgun was found in the same area. Police also received surveillance video from a nearby house which shows a male running by, who can be heard saying he was worried about being jumped. The car that the fob activated matched the description Moreno gave to police. Hawkins allegedly told police he was meeting with a person at the park to give them money he owed. He was arrested and is in Salt Lake County jail. He is due in court on Sept. 17. Charges are allegations only. All arrested persons are presumed innocent unless and until proven guilty beyond a reasonable doubt. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. KENOSHA, Wis. - A dump truck struck a person who was mowing their lawn in Trevor on Friday morning, Sept. 13. The crash happened around 10 a.m. on State Highway 83 near 126th Place. Kenosha County sheriff's deputies and multiple fire departments responded to the scene. SIGN UP TODAY: Get daily headlines, breaking news emails from FOX6 News Flight for Life was called to fly the person to a hospital with serious injuries. The sheriff's department said those injuries are not expected to be life-threatening. Flight for Life responds after dump truck strikes person who was mowing lawn in Trevor (Courtesy: Kenosha County Scanner) The cause of the crash remains under investigation, but the sheriff's department suspects a truck equipment malfunction is to blame. The Wisconsin State Patrol will conduct an inspection. We Energies technicians also responded to fix a power line that was damaged as a result of this crash. The Kentucky Community and Technical College System is made up of 16 colleges across Kentucky. Its headquarters, above, is in Versailles. (KCTCS photo) Under scrutiny from Republican lawmakers pushing to end diversity, equity and inclusion (DEI) programs in higher education, Kentuckys two-year college system on Friday announced it is launching an internal review. The goal is to make sure that our offices and titles correctly reflect our mission of student success for all students, said Ryan Quarles, president of the Kentucky Community and Technical College System (KCTCS). In an interview with the Kentucky Lantern, Quarles, a former state lawmaker and two-term agriculture commissioner who became the systems president this year, emphasized the diverse student population served by KCTCS which touts itself as the most diverse institution in the state. As KCTCS president, I want to assure our lawmakers and taxpayers and policymakers that we have an environment at our community colleges that is open to anyone and we welcome Kentuckians of all backgrounds and that when we offer support and services and programs that theyre open for every single student, Quarles told the Lantern. The review comes as two Kentucky public universities the University of Kentucky and Northern Kentucky University have closed their diversity offices amid pressure from Republican lawmakers. Two bills targeting DEI in higher education failed to pass the GOP-controlled General Assembly earlier this year, but the anti-DEI efforts are expected to be renewed when the legislature convenes in January. In an announcement released Friday afternoon, KCTCS said its review will begin in coming weeks with the goal of ensuring all programs, offices and goals align with our commitment to success for all students. KCTCS has 16 colleges across the state and serves more than 100,000 students. According to its website, the system has Diversity Peer Team contacts at individual campuses. Quarles said KCTCS delivers education not only on its campuses but also in prisons and addiction recovery centers. He added that more than half of GEDs awarded in Kentucky are awarded through KCTCS. When we talk about diversity, sometimes people tend to focus on race, but at KCTCS, we truly are an open access college for all Kentuckians, he said. When asked if he thought it was appropriate for the General Assembly to take action on DEI in higher education, Quarles said he would defer to current lawmakers on that. Next week, the Interim Joint Committee on Education will hear from five university presidents on DEI programs within their institutions. Morehead State University and Northern Kentucky University have already made their presentations. Quarles said he is scheduled for a November presentation. Quarles said a goal of the review is to ensure consistency across KCTCS and to provide a better awareness of resources available to all students. That means that all of our programs are inclusive, meaning that no programs exclude people, Quarles said. And we also want to make sure that our faculty and staff, who work really hard every day to get students across the finish line, that their titles and offices reflect the fact that they support all students. The students that we serve at our community colleges sometimes need a little extra help, including wraparound services such as mental health support, child care, etc., Quarles said. This is going to give us an opportunity to perhaps uncover some best practices to help all students achieve their college dream. SUPPORT: YOU MAKE OUR WORK POSSIBLE Kherson resident dies in hospital due to serious injuries suffered in Russian attack The writing on the wall saying "I love Kherson". Stock photo: Anadolu via Getty Images A resident of Kherson was killed in a Russian attack on Saturday; he was seriously injured and later died in hospital. Source: Oleksandr Prokudin, Head of Kherson Oblast State Administration, on Telegram Quote: "Unfortunately, a resident of Kherson died due to a Russian attack. A man, 60, who was seriously injured this afternoon died in hospital. Doctors did everything possible to save his life." Support UP or become our patron! A Minnesota man convicted last month of shooting and killing a trans woman in the head only moments after she completed a sex act on him was sentenced to over 30 years in jail on Wednesday, local NBC affiliate KARE reported. Damarean Kaylon Bible, 25, was convicted of second-degree murder in the death of Savannah Ryan Williams, 38. Her lifeless body was found in a Minneapolis courtyard around 9 a.m. on November 29, 2023, with a single gunshot wound to the head, according to court documents. On Wednesday, Fourth Judicial District Judge Juan Hoyos sentenced Bible to 367 months in prison, with at least two-thirds of that sentence served before he becomes eligible for parole. This senseless act of violence against a Native and Cuban transgender woman has left a family without a daughter, a partner without their person, and a community without the vibrant light that Savannah uniquely brought to every room she was in, Mary Moriarty, Hennepin County Attorney, said at a press conference on Wednesday, KARE reported. Moriarty said prosecutors investigated the case as a hate crime, but did not feel they had the evidence to secure a conviction. The case shocked the local transgender community. Witnesses told police they heard a gunshot at 5:45 a.m. on the day she was murdered in the courtyard where her body was found. They also reported seeing a man walk away from the scene around the same time. Using a photo from the surveillance footage, Bible was identified to police by building management and taken into custody. Police say a subsequent search of his apartment turned up multiple firearms, including a handgun with no serial number and a rifle. Bible at first denied involvement in the killing, but investigators say he confessed after they showed him the surveillance footage. Bible reportedly told investigators he was walking past a bus shelter where Williams was seated when she propositioned him for a sex act. He agreed and the two moved to the courtyard where Williams performed oral sex on Bible. During the act, though, Bible reportedly told police he became suspicious of Williams, and that he shot her in the head from a few inches away immediately after the act had been completed. In a phone call with his parents that was recorded by authorities, Bible also reportedly confessed to the crime, saying he had just murdered someone and he was sorry and knew he was not god but that he had to do it. Despite his confession, Bible went to trial and was convicted by a jury on August 27. On Wednesday, Bible apologized to Williams's family. I completely apologize, Bible said before sentencing, according to Minnesota Public Radio. I feel like I do need to sit down and do some time. BOULDER, Colo. (KDVR) Video of the man accused of the King Soopers mass shooting was played in court Friday at the Boulder County Justice Center. Ahmad Alissa was seen talking to forensic psychologists trying to determine his mental state on the day of the shooting. At one point, Alissa said he planned to die the day he killed 10 people at that grocery store in Boulder. Trump promises mass deportations, starting in Aurora Alissa could be seen in that video answering some of the questions. He was also seen fidgeting and looking at the ceiling. A jury is trying to decide if Alissa was sane on the day of the shooting. The steps Ahmad Alissa took in the months, weeks and days before the shooting were detailed in testimony by witnesses. Investigator Sarah Cantu said Alissa had made a number of purchases online to prepare for the shooting. He also spent time researching weapons with various searches by typing in questions like what is the most deadly type of round bullet and where to buy ammo, Cantu said. Pictures of the 10 victims of a mass shooting in a King Soopers grocery store are posted on a cement barrier, April 23, 2021, outside the supermarket Jury to decide whether mass shooter was sane A forensic psychologist who interviewed Alissa, trying to determine his mental state, also testified. Dr. B. Thomas Gray said Alissa had been hearing voices in his head for some time before the shooting. He said it (the voice) was indistinct and that they were just screaming or yelling, and he couldnt discern specific words, Gray said. A key question in this trial is not whether Alissa carried out the shooting, but instead whether he knew right from wrong that day. Several clips of an interview were played in court Friday of Alissa being questioned as to whether he knew what he was doing that day He readily conceded that he knew this behavior was illegal, Gray said. FOX31 Newsletters: Sign up to get breaking news sent to your inbox Alissa rarely looked at his interview as the video played in the courtroom. In the interview, he was wearing a purple sweatshirt and fidgeted most of the time, and he looked up at the ceiling. He answered some of the questions and told the interviewers he knew the shooting could cost his life. At one point Friday afternoon, one of the jurors leaned forward in his chair to see the interview. The forensic psychologist said there was no evidence the voices in Alissas head played a role in the shooting. Alissas defense attorney said at one point he did not know why he was being held in the state hospital and that the voices in his head played a role in the massacre. This trial resumes Monday morning. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX31 Denver. What to know about the new Shreveport Downtown Development Authority director Former Shreveport Mayor, Cedric Glover has been voted to be the new executive director of the Downtown Development Authority (DDA), according to KSLA. Glover was sworn in as the 47th Mayor of Shreveport in 2006 and served until 2014. He was the first black mayor and was voted in with 65 percent of the vote in 2006. Following his service to Shreveport he went on to serve as the Louisiana House Representative for District 4. Glover is a Caddo Magnet High School graduate and attended both Grambling State University and Louisiana State University. He was the regional president for Professional Temporaries USA. The decision to move forward with Glover was made Friday, Sept. 13, during a DDA special meeting. According to KSLA, Glover was one of the top two finalist. The DDA Board voted to hire a national hiring agency to enhance the search for its executive director at its April 24 meeting. In prior reporting, Chairman Michael Corbin of the DDA Board said, ""I think at this point, we're doing our due diligence." A start date has not been set-- the board is awaiting an employee contract. More: Shreveport DDA executive director position narrowed down to two candidates Makenzie Boucher is a reporter with the Shreveport Times. Contact her at mboucher@gannett.com. This article originally appeared on Shreveport Times: Is there a new executive director of the DDA? Here is what we know KNOXVILLE, Tenn. (WATE) Some Knoxville firefighters were called to help a kitten that found itself in a hairy situation at Worlds Fair Park on Saturday. Knoxville Fire Department shared about the Operation Kitty Saver rescue at Worlds Fair Park on social media. TDOT: The Dragon remains closed for fire response, may reopen Sunday This feline got into a little jam, so HQ A shift sprang into action to help, Knoxville Fire Department wrote. (Knoxville Fire Department) (Knoxville Fire Department) (Knoxville Fire Department) (Knoxville Fire Department) (Knoxville Fire Department) Chick-fil-A in Hardin Valley set for grand opening Pictures shared by KFD show two A shift crew members working to get the kitten out of the storm drain, and giving the tiny black kitten some well deserved affection. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WATE 6 On Your Side. KNOXVILLE, Tenn. (WATE) A Knoxville man is facing up to 30 years in prison after he was convicted of sexually abusing a child for four years. According to the Knox County District Attorneys Office, Jonathan C. Young, 31, was convicted of rape, sexual battery by an authority figure, and continuous sexual abuse of a child. The DAs office said the judge issued a warrant for Youngs arrest after he failed to appear in court for closing arguments. His sentencing has been scheduled for October 25. Alcoa Police looking for two people who tried to set fire to home During the four-day trial, prosecutors told the jury that on April 12, 2019, a 16-year-old victim went to UT Medical Center to report that they had been raped by Young. Before being examined, the victim left the hospital because their mother repeatedly texted the victim telling them to blame someone other than Young, the DAs office said. The release continued to explain that the victim later went to a sexual assault nurse examiner and DNA was recovered that matched Young. The Knoxville Police Department led the investigation, and during a forensic interview at ChildHelp, the victim disclosed that there had been additional instances of sexual assault committed by young dating back to when the victim was 12. This victim suffered for years, but hopefully, with the verdict in this case, she can find some measure of peace, said DA Allen. Kenneth DeHart case: State to pursue death penalty At sentencing, the DAs office said prosecutors would seek consecutive sentences given the seriousness of the crimes. Continuous rape of a child and rape are Class B felonies that carry a punishment between eight and 12 years, and sexual battery is a Class C felony that carries a sentence between three and six years. If the judge gives the maximum sentence on each count to be served consecutively, Young could be sentenced to 30 years in prison. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WATE 6 On Your Side. by Liu Qiyu BEIJING, Sept. 13 (China Economic Net) - Themed "Global Services, Shared Prosperity", the 2024 China International Fair for Trade in Services (CIFTIS) kicked off with fanfare in Beijing on September 12. This years fair sees a strong turnout, with 85 countries and international organizations represented, alongside over 450 Fortune Global 500 companies and industry leaders. The spotlight is firmly on Chinas commitment to collaborating with the world to seize fresh opportunities in a rapidly globalizing economy. Australia Eyes a Leading Role in 2025 Marking its third year at CIFTIS, Australia is already looking ahead to next years event, where it will take center stage as the guest country of honor. Dominic Trindade, Minister (Commercial) at the Australian Embassy in Beijing, revealed that Australia is gearing up for an even bigger presence at CIFTIS 2025. Were not just here for this years eventwere preparing for the next 12 months with a range of initiatives designed to deepen trade in services between Australia and China, Trindade told China Economic Net. He emphasized how vital trade in services has become to strengthening the bilateral relationship, supported by the China-Australia Free Trade Agreement and an increasing number of high-level visits between the two countries. Trindade also pointed out the boost in people-to-people exchanges thanks to Australias inclusion in Chinas visa-waiver program. These interactions will help both nations recover economically and strengthen our ties, he noted. Portugal Welcomes Investment with Open Arms Portugal, with its National Pavilion launched at CIFTIS for the first time, is rolling out red carpet for foreign investment, according to Nuno Lima Leite, Managing Director for China and Economic and Commercial Counsellor of the Portuguese Embassy in Beijing. Leite emphasized Portugals openness to investors from around the world, as long as they meet national standards. Our doors are open to all, whether the investment is Chinese, American, or from elsewhere. What matters is that it meets our criteria, said Leite, stressing Portugals reputation as a non-discriminatory destination. With its strategic location in Europe and membership in the European Union, Portugal has long been a magnet for international investment, particularly in renewable energy, infrastructure, and tech sectors. Leite highlighted growing interest from Chinese companies, especially in the energy and automotive industries. He sees CIFTIS as a springboard for even deeper collaboration in key sectors like green energy and digital services. Were looking for partnerships that align with our vision for innovation and sustainable development, he added. Cyprus Highlights Deepening Ties with China For Cyprus, the focus is on solidifying long-standing trade and educational ties with China. Petros Petrou, Commercial Counsellor of the Cyprus Embassy in Beijing, underscored the depth of the relationship between the two nations, noting the strong foundation of regular diplomatic exchanges and expanding trade cooperation. Cyprus has been actively participating in Chinas major trade fairs these years, Petrou said in an interview with China Economic Net. This event is an excellent opportunity for us to showcase a wide range of Cypriot products and services, and weve seen significant growth in our trade with China as a result. CIFTIS Driving Global Economic Growth Since its debut in 2012, CIFTIS has grown into a leading platform for international trade in services, drawing more than 900,000 attendees from 197 countries and regions over the past decade. As the 2024 event gets underway, it continues to be a key force in driving global economic growth. With countries from all over the world fully engaged, CIFTIS underscores the growing importance of service trade in fostering global prosperity and unlocking new investment opportunities worldwide. Chinese Premier Li Qiang returned from his visit to Saudi Arabia and United Arab Emirates on Friday after forging closer ties with both Gulf states. Pledges for closer cooperation included the new energy sector, described by a Chinese analyst as "crucial" to the Middle Eastern economy. Li travelled to the United Arab Emirates on Wednesday evening after wrapping up a two-day visit to Saudi Arabia. He met UAE President Sheikh Mohamed bin Zayed Al Nahyan in the capital Abu Dhabi the following day, with both leaders pledging to enhance the comprehensive strategic partnership between their countries. Do you have questions about the biggest topics and trends from around the world? Get the answers with SCMP Knowledge, our new platform of curated content with explainers, FAQs, analyses and infographics brought to you by our award-winning team. According to a readout from the Chinese foreign ministry, Li called for deeper cooperation in new energy, electric vehicles, high-end manufacturing, biomedicine, digital economy and other fields, and for reinforcing collaboration in investment and traditional sectors like oil and gas. "The two countries should jointly make a forward-looking layout of emerging industries and future industries, and cultivate more new economic growth points," Li was quoted as saying during what was his first visit to the UAE since taking office. His trip came three months after Sheikh Mohamed's state visit to China and meeting with President Xi Jinping, when both sides vowed closer cooperation, including in cutting-edge technologies. Cooperation between China and the UAE, a US ally, has reached unprecedented heights in fields ranging from trade and investment to sensitive technology and defence, despite growing scrutiny from Washington. According to Chinese foreign ministry data, bilateral trade volume reached a record US$50 billion in the first half of this year. Li began his Middle East trip with a visit to Saudi Arabia, where he met Crown Prince Mohammed bin Salman in Riyadh on Wednesday. Li called for closer collaboration in traditional sectors such as petrochemicals and infrastructure construction, while broadening cooperation in emerging fields such as new energy, information and communications, and the digital and green economies. Middle East affairs specialist Wen Shaobiao at the Shanghai International Studies University said that Li's visit was focused on strengthening economic relations with two wealthy Gulf nations. "China hopes that deeper economic cooperation between China and these two Gulf states can catalyse and drive such collaborations between China and the Middle East region," Wen said. Story continues "The expansion of cooperation on electric vehicles (EVs) would capitalise on China's production strengths and expand its burgeoning EV industry chain further into the Middle East." China's leading EV companies such as BYD and Nio have already entered the UAE market. And last year, the UAE set up the world's largest single-site solar power plant - a flagship project under Beijing's Belt and Road Initiative. China has a marked trade surplus with the UAE, but growing Chinese investments are narrowing the gap. Last year, China's investments in the UAE increased by more than 16 per cent to US$1.3 billion. This came as the UAE saw investments in China grow by 120 per cent, accounting for 90 per cent of Arab states' investments in the country. The UAE has largely been seen as the first destination in the Middle East for China's new energy market - a booming industry that has been accused of "overcapacity" and targeted for tariffs by the West. Li's trip to Saudi Arabia also touched upon cooperation on new energy, a promising area described by Wen as "crucial" to the regional economy. "Cooperation in new and green energy is crucial, particularly for the sustainable development and energy transition of Middle Eastern countries. China, which leads in the green energy sector with an extensive industry chain, would bring substantial benefits to the region," Wen said. Chinese Premier Li Qiang meets GCC Secretary General Jasem Mohamed Albudaiwi in Riyadh, Saudi Arabia. Photo: Xinhua alt=Chinese Premier Li Qiang meets GCC Secretary General Jasem Mohamed Albudaiwi in Riyadh, Saudi Arabia. Photo: Xinhua> China has been actively working to strengthen its influence and solidify relationships in the Gulf Cooperation Council (GCC) - made up of Bahrain, Kuwait, Oman, Qatar, Saudi Arabia and the UAE - while seeking to secure a foothold through a free-trade agreement that has been in talks for years. Li's trip to Saudi Arabia included a meeting with GCC Secretary General Jasem Mohamed Albudaiwi. According to a Chinese foreign ministry statement, Albudaiwi said he looked forward to reaching an agreement on the China-GCC free trade agreement "as soon as possible". Wen said there were significant motivations for both China and the Gulf countries to conclude the deal. The Gulf nations aim to diversify their economies beyond reliance on fossil fuels, while the free trade deal with China could be an exemplary project to illustrate the high quality of China's belt and road strategy, Wen said. "Given these incentives, it is likely that the trade negotiations will pick up pace soon." Addressing a UAE-China business forum during his visit to Abu Dhabi, Li called on both sides to seize the moment to boost the quality of bilateral trade and economic cooperation. "Companies from both nations should ... capitalise on the robust, mutual commitment to bilateral cooperation by engaging in new opportunities brought by the construction of high-quality [belt and road projects]," Li said. "This will further integrate both countries into the global industrial and supply chains. Additionally, by leveraging the close alignment of bilateral development strategies, businesses can identify potential areas for future collaborations." This article originally appeared in the South China Morning Post (SCMP), the most authoritative voice reporting on China and Asia for more than a century. For more SCMP stories, please explore the SCMP app or visit the SCMP's Facebook and Twitter pages. Copyright 2024 South China Morning Post Publishers Ltd. All rights reserved. Copyright (c) 2024. South China Morning Post Publishers Ltd. All rights reserved. HONOLULU (KHON2) The Honolulu Department of Parks and Recreation (DPR), in collaboration with Generations Magazine, hosted the Senior Citizens Fun Walk on Friday, September 13, at Pearlridge Center Wai Makai. Get Hawaiis latest morning news delivered to your inbox, sign up for News 2 You The event began at 10 a.m. Participants of all ages enjoyed a leisurely stroll around the shopping center, which featured a stimulating scavenger hunt. After the walk, attendees visited an informational fair with various booths before continuing to explore the shopping center. DOE gets grants to expand world language programs Free registration took place at 10 a.m. in the downstairs lobby near Longs Drugs, with the walk starting at 10:30 a.m. While the event was aimed at keeping older adults mentally and physically active, it was open to everyone who wanted to join in and visit the informational booths. The Senior Citizens Fun Walk has been a popular annual event for over 20 years, previously held at locations such as Ala Moana Regional Park and Kakaako Waterfront Park. Download the free KHON2 app for iOS or Android to stay informed on the latest news For more details on senior clubs and future events, visit the City and County of Honolulu website. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KHON2. A Kentucky crematorium that was operating without a license has been fined $580,000 for performing nearly 300 illegal cremations, the Kentucky Attorney Generals Office announced on Friday. A Breckinridge Circuit Court judge levied the maximum civil penalty against Cloverport Funeral Home and Kentuckiana Funeral Service, ordering them to pay $2,000 for each of the 290 cremations performed, according to a news release from the attorney generals office and court records. Cloverport is in Western Kentucky, just across the Ohio River from Indiana. In January, the AGs office filed a civil lawsuit against Cloverport Funeral Home; its owner, Anthony Oxendine; Kentuckiana Funeral Service, of New Albany, Ind.; and unknown owners of Cloverport Funeral Home, arguing that they were providing cremation services without a license, as is required. Oxendine is the registered agent for Kentuckiana Funeral Service, according to court documents filed by the attorney general. The crematorium has been closed since a temporary restraining order was issued in January, according to the attorney general. The defendants did not respond to the lawsuit, and the judge ruled against them Wednesday, court records show. The attorney generals office said it is continuing litigation against Oxendine. No family should be taken advantage of during their time of grief, Attorney General Russell Coleman said in a news release Friday. In August, the family of one man, Ira Ison, filed suit against Oxendine, along with J.B. Ratterman & Sons and Tony Ratterman, stating that they worked with Ratterman & Sons for cremation of Isons remains last year. Ison died Dec. 5, and the family understood that his body would be cremated in Louisville. Instead, their lawsuit says the body was taken to the Cloverport facility. Afterward, the family says the defendants could not account for the location of the body and could not provide the body or cremains for the purpose of final disposition and funeral and memorial service, the lawsuit states. The cremains have never been recovered or positively identified, according to the suit. Indeed, the cremains that eventually were presented as those of the decedent needed to be taken into possession of the Attorney Generals lab for verification that such were human remains, but the question of the identity of the remains lingers permanently. In its lawsuit against Cloverport Funeral Home, the AGs office says it received concerning information Jan. 2 about Isons cremation and then learned that the crematorium was operating without a license. Oxendine is also the former owner of Spring Valley Funeral & Cremation Services, a New Albany funeral home that has recently been under investigation by the Indiana Attorney Generals Office. The cremated remains of 15 people who died before this year were found during an inspection of the facility June 28, WHAS reported Aug. 21, after the funeral homes license was suspended by the Indiana Professional Licensing Agency. Oxendine, a former Louisville mayoral candidate, told the television station he did not know anything about the investigation. Minutes from a June 28 meeting of the Kentucky Board of Embalmers and Funeral Directors indicate that the board voted not to renew Oxendines funeral director and embalmers license, Cloverport Funeral Homes license or the license of Spring Valley Funeral Home, which also has a location in Louisville. Coleman said the AGs Office of Consumer Protection is constantly on the lookout for scams and fraud that target Kentuckians, and he urged people who think they have been scammed or victimized by illegal business practices to reach out. Kyiv initially asked US for ATACMS strikes on Russian air bases but then changed targets Reuters Ukraine initially asked the United States to let it use long-range ATACMS missiles to hit Russian airfields, but now potential targets for Ukraine's long-range strikes include Russian military command centres, fuel and weapons depots and troop concentrations. Source: Reuters, with reference to sources Details: Two European diplomats, speaking on condition of anonymity, said that Ukraine has given the US and UK a list of potential targets in Russia that could be hit by Western long-range weapons if allowed. The officials say that the Ukrainians initially wanted to use US long-range ATACMS missiles to hit Russian air bases. However, the Pentagon has said that 90% of Russian aircraft that launch glide bombs, one of the main threats to Ukraine, are based at airfields at least 300 kilometres from Ukrainian-controlled territory. This puts them out of range of ATACMS systems. The officials say that Ukraine now wants to use the missiles to strike Russian military command centres, fuel and weapons depots and troop concentrations. In addition to the US-supplied ATACMS, Kyiv wants to strike Russia from afar using UK-provided Storm Shadow missiles and the French SCALP missiles, which are virtually equivalent to the Storm Shadows. Although the UK is expected to seek US approval before lifting the restrictions on Storm Shadow missiles, a French diplomatic source said Paris does not need Washington's permission for Ukraine to use French missiles, the publication said. Reuters writes that while the US and the UK are discussing the possibility of allowing Ukraine to use Western weapons to strike deep into Russia, some US officials are deeply sceptical that this will have a significant impact on Kyiv's fight against Russia. U.S. officials noted that Ukraine already has the capability to strike targets in Russia using drones. The US long-range ATACMS missiles could enhance this capability, but they are too expensive and limited in number to change the dynamic, they said. In addition, the Kremlin has largely removed perhaps the most immediate threat Russian aircraft carrying glide bombs from the range of U.S. missiles, U.S. officials said. They also assumed Kyiv should focus on stopping the Russian offensive on Ukraines east. Background: On 31 August, Ukrainian Defence Minister Rustem Umierov confirmed that during a meeting with senior US officials, he had handed over a list of targets that Kyiv wants to hit with US long-range ATACMS missiles. On 12 September, Russian ruler Vladimir Putin said that allowing Ukraine to strike Russia with Western missiles would mean direct involvement of NATO and European countries in the war in Ukraine. Support UP or become our patron! Los Angeles County Sheriff's deputies stand at attention during the inspection portion of their graduation ceremony on October 27, 2017. (Mel Melcon/Los Angeles Times)) Nearly a month after a tense hour-long hearing at the Hall of Justice to decide the fate of a Los Angeles County Sheriffs Department sergeant accused of spreading "rumors and/or gossip, the agency decided this week to demote the woman despite her claims she was attempting to call out misconduct. The punishment for Rosa Gonzalez, now a deputy, came after she shared copies of a 2021 grievance she wrote alleging that department officials had discriminated against women, a person with possible autism and another whistleblower like herself. As The Times previously reported , Gonzalez initially laid out her allegations in a 2022 lawsuit accusing department officials of transferring her to a less desirable position immediately after she filed her grievance. Data shows demotions are not common, and her case has sparked controversy within the ranks of the department. This week Gonzalez told The Times she plans to appeal to the L.A. County Civil Service Commission. My actions in 2021 were made in good faith, she said. The investigation against me was a complete fraud based on reporting LASD management of illegal employment practices and corruption. She framed her case as proof of a need for more oversight of the department, and said punishing her now for three-year-old conduct is barred by statutes of limitations for police discipline. The Sheriffs Department did not offer any comment on this weeks decision, but previously told The Times that it investigates all allegations of misconduct and has policies in place to protect individuals from any form of discrimination, harassment, retaliation, and inappropriate conduct. Gonzalez also sued the department in 2015, claiming an alleged deputy gang known as the Banditos was operating out of the East L.A. sheriff's station and had created a culture of misogyny there. She said shed been the victim of sexual harassment and gender discrimination, and that Banditos members had withheld backup on dangerous calls. When she filed a grievance about it, she alleged, the department retaliated by transferring her to a less-desirable station. The county denied her allegations, but in 2019 settled the case for $1 million . In 2021 Gonzalez - by then a sergeant - was assigned to the Personnel Administration Bureau, which deals with hiring, promotions and transfers. While there, she said she noticed troubling practices. In one instance, as she later alleged in her 2022 lawsuit, bureau leaders forced a woman to transfer because they said she was not young. They also allegedly hired an applicant because he was attractive and male and later refused to hire another applicant because he was possibly autistic, the suit says. Gonzalez accused the bureaus leaders of committing time card fraud, misusing donated money and conspiring to allow a rising lieutenant to cheat on a promotional exam. In October 2021, she filed a lengthy grievance accusing the bureaus leaders of illegal hiring practices, retaliation and several other problems. After consulting with her union representative, Gonzalez sent copies of the grievance to the alleged victims shed mentioned in it. Several weeks later, Gonzalez found out she was being investigated by the departments Internal Affairs Bureau. In early 2022, she filed her lawsuit accusing the department of retaliating against her for raising concerns. Captain Yvonne OBrien who was in charge of the personnel bureau at the time previously declined to comment, citing pending litigation. Former Sgt. Carmen Arballo, who was OBriens number two at the time and has since retired, pointed out that the department deemed the allegations Gonzalez raised in her grievance to be unfounded. Earlier this year, the department sent Gonzalez a letter announcing its intent to demote her because shed spread rumors and/or gossip when she shared her grievance containing personal information and factors not related to unfair hiring practices. She was accused of violating some of the same equity policies those banned harassment based on sex, age and disabilities that shed originally accused her superiors of flouting. Gonzalez pushed back, arguing her case at last months hearing. This week the department informed her of the outcome in a five-page letter, and Gonzalez said she has since be reassigned to work the overnight shift at the sheriffs station in Cerritos. To Vince Miller, the attorney representing Gonzalez in her 2022 lawsuit, which remains pending, its a troubling development. Its going to send a chilling message to other whistleblowers, he told The Times. Part of why theyre doing this to her is because they know how widely respected she is and I think this is a message to all whistleblowers that this is whats going to happen if you speak up. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. The Countryside Alliance said Nottingham City Council should not be siding with animal rights fanatics - Historic England Archive/Heritage Images/Getty Images A Labour-run local authority has announced a ban on non-vegan food and drink in meetings. Nottingham City Council announced this week that it will only serve plant-based food and drink at internal meetings from the end of September. The councils decision followed lobbying by Plant-Based Councils, an offshoot of Animal Rising the animal rights protest group which is itself an offshoot of Extinction Rebellion. The activist group claims that councils have a duty to lead the way in normalising plant-based food, which it says is necessary to tackle the climate emergency. The councils decision followed lobbying by Plant-Based Councils, an offshoot of Animal Rising - Orlando Britain/Alamy Stock Photo Nottingham City Councils decision means cows milk will no longer be served with tea and coffee, and biscuits made using milk, butter or chocolate will not be offered. Cllr Andrew Rule, an independent opposition councillor, said that the decision had been steamrollered through and that councillors had not been given the chance to vote on the matter. It is not something I support, I have to say, he said. I think it goes against freedom of choice, if Im honest. Ive never been particularly agreeable with people forcing their viewpoint on others and not offering a choice. Ive seen no formal consultative documents in relation to it and theres been no vote at full council. It very much appears to have been steamrollered through. Activists had claimed that failing to ban food and drink from internal meetings would make it harder for the authority to meet its target of net zero carbon emissions by 2028. In a written question calling on the council to impose the change, Ruby Mucenieks, a local supporter of Plant-Based Councils, said: The science is clear that meat and dairy are major contributors to the climate and ecological emergencies. Absolutely the wrong approach But Mo Metcalf-Fisher, director of external policy for the Countryside Alliance, criticised the move as absolutely the wrong approach. Nottingham City Council should be sourcing its produce from local farmers and growers, not siding with animal rights fanatics, he said. This attempt at grandstanding represents an attack on freedom of choice and the custodians of our countryside who work incredibly hard to produce sustainable food for the nation, while protecting and enhancing our countryside. This decision presents challenging questions for the wider Labour Party and I hope the government distances itself from this appalling move against our livestock farming community. Cllr Sam Lux, the local authoritys executive member for carbon reduction, leisure and culture, told a full council meeting that non-vegan food and drink would be banned because of the high-carbon impact of meat and dairy products. Our internal catering budget these days is actually really small given the financial constraints that we are faced with, she said. So its largely just teas and coffees and light refreshments. But I am really happy to say that after discussing with officers at the end of last week, I can confirm now that from Monday 30 September, we will only have plant-based internal catering. So this will include plant-based milks, biscuits and other foods, and we will also plan a week of promotion of dairy milk alternatives in October for staff to try plant-based milk in their drinks from the coffee bar at Loxley [House, one of the councils offices], substituting for all drinks unless specifically requested otherwise. This obviously is to encourage our employees to try these alternatives and to actually increase their uptake. In July, Calderdale Metropolitan Borough Council in West Yorkshire committed to introduce completely plant-based catering and Dacorum Borough Council in Hertfordshire pledged to introduce vegetarian internal catering by next year. Nottingham City Council was approached for comment. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Who is Laura Loomer? What to know about the 9/11 conspiracy theorist in Donald Trump's circle WASHINGTON Far-right media figure Laura Loomer has long been a bomb-thrower in conservative media circles. Now she has the ear of former President Donald Trump, who repeated a conspiracy theory about Haitian immigrants eating dogs and cats in Ohio during his first debate against Vice President Kamala Harris, which was widely mocked online. She also has a history of pushing the falsehood that 9/11 was an ''inside job," and has peddled racist comments this past week about Harris' Indian heritage. These viral moments have sparked concerns among GOP operatives that Trumps relationship with Loomer may impact his ability to appeal to the moderates necessary to win the presidential election in November. It also sparked internal feuds, turning key members of his cohort against each other. Heres what you need to know about the far-right provocateur spending time with Trump on the campaign trail. Laura Loomer addresses the crowd of voters as they wait to cast their votes and maybe a glimpse of President Trump as he arrives at the early voting polling station located at The Palm Beach County Library on Summit Blvd. in West Palm Beach. How she got her start Loomer first grabbed headlines a decade ago as a college student at Barry University in Miami, when she told far-right website Gateway Pundit that an imam was coming to a memorial on campus for the Sept. 11, 2001 attacks. She was later suspended after she filmed a video of her asking the university to let her start a pro-ISIS student group that Project Veritas picked up. She also worked with Project Veritas founder James OKeefe for years afterward. Loomer quickly became a social media influencer in right-wing circles, where she repeated Islamophobic claims and other conspiracy theories. She has called herself a proud Islamophobe and called Islam a cancer on society. In 2017, she left Project Veritas and joined far-right Canadian media outlet Rebel Media. Her media credentials were revoked in 2018 when she confronted the widow and domestic violence survivor of the Pulse nightclub shooter, who was on trial for aiding her former husband. She was acquitted of all charges. Loomer was banned from Twitter in 2018 for violating its rules against hate speech, though her account was reinstated when Elon Musk purchased the platform now known as X. An unsuccessful political career Loomer has run for Congress in Florida twice. In 2019, she ran for Floridas 21st Congressional District, a Democratic seat also home to Trumps Mar-a-Lago estate. Incumbent Democratic Rep. Lois Frankel defeated her by 20 points. In 2022, she ran for a different congressional seat against Rep. Daniel Webster, R-Fla., on a platform of severely limiting immigration. Webster defeated her in the primary by seven points. Republican presidential nominee and former U.S. President Donald Trump reacts at a rally in Las Vegas, Nevada on September 13, 2024. She has supported Trump since he started his political career and actively opposed Florida Gov. Ron DeSantis challenge against him in the presidential primary. Trump has called her amazing. Laura Loomer's history with a 9/11 conspiracy theory Loomer has called the 9/11 attacks an ''inside job'', a false claim about that suggests the American government was behind the attacked that killed 2,996 people. That history with baseless 9/11 conspiracy theories raised eyebrows when Loomer traveled with Trump this week to the 9/11 memorial ceremony at Ground Zero. Laura Loomer doubles down on racist joke about Kamala Harris Despite criticism from prominent leaders in the GOP, Loomer has stuck with her racist joke about Harris. You have no idea how many people have messaged me and told me they support me and that they thought what I said about Kamala Harris was funny," she said on X. "People like people who say things they are thinking but are too scared to say out loud themselves." What Trump says about Loomer Trump was asked repeatedly about his relationship with Loomer during a press conference on Friday. I dont control Laura, he said. Laura has to say what she wants. Shes a free spirit. He said she has been a supporter of mine, and when pressed on whether he knew about her conspiracy theories, Trump said: I dont know much about it. But in a later Truth Social post, he wrote: I disagree with the statements she made but, like the many millions of people who support me, she is tired of watching the Radical Left Marxists and Fascists violently attack and smear me. Loomers spat with MTG A few days before the presidential debate, Loomer posted on X, formerly Twitter, with a series of racist remarks about Harris Indian ancestry, including saying that if she won, the White House will smell like curry & White House speeches will be facilitated via a call center. Rep. Marjorie Taylor Greene, R-Ga., another staunch supporter and confidant of Trumps, replied, calling it appalling and extremely racist. It does not represent who we are as Republicans or MAGA. This does not represent President Trump. This type of behavior should not be tolerated ever. It kicked off a torrent of attacks from Loomer against Greene, including bringing up Greenes own former conspiracy theories and calling her a raging anti-semite. This article originally appeared on USA TODAY: Who is Laura Loomer? Far-right activist has the ear of Donald Trump WASHINGTON Members of Congress are on edge about the possibility of more violence in this years presidential election, less than four years after a mob of Donald Trump supporters ransacked the U.S. Capitol seeking to prevent the certification of President Joe Bidens win in the 2020 contest. Lawmakers on both sides of the aisle are anticipating that Trump, who faces felony charges for working to overturn the results of the last election, will again sow chaos and challenge the election results if he loses to Vice President Kamala Harris. If for some reason he were to lose, he will contest the validity of the election, just like he did last time, Sen. Mitt Romney (R-Utah) told HuffPost this week, warning that the former president is liable to invent a reason to do so. If he were to lose particularly if he were to lose by a small margin of course, he will contest it... and there could well be disruption if that were the case, Romney said. Im worried about another January 6 scenario because former President Trump is acting like he did when he lost the last time, Sen. Richard Blumenthal (D-Conn.) said. I mean, you dont have to be a mind reader to know what their game plan is theyre saying the silent part out loud. Trumps lies about voter fraud in the last election incited the violent Jan. 6, 2021, insurrection at the U.S. Capitol, where more than 140 police officers sustained injuries while defending the building. Five people died after the riot, and the attack on Congress caused about $2.7 billion in damages, according to the Government Accountability Office. This time around, Trump and his Republican allies are attempting to stir fears about noncitizen voting, something that is illegal and rarely happens. The former president has refused to commit to accepting Novembers election results, and last month, he told supporters the only way he can lose is if the Democrats cheat. Earlier this week, Trump reprised his lies about the stolen 2020 election during a debate with Harris in Philadelphia. No, I dont acknowledge that at all, the GOP presidential nominee said when asked if he was finally admitting that he lost the White House race four years ago. Trump has also threatened jail time for his opponents if he wins the presidency again. WHEN I WIN, those people that CHEATED in 2020 or 2024 will be prosecuted to the fullest extent of the Law, which will include long term prison sentences, he wrote last week on his platform Truth Social. Please beware that this legal exposure extends to Lawyers, Political Operatives, Donors, Illegal Voters, & Corrupt Election Officials. The chances of another violent Jan. 6-like event occurring at the Capitol this time around seem smaller. The federal government this week took steps to increase security in Washington ahead of and on Jan. 6, 2025, the date of the next electoral certification in Congress, designating the vote counting a National Special Security Event. Itll also be more difficult for members of Congress to successfully challenge any states electoral vote and force a lengthy debate on the Senate floor. The Electoral Count Reform Act, passed in 2022, raised the threshold to lodge an objection to electors to at least one-fifth of members of both the House and the Senate. (In 2021, the support of only one senator was required to lodge an objection to electors.) My hope is that that critically needed update to the Electoral Count Act will make another January 6 unlikely, Sen. Susan Collins (R-Maine), an author of the bill, told HuffPost. Sen. Chris Muphy (D-Conn.), meanwhile, said the reforms to the process make it harder to use the Senate or the House as a mechanism to promote a conspiracy theory, but it doesnt eliminate that threat, and it certainly doesnt eliminate the prospect of violence if Trump tries to rally a mob again. Some Republicans could try and challenge the electoral vote in the event of a Trump loss anyway, despite the higher threshold required to lodge an objection. Asked if he could see himself objecting to the electoral vote again, Sen. Josh Hawley (R-Mo.), who is one of eight GOP senators who challenged the 2020 election results, told HuffPost: Not unless theres widespread voter fraud. He said his objection to Pennsylvanias electors was based on voting changes in that election. I hope we would not see anything like that again, ever, Hawley said. Sen. Rick Scott (R-Fla.), who also challenged the 2020 election results, declined to answer when asked if he would do so again, calling the question a hypothetical. I hope Trump wins by a landslide so there wont be any issues, Scott said. Asked what he would do if there were issues with the coming election, the senator responded: I hope not. Its not good for the country. More than 30 House members, including half a dozen Republicans, have signed a bipartisan pledge to uphold the results of the 2024 election, according to Politico. None of the six Republicans who signed the pledge, however, objected to the results last time. Sen. Markwayne Mullin (R-Okla.), who objected to the 2020 electoral vote alongside 138 other Republicans when he served in the House, refused to commit to upholding the results of the 2024 election in an interview on CNN. Its hard to say what youre going to do and what youre not, Mullin said. Im not going to sit here and tell you what Im going to do and not going to do until I can see the results. Related... Lawrence County Sheriff warns citizens to turn around, dont drown in severe flooding LAWRENCE COUNTY, Ala. (WHNT) The Lawrence County Sheriffs Office is warning residents to use caution when experiencing flooding Saturday. Lawrence County Sheriff Max Sanders asked citizens to exercise extreme caution when roads are flooded. Chief Deputy Brian Covington told News 19 around 7 a.m., the department got a call about two people trapped inside a home on Main Street in Moulton due to severe flooding. LCSO said the Swift Water Rescue team worked with the local fire department and local police to get the residents out. Around 7:39 a.m., the department said the residents were rescued and no one was injured. The department also posted that Highway 24 West at intersection 168 was completely underwater. News 19 Meteorologist Aaron Ayers went to Moulton to see high water impacts from constant rainfall. Sheriff Sanders said to turn around, dont drown. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WHNT.com. Lawsuit against former 19 Kids and Counting star claims he lied about having contractors license BENTON COUNTY, Ark. (KNWA/KFTA) A former reality TV star is accused in a lawsuit of pretending to have a contractors license and not doing work on a Benton County mans home. The lawsuit was filed on September 10 by Fayetteville attorney Andrew Myers against Madison Holding and Jason Duggar. Duggar is Jim Bob and Michelle Duggars son and was featured in TLC shows 19 Kids and Counting and Counting On. The client, Mark Thompson, entered into a contract to buy a home in Bella Vista from Madison Holding with Duggar as the contractor. The complaint said Duggar reported he had a contractors license, however, it argues the Arkansas Contractors Licensing Board did not have one on file. The contract required 22 items to be repaired within 30 days, but after 11 months, most of the repairs were not completed, the complaint said. Duggar is accused of not completing work in the master bedroom and bathroom, and the sod was not fully installed. Crown molding in the upstairs portion of the home needed to be repaired, roofing issues were supposed to be fixed and other various problems were also included in the complaint. Sebastian County Jail and health provider agree to $6 million settlement over detainees 2021 death The complaint said Duggar acknowledged the roofing issues in April or May and said they would be addressed. In late May, Duggar said Thompson should pay someone else to make the repairs instead of him continuing to do so. Text messages between Duggar and Thompson are included in the lawsuit. Mark, I have a question for you. Instead of us coming back several times and you getting upset with me and me getting upset with you, what if I cut you a final check for however much you think its gonna cost to get these things? You sign a contract saying we are no longer responsible for any additional fixes on the home inspection repair list, Duggar said in a text message. Duggar and Madison Holding are accused of fraud, negligence, and breach of contract and breach of warranties in the lawsuit. Thompson is seeking monetary damages to be determined at trial, which includes the cost to make the repairs, along with Thompsons attorney costs and fees. Court records show that as of September 13, Duggar and Madison Holdings have yet to respond to the lawsuit. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KNWA FOX24. NOTE: A lawsuit represents only one side of a story. SALT LAKE CITY (ABC4) A recent court filing by lawyers representing The Church of Jesus Christ of Latter-day Saints investment arm Ensign Peak Advisors claimed the plaintiffs did not provide sufficient proof of fraud related to the use of tithing donations and the defense is seeking to have the case dismissed. The lawyers filed the motion and memorandum in Utahs District Court on Sept. 10, saying the court should dismiss the lawsuit with prejudice, which means the plaintiffs would be unable to refile the same claim in court. The LDS church filed a motion to dismiss the case simultaneously, according to documents. PREVIOUS STORY: LDS Church and its financial arm face second lawsuit over use of donated funds and tithes The LDS church and Ensign Peak are at the center of several lawsuits, with one recently being filed in Jan. 2024. According to the Sept. 10 filing, the churchs lawyers seek to dismiss a lawsuit filed at the end of Oct. 2023 by Daniel Chappell, Masen Christensen, and John Oaks. The motion to dismiss argued the plaintiffs were improperly disparaging the Church and Ensign Peak without any viable claim against the Church or Ensign Peak. The plaintiffs claimed the LDS church defrauded them by not immediately using their tithing donations for religion or charity, but instead investing their donations in Ensign Peak and hoarding, according to the filing. Holding and investing the Churchs reserve fund is a charitable function the law explicitly approves, the filing argued. The defense is arguing against each of the plaintiffs claims of fraud or fiduciary duty, noting the suit does not show a relationship between the plaintiffs and Ensign Peak. The plaintiffs filed their suit after Utahs three-year statute of limitations for fraud cases, according to the motion. The court is also unable to determine materiality without violating the First Amendment, the defense said. Background on the case The plaintiffs argued that Ensign Peak breached a common law fiduciary duty it allegedly owed them, according to the Sept. 10 filing. Furthermore, the plaintiffs argued Ensign Peak helped the LDS church breach its fiduciary duty to the plaintiffs. When someone has a fiduciary duty to someone else, the person with the duty must act in a way that will benefit someone else financially, Cornell Law School explained. Against the plaintiffs claiming a breach of fiduciary duty, the defense argued that the plaintiffs had no relationship with Ensign Peak much less a fiduciary one, the filing said. The defense further argued that the LDS church does not owe its members a fiduciary duty. The plaintiffs claimed fraudulent inducement against Ensign Peak, meaning one party was tricked into a disadvantageous agreement through fraudulent representations and statements, according to Cornell. The Sept. 10 filing said the plaintiffs argued Ensign Peak did not fulfill its mission for the LDS church, but rather collected donations without using them for charity. The defense argued the plaintiffs failed to present the necessary factual allegations to plead fraud, because the plaintiffs reportedly did not identify any statements made to the plaintiffs by Ensign Peak. Instead, the plaintiffs opted to quote Ensign Peaks articles of incorporation. The motion to dismiss the case also said Ensign Peak operates solely to invest the reserve funds for the Church. The third cause of action the plaintiffs argued was fraudulent concealment, arguing the LDS church and Ensign Peak had the duty to inform donors of the churchs reserve fund. The defense argued Ensign Peak did not have a duty of disclosure, and that the plaintiffs did not plead materiality. The defense also argued that a judge or jury cannot assess materially without violating the First Amendment and the Church Autonomy Doctrine. The plaintiffs also made a claim for fraudulent misrepresentation, saying they were assured their tithing donations would not be used for City Creek Center, a mall in Salt Lake City. The defense argued the plaintiffs did not plead that Ensign Peak made any statements regarding the funding for City Creek in their fraudulent misrepresentation claim. The defense said the claim should be dismissed with prejudice. The plaintiffs claimed unjust enrichment against Ensign Peak, but the defense said the plaintiffs do not allege that they conferred any benefit upon Ensign Peak. The plaintiffs also made allegations about Ensign Peaks IRS and Securities and Exchange Commission (SEC) filings. However, the defense argued the plaintiffs were unaware of the disclosures until a 2019 letter to the IRS or later, and were unable to plead a viable claim against the Church or Ensign Peak. Finally, the defense said the plaintiffs claims were barred by the three-year statute of limitations that applies to all claims grounded in fraud, saying the plaintiffs did not identify information that was not available at least three years before they filed suit. The defense also argued the plaintiffs said they were not aware of Ensign Peaks existence until 2019, and ultimately said all the claims against Ensign Peak should be dismissed without prejudice. The motion to dismiss the lawsuit can be read in its entirety below: CHAPPELL-ET-AL-VS-LDS-CHURCH-Motion-to-DismissDownload Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. Palantir (NYSE: PLTR) has emerged as one of the stock market's artificial intelligence (AI) darlings. The tech company is known for its complex data analytics platforms. It got its start in the aftermath of 9/11 by providing software to federal intelligence agencies that helped them sift through mountains of data and make connections that could help them prevent further terrorist attacks. Today, it's considered a leader in AI software with the Palantir Artificial Intelligence Platform (AIP), which allows users to build AI apps to expedite workflows or to assist with processes like inventory management or procurement. Palantir stock is up by more than 400% since the start of 2023, making it one of the top-performing AI stocks since the launch of ChatGPT. That bull run was recently capped off by news that the company would be added to the S&P 500. In the wake of the stock's surge, investors might be wondering if it's too late to buy Palantir. Could the AI company still deliver returns from here that could make you a millionaire? Image source: Getty Images. The Palantir growth story Some AI stocks such as Nvidia have put up blockbuster growth, but Palantir's emergence has been slower. The company was founded in 2003 and went public in 2020. It's on track to earn $2.75 billion in revenue this year. In the second quarter, its revenue grew 27% to $678.1 million, and the company is now highly profitable, with an adjusted operating margin of 37% in the quarter, or a 16% margin on a generally accepted accounting principles (GAAP) basis. Profits have surged because the company's business model is highly scalable -- its operating expenses rose just 7% in the quarter. Historically, Palantir's business has largely come from federal government contracts, but it is diversifying its customer base with strong growth in the commercial division. In the second quarter, its commercial revenue jumped by 33% to $307 million -- 45% of Palantir's total revenue -- and its commercial customer count jumped by 83% to 295. Overall, its recent results have been virtually flawless, with steady growth, growing profitability, improved guidance, and a diversifying customer base. Palantir's performance is especially impressive in an environment where software stocks have struggled, and a large number are trading well below their pandemic-era peaks. CEO Alex Karp characterized the company's growth as "driven by an unrelenting wave of demand from customers for artificial intelligence systems that go beyond the merely performative and academic." Story continues Can Palantir make you a millionaire? Palantir's share price growth from the all-time low it hit in late December 2022 has already helped some investors take significant steps toward millionaire status. While the business is firing on all cylinders, there are some legitimate concerns about its valuation. Currently, the stock trades at a price-to-sales ratio of 82.6 and a trailing price-to-earnings ratio of 205, though considering its growth expectations, that ratio should come down reasonably quickly. Other AI stocks like Nvidia seem to have plateaued for now as investors are concerned that cloud infrastructure companies are overspending on AI and that stock prices have gotten ahead of the growth in the underlying businesses. As a software company, Palantir doesn't really face that concern. Demand for its products is separate from the rest of the generative AI sector, but slowing growth could spark similar concerns. Palantir is at risk of being affected by headwinds in the broader economy, as its customers and potential customers could pull back on spending on a new technology. Palantir also now has a market cap near $80 billion, so multibagger growth will be more difficult from here, but the company's competitive advantages should ensure its continued growth. If you're looking for AI stocks or growth stocks to drive your portfolio toward $1 million, then investing in Palantir makes sense. However, making that position part of a portfolio diversified with other AI stocks seems like a better course of action. Additionally, buying Palantir opportunistically if it pulls back could deliver handsome rewards. Overall, based on its recent results and the stock's performance, Palantir has earned a place in any AI-focused growth stock portfolio. Should you invest $1,000 in Palantir Technologies right now? Before you buy stock in Palantir Technologies, consider this: The Motley Fool Stock Advisor analyst team just identified what they believe are the 10 best stocks for investors to buy now and Palantir Technologies wasnt one of them. The 10 stocks that made the cut could produce monster returns in the coming years. Consider when Nvidia made this list on April 15, 2005... if you invested $1,000 at the time of our recommendation, youd have $729,857!* Stock Advisor provides investors with an easy-to-follow blueprint for success, including guidance on building a portfolio, regular updates from analysts, and two new stock picks each month. The Stock Advisor service has more than quadrupled the return of S&P 500 since 2002*. See the 10 stocks *Stock Advisor returns as of September 9, 2024 Jeremy Bowman has no position in any of the stocks mentioned. The Motley Fool has positions in and recommends Nvidia and Palantir Technologies. The Motley Fool has a disclosure policy. Could Palantir Stock Help You Become a Millionaire? was originally published by The Motley Fool After learning of $533K loss in Gregg Township, residents are still waiting for answers After Gregg Township residents learned last month that nearly $533,000 had been lost from township accounts, theyre still waiting for answers about who will be held accountable. A criminal investigation is ongoing, state police at Rockview told the CDT on Friday, a day after dozens of residents filled a meeting room in the Old Gregg School to hear an update from the board of supervisors. Township officials have not shared over what time period the money was lost and said at a June meeting that its prior secretary appears to have been involved in a series of transactions that resulted in large amounts of money being spent from Township accounts. In emails obtained by the CDT through a Right-to-Know request, township solicitor David Gaines requested a draft of the 2021 township audit and wrote that the former secretarys activities existed in 2021 and possibly before. Last month, officials estimated that the loss came to nearly $533,000. That total came from an investigation carried out by tax accountant John Corman, according to Gaines. The townships former secretary is currently suspended indefinitely without pay. Officials did not use the former secretarys name during Thursdays meeting and the CDT does not typically name suspects unless they are charged. Gaines said Thursday that while no charges have been filed, the township has received updates from law enforcement. They do not necessarily tell us what theyre doing, but they assure us that they are proceeding, Gaines said of the police investigation. The bonding companys investigation is also ongoing, Gaines told the CDT. Officials said last month that they expected to be repaid, as the estimated amount falls within the townships bond limits. Responding to questions during public comment, supervisor Ben Haupt said that if the criminal investigation comes back with evidence against the former secretary, the township does intend on prosecuting. Resident Lisa Bierlein called for the supervisors to continue to be transparent with its posting of minutes and updates to the public throughout this process, after a resident at last months meeting called the minutes from Junes township meeting vague. Last month, the board hired a new township secretary and treasurer and voted to have each of its supervisors subjected to an annual state police background check. The next Gregg Township meeting will take place at 6:30 p.m on Thursday, Oct. 10. At least 3 dead after overnight shooting in Antioch NASHVILLE, Tenn. (WKRN) Three people were found dead at a home in Antioch Friday night. Officers arrived at a residence on Bart Drive just after 11:11 p.m. on Friday, Sept. 13 when they discovered a man dead on the front porch. When they entered the house, two additional men were found dead inside the home. Officials told News 2 that two of the victims and a woman were seen on nearby surveillance camera arriving at the house around 10:35 p.m. Friday in a white Honda with a Georgia temporary license plate. Have breaking news come to you: Subscribe to News 2 email alerts (Courtesy: Metro Nashville Police Department) (Courtesy: Metro Nashville Police Department) About an hour later, Metro police reported several suspects approached the house on foot, armed with weapons. The shooting reportedly lasted about a minute before the suspects fled back down the street. Investigators believe there may have been a getaway vehicle waiting nearby. Officials said the woman who arrived with the victims may have ran away from the shooting scene. On Monday, Sept. 16, police positively identified two out of the three victims, who are all believed to be from the Atlanta area. One of the victims was identified as 22-year-old Jesus Gallardo-Ramirez and the other was only identified as a 48-year-old man. According to MNPD, efforts are underway to notify his family. In addition, officers reported that a 911 call was made more than 30 minutes after the gunfire. The caller allegedly told dispatch that he was calling from Atlanta on behalf on a Spanish-speaking friend and wanted officers to respond to the Bart Drive home for a fight. An anonymous witness in the neighborhood told News 2 they were in their living room watching television when they heard the gunshots. CRIME TRACKER | Read the latest crime-related reports from across Middle Tennessee I heard about like eight to 10 gunshots. I just peeked outside the house, and I look up the street, and I see about six dudes just running across the street right after the gunshots, they said. The witness said the shooting was a shock to the community. I was on my computer and I heard maybe like 10 or 15 gun shots neighbor Aaron Jaden told News 2. About six or so people ran to the car and they just drove off. A short time later, officers were called to Wallace Drive where a victim claimed to have been shot while riding a bicycle near Bart Drive. That victim was transported to Vanderbilt University Medical Center. Detectives have not yet been able to speak with him to confirm that due to his medical condition. An investigation is underway to determine if the incidents are connected. Read todays top stories on wkrn.com The motive for the shooting is unknown at this time. Officials initially said four victims were found deceased on the scene. MNPD Public Information Officer, Don Aaron, later clarified to News 2 that there were three deceased victims. This is an extreme occurrence from last night, Aaron added. Thats not something that we typically see in Nashville. Homicide detectives are pursuing leads and said they are in contact with authorities in the Atlanta area. Anyone with information is asked to contact Nashville Crime Stoppers at 615-742-7463. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKRN News 2. At least five injured in Ukrainian attack on Russia's Belgorod region At least five people have been injured in a Ukrainian attack on the southern Russian region of Belgorod, according to Governor Vyakheslav Gladkov on Saturday. Gladkov said several grenades hit a section of road between Belgorod and Shebekino, injuring four people and damaging several vehicles. In the nearby village of Voznesenkovka, a woman was reportedly injured when a drone struck a residence, though the information could not be independently verified. The Ukrainian army has started using artillery and drones to target sites across the border in the southern Russian region of Belgorod, after Moscow's forces launched an offensive against the north-eastern city of Kharkiv in the summer. Russia also regularly targets civilian sites in Ukraine, with Kharkiv among the cities most frequently subject to shelling, just 30 kilometres from the border. Kiev repels Russian drone attacks Earlier, Russia again launched overnight drone attacks at targets across Ukraine, deploying some 70 unmanned aerial vehicles, Ukrainian President Volodymyr Zelensky said on Telegram on Saturday. The areas of Cherkasy, Zhytomyr, Vinnytsia, Odesa, Sumy, Dnipropetrovsk, Poltava, Kherson, Kharkiv and Donetsk as well as the greater Kiev area were targeted in the attacks, most of which were repelled, he said. The Ukrainian Air Force reported having shot down 72 of 76 Russian drones launched overnight, without providing details on possible damages or casualties. Significant damage was mainly reported from the Black Sea region of Odessa. In a suburb of the regional capital, several buildings, including a residential building, were damaged by drone debris, military governor Oleh Kiper wrote on Telegram. In the district of Izmail, a hub for grain shipments, storage buildings were hit. A municipal company was hit by falling debris in Kiev, but a fire did not break out, according to Mayor Vitali Klitschko. Ukraine has been defending itself against a full-scale Russian invasion for more than two and a half year, relying heavily on military aid supplied by Western partners. The government in Kiev has repeatedly called on Western allies to increase support and send more air defence systems to protect Ukrainian cities and infrastructure against the constant Russian bombardment. Russian, Ukrainian prisoners exchanged Russia and Ukraine also held a major exchange of prisoners, with both sides swapping more than 100 captured soldiers. The Russian Defence Ministry said 103 of its military personnel were in Belarus to receive "necessary psychological and medical assistance" before returning home. Moscow thanked the United Arab Emirates for mediating in the case. Ukrainian President Volodymyr Zelensky said on Telegram that 82 soldiers and 21 officers were released by Russia, including members of the country's army, border guards and police officers. According to Zelensky, many of the Russian prisoners had been captured during Ukraine's surprise cross-border offensive in Kursk. The latest exchange came one day after Zelensky announced a further swap involving 49 Ukrainians. Ukraine and Russia have exchanged prisoners of war on more than 50 occasions since Moscow launched its invasion in February 2022. Floods in Romania kill at least four people as rain batters central Europe Floods in Romania kill at least four people as rain batters central Europe By Luiza Ilie and Radovan Stoklasa VISNOVA, Czech Republic (Reuters) -At least four people died and thousands of homes were damaged by flooding in eastern Romania on Saturday, officials said, as surging river levels put authorities on alert in much of central and eastern Europe following days of torrential rain. Tens of thousands of households were left without power in Romania and the Czech Republic, where more rainfall is forecast in the coming days. Poland, Slovakia, Hungary, southern Germany and parts of Austria are also expected to see more heavy rain. Residents of some towns along the Czech-Polish border were evacuated as rivers rose past alert levels while the Czech capital, Prague, which suffered catastrophic floods in 2002, put preventative anti-flood measures in place. In Romania, flooding affected eight counties, the country's emergency unit said, and Prime Minister Marcel Ciolacu visited hard-hit Galati county, where the four people were found dead, about 5,000 homes were damaged and 25,000 were without power. Television images from the area showed streets flooded with muddy water, silt and debris as rescuers led residents to safety. "The priority is obviously to save lives. At this moment we have all the necessary logistics to intervene quickly," Ciolacu said. EVACUATIONS, BLACKOUTS In the Czech Republic, northern and northeastern areas bore the brunt of the deluge and 51,000 households had their electricity supply cut off, the CTK news agency said. Forecasters warned that some parts of the country could see more than a third of average annual rainfall by Sunday, with Environment Minister Petr Hladik urging people in the worst-hit areas to prepare to leave their homes. In the village of Visnova, 140 km (87 miles) north of Prague, local resident Roman Christof said his cottage had escaped damage because it was built on higher land. Others were less fortunate, he added. "I feel sorry for the neighbours," he said as he surveyed the floodwaters. In Prague, a city of more than 1.3 million people that sits on the banks of the Vltava river spanned by the picturesque 14th century Charles Bridge, flood barriers were put in place. The city heavily invested into preventive measures after the 2002 floods, which swept into the subway system and forced tens of thousands of people from their homes. Prague Zoo, which is located along the Vltava, was closed to visitors and Czech Railways said services on dozens of routes were disrupted. In the country's second-biggest city, Brno, a hospital evacuated patients as a precaution. 'CRITICAL NIGHT' AHEAD In Glucholazy, a historic town in southwestern Poland near the Czech border, firefighters piled hundreds of sandbags alongside a swollen river and some residents were evacuated. Polish Interior Minister Tomasz Siemoniak said weather forecasts looked unfavourable, with very heavy rainfall to fall around the Czech border area over the next 24 hours, feeding rivers into Poland. "We are facing a critical night, full mobilisation is required," Prime Minister Donald Tusk said on the X platform. Officials in neighbouring Slovakia warned of the threat of flooding in the capital, Bratislava, from the swollen Danube, while Hungary expects the river to near record-high levels in the coming days. In Austria, emergency services were working with district governors and municipalities to prepare for evacuations. Chancellor Karl Nehammer said all federal states were affected and the situation was deteriorating, particularly in the northeastern state of Lower Austria. "The coming days will still be extremely difficult and challenging for the affected population and the emergency services," Nehammer said on X. (Reporting by Luiza Ilie in Bucharest, Jason Hovet in Prague, Marek Strzelecki in Warsaw, Krisztina Than in Budapest and Maria Martinez in Berlin;Editing by Helen Popper and Ros Russell) At least ten Palestinians killed in Gaza strike, Israel says targeted Hamas commander JERUSALEM At least 10 Palestinians were killed in an Israeli airstrike in Gaza on Saturday, Palestinian media reported, and the Israeli military said it targeted a Hamas commander as the devastating war stretched into its 11th month. The strike hit a residential housing unit in the Al Tuffah neighborhood east of Gaza City, according to the official Palestinian news agency Wafa. The Israeli military said it "struck the commander of a Hamas terrorist cell ... who was involved in the planning and execution of terrorist activities." More: More than 40 dead, dozens injured in Israeli airstrikes on Gaza tent camp, agency says More: Biden and Harris condemn killing of American citizen by Israeli troops in West Bank It said it was aware of reports that several civilians were killed in the strike. Two others were killed by Israeli shelling on Gaza City and Jabalia in the north, and three in al-Mawasi in the south, the report said. This article originally appeared on USA TODAY: Ten killed in Israeli strike on Hamas commander Is it legal to take a selfie with your voting ballot? Heres what Washington law says Editors note: This article is part of U.S. Democracy Day, a nationwide collaborative on Sept. 15, the International Day of Democracy, in which news organizations cover how democracy works and the threats it faces. To learn more, visit usdemocracyday.org. The 2024 presidential election is right around the corner which means it is almost that time when your social media feed is filled with pictures of the I voted sticker and maybe even selfies with ballots. But is it legal to take a selfie with your ballot and post it to your social media accounts? That depends on which state you live in. The right to a secret ballot has been a feature that has helped prevent voter coercion, and while some states argue that ballot selfies could lead to vote buying, other states claim ballot selfies are a form of protected political expression that should be allowed under the First Amendments free speech protections, according to the Free Speech Center. Heres what Washington State law says about ballot selfies. Is it legal to take a selfie with your ballot in WA? There arent any laws in the state of Washington that explicitly ban ballot selfies, according to the Washington Secretary of States Office. The state of Washington does not directly prohibit ballot selfies. However, it is illegal to view anothers ballot for a purpose prohibited by law, such as vote buying, according to the Secretary of State website. Ballot selfie laws in other states In addition to Washington state, the following states also allow ballot selfies, according to the Lawyers for Good Government website: California Oregon Idaho Montana Wyoming Utah Colorado North Dakota Nebraska Kansas Oklahoma Michigan Indiana Kentucky Alabama Hawaii Virginia Connecticut Maine Vermont New Hampshire Rhode Island Arizona - absentee ballots only Texas - absentee ballots only Tennessee - absentee ballots only Philadelphia -absentee ballots only West Virginia - absentee ballots only Maryland - absentee ballots only Delaware - absentee ballots only The following states do not allow ballot selfies, according to the Lawyers for Good Government website: Alaska Nevada South Dakota Missouri Illinois Mississippi Florida South Carolina North Carolina New York Ohio Massachusetts New Jersey According to the Lawyers for Good Government website, it is unclear if the following states allow ballot selfies: New Mexico Louisiana Minnesota Wisconsin Georgia District of Columbia Consultants and planners agree that Johnson County needs a homeless shelter. They call the project their highest priority, and zoning officials acknowledge the urgency in Lenexa, a city within the target area. People support action. Yet once conversations turn from abstract to specific, they can get squeamish. They like the idea of a safety net for their neighbors in crisis, as long as development does not occur nearby. The outcome is predictable: Once everyone agrees a shelter should go somewhere else, it goes nowhere. Zoning officials raise vague concerns usually without evidence about density, public safety or community character. Policymakers respond by forming study groups and gathering testimony. But in the end they do nothing. Similar tactics can kill all types of affordable housing. But Lenexa has a chance to break the pattern. ReStart, a charity that provides housing and related services for individuals in need, has agreed to open a 50-bed shelter just like Johnson County wanted when it issued a request for proposals in 2023. The planned facility would not go in anyones backyard. Instead, reStart would convert and renovate a run-down hotel, the La Quinta Inn off Interstate 35 and 95th Street. The area, already zoned for a shelter, borders an industrial park, big-box retailers, restaurants and other businesses. An abandoned restaurant building on the lot would provide storage and future office space for the shelter. Lenexa should celebrate. Yet city planners want to fight the project instead. They recommended denial of a special use permit at a public hearing in August. The matter now goes to City Council on Sept. 17. Before any vote occurs, council members should consider the case of the Catherine H. Barber Memorial Homeless Shelter in North Wilkesboro, North Carolina. Zoning officials did everything they could to stop the grand opening of this facility. The local Board of Adjustment said the shelter satisfied all zoning requirements. But the city denied a conditional use permit anyway, citing hypothetical concerns about traffic and safety. Rather than go away quietly, the shelter sued for violation of its property rights. Our public interest law firm, the Institute for Justice, represented the charity and helped it score a legal victory on Dec. 20, 2021, at the U.S. District Court for the Western District of North Carolina. The Board apparently believes incorrectly that it can say the magic words traffic and safety and this Court will rubber stamp the classification no matter the facts, the judge wrote. Similar issues apply in Lenexa. Cities have authority to regulate development. But they cannot block projects without good reason, and the Lenexa Planning Commission has testimony affirming the legality of the proposed shelter. The project is consistent with current zoning regulations and will not create detrimental effects on nearby properties, shelter proponents have said. They also assert a public benefit: The center will address a critical need in our community, improve public safety, and contribute to the overall well-being of our residents. These are important points. Yet Lenexa zoning officials want to override them by saying magic words like neighborhood suitability. Other cities call it character, stability, desirability or harmony. Connecticut requires local governments to define terms like these, but most cities give themselves a blank check. They use catchall phrases as euphemisms to justify exclusionary zoning laws. The goal, rarely spoken aloud, is to keep undesirable people out. Opponents of affordable housing called them riff raff in Calhoun, Georgia. Yet individuals without homes need somewhere to go. Johnson County planners say a shelter could function as a front door to housing stabilization services. Lenexa should respond with a truly magical word: Yes. Suranjan Sen is a senior attorney for the 501(c)(3) nonprofit Institute for Justice in Arlington, Virginia. He co-authored this with Institute for Justice writer Daryl James. Liberal Democrat leader Ed Davey is urging the Labour government to invest more in the NHS or accept continued decline. Sir Ed is calling for a budget for the NHS in October, with more investment in hospitals and training doctors, nurses and dentists. The party says the NHS needs 3.7bn a year extra in day-to-day spending, and a further 1.1bn a year for investment. The NHS and social care are the main focuses of the partys autumn conference in Brighton. The conference comes in the week a report by surgeon and independent peer Lord Darzi described the NHS as a broken system that was in serious trouble. The former Labour minister's report said the NHS had been starved of funding for hospital repairs and faced a shortfall of 37bn of investment. In response, Prime Minister Sir Keir Starmer promised a 10-year plan for the NHS, but he said there would be no extra funding without reform. The Lib Dem leader is using his partys conference to say reform on its own will not be enough, ahead of Chancellor Rachel Reeves's autumn budget. We need to see a budget for the NHS, with investment to fix our crumbling hospitals, replace ageing equipment and ensure people can see a GP or dentist when they need to, Sir Ed said. The government can only deliver the improvements that patients urgently need with additional investment in the NHS alongside reform. The government faces a stark choice: invest more in the NHS or accept continued decline. Sir Ed told the BBC's Sunday with Laura Kuenssberg programme that addressing social care was "the most important part" of health care reform. "I don't believe you can sort out some of the problems in our hospitals where people can't get discharged, where people keep going back, and there's a revolving door, unless you sort out social care," he said. "And that means better care homes, more care staff, better domiciliary care services, paying care workers more." Dividing lines The Lib Dems were in a sunny mood befitting of the weather in Brighton, as they celebrated winning 72 seats - their most-ever MPs - in Julys general election. Sir Ed - who carried out a series of daring stunts during the election campaign - opened the conference in eye-catching fashion, by riding a jet ski through Brighton Marina. Now the third-largest party in the House of Commons, the Lib Dems are positioning themselves as a constructive opposition. But this conference is also about carving out dividing lines with Labour, which won a huge majority in the general election. Sir Ed told the BBC the Lib Dems "absolutely will challenge the government where we disagree with them" and pledged to be a "better opposition than the Conservatives". At a fringe event, the new MP for Cheadle, Tom Morrison, said empowering communities and pushing for reform of social care were ways the party could distinguish itself from Labour. Another newly elected Lib Dem MP, Paul Kohler, said his party needed to challenge the authoritarian side of Labour and propose progressive solutions to problems, such as the overcrowding crisis in prisons. But they all agreed the Conservatives were still their main opponents in most election battlegrounds. Sir Ed rode into Brighton Marina on a jet ski [Getty Images] In a speech on day one of the conference, chief whip Wendy Chamberlain claimed the Liberal Democrats were the party of the NHS and care. The MP said the Lib Dems will use their boosted numbers in Parliament to call for urgent improvement to NHS services. The Lib Dems put the NHS and social care at the heart of its election manifesto. When asked how they would pay for their policies, the party said the extra 1.1bn of capital investment would come from borrowing. The additional 3.7bn a year on day-to-day spending would be raised through closing loopholes in capital gains tax, the party said. The Lib Dems claim only 0.1% of the population would see a tax rise under their plans to fund this spending top-up. In her speech, Chamberlain was critical of the Conservatives, who the Lib Dems took most of their seats from in the general election. But she also lambasted the SNP, who she accused of taking voters in Scotland for granted and using its platform in Parliament to grandstand. The SNP has pursued a decade of division and the people of Scotland have paid the price, she said. She said the Lib Dems have a chance to kick the SNP out of power at the next set of elections to the Scottish Parliament in 2026. On Sunday, the Lib Dems turned their focus to education, with education spokesperson Munira Wilson giving a speech calling for an expansion of free school meals to all children in poverty. The conference will continue in Brighton until Tuesday, when Sir Ed will make a speech to the party faithful. WILKES-BARRE, LUZERNE COUNTY (WBRE/WYOU) Vice President Kamala Harris made a campaign stop in Wilkes-Barre Friday ahead of the 2024 Presidential Election. Recent polling shows Harris and former President Donald Trump are in a virtual dead heat nationally and here in PA. Vice presidents overall message Friday night was: that its time to move forward and that America will not go back. Vice President Kamala Harris received a rousing welcome at the McHale Athletic Center at Wilkes University Friday evening. A crowd estimated to be around 4,000 people was here to support her. Harris says its time to bring people together. Traffic delays during Vice President Kamala Harris Wilkes-Barre rally In the midst of this moment where people are trying to divide us as a nation, trying to make people feel small and feel alone there are moments like this that remind all of us that we are all in this together as one loving community, said VP Harris. She also talked about the debate Tuesday with former President Trump. You remember that night I talked about issues that matter to families across America bringing down the cost of living investing in Americas small business protecting reproductive freedoms and keeping our nation safe and secure, stated VP Harris. She says that is a big contrast to what she says America heard from the former president. Protestors come out for Vice President Harris visit to Wilkes-Barre It was the same old show the same tired playbook weve heard for years with no plan. No plan on how he would address the needs of the American people. Well folks its time to turn the page, says VP Harris. Throughout the half-hour speech, she repeated a major theme of her campaign. We are not going back because we are not going back because America is ready for a new way forward, mentioned VP Harris. 28/22 News talked with several people as they left the rally. All said they were pleased with what they heard. But they also said they wanted to hear more from the Vice President about what she would do to address the problems at the southern border, which is one of the big issues that former President Trump talks about at his rallies. Pointing the finger of blame for much of those problems at the Vice President. You can watch Vice President Harris full speech in the video player below. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PAhomepage.com. AUSTINTOWN, Ohio (WKBN) The Mercy Health Foundation hosted its Man Up Mahoning Valley Fuel the Fight Car Show on Saturday in Austintown. Hundreds of collector cars and trucks were lined up at Hollywood Gaming to raise awareness for prostate cancer prevention and detection. Prostate cancer is one of the most common cancers diagnosed in men in the Mahoning Valley. Steven Burbrink, a committee member for Man Up Mahoning Valley, is a two-time prostate cancer survivor. He said the event was created to educate men about the need for early detection. We want to keep the fight. Thats why we do this show every year. We try to do these events so we encourage guys to go to the doctor, Bubrink said. He said men should get a prostate exam every year starting at age 40. Tino DiCenso contributed to this report. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. By Aditya Kalra NEW DELHI (Reuters) -Samsung, Xiaomi and other smartphone companies colluded with Amazon and Walmart's Flipkart to exclusively launch products on the e-commerce firms' Indian websites in breach of antitrust laws, according to regulatory reports seen by Reuters. Antitrust investigations conducted by the Competition Commission of India (CCI) have found that Amazon and Flipkart violated local competition laws by giving preference to select sellers, prioritising certain listings, and steeply discounting products, hurting other companies, Reuters reported last week. The CCI's 1,027-page report on Amazon also said the Indian units of five companies - Samsung, Xiaomi, Motorola, Realme and OnePlus - were "involved in the practice of exclusive" phone launches in "collusion" with Amazon and its affiliates, breaking competition law. In Flipkart's case, a 1,696-page CCI report said the Indian units of Samsung, Xiaomi, Motorola, Vivo, Lenovo and Realme conducted similar practices. The inclusion of smartphone makers like Samsung and Xiaomi in the case could increase their legal and compliance headaches. "Exclusivity in business is anathema. Not only is it against free and fair competition but also against the interest of consumers," CCI's additional director general G.V. Siva Prasad wrote in the Amazon and Flipkart reports, in identical findings. Reuters is first to report the smartphone companies have been accused of anticompetitive behavior in the CCI's reports which are dated Aug. 9 and are not public. Xiaomi declined to comment, while the other smartphone makers did not respond to requests for comment. Amazon, Flipkart and the CCI did not respond, and have not so far commented on the reports' findings. Both the CCI reports said that during investigations Amazon and Flipkart "deliberately downplayed" allegations of exclusive launches, but officials found the practice was "rampant". Counterpoint Research data shows that South Korea's Samsung and China's Xiaomi are two of India's biggest smartphone players, together holding an almost 36% market share, with China's Vivo on 19%. India's e-retail market is set to exceed $160 billion by 2028, up from $57-60 billion in 2023, consultancy firm Bain estimates. The investigation findings are a major setback for Amazon and Flipkart in a key growth market where they have faced the ire of small retailers for years for hurting their offline businesses. The CCI has also said both companies used their foreign investments to provide subsidised rates for services like warehousing and marketing to a select number of sellers. Story continues ONLINE SALES BOOM Some of the smartphone companies - Xiaomi, Samsung, OnePlus, Realme and Motorola - have been ordered to submit their financial statements for three fiscal years to 2024, certified by their auditor, to the CCI, according to an internal CCI document dated Aug. 28, also seen by Reuters. The investigation into Amazon, Flipkart and their sellers was triggered in 2020 by a complaint from an affiliate of the country's biggest retailer association, the Confederation of All India Traders, which has 80 million members. The CCI will in coming weeks review any objections to its findings from Amazon, Flipkart, the retailer association, and the smartphone companies, and could potentially impose fines along with mandating companies to change their business practices, people familiar with the matter said. Indian retailers have repeatedly accused Amazon and Flipkart, and smartphone companies, of exclusive phone launches online, saying shopkeepers suffered as they didn't get the latest models and customers looked for them on the shopping websites. "Exclusive launches had not only severely affected the ordinary sellers on the platform but also the brick-and-mortar retailers who were provided mobile phones at a much later date," both CCI reports said, citing analyses of data from smartphone companies. Indian research firm Datum Intelligence estimates that 50% of phone sales were online last year, up from 14.5% in 2013. Flipkart had a 55% share in online phone sales in 2023, and Amazon 35%. (Reporting by Aditya KalraEditing by Mark Potter) Locals taking action after lawsuit leads to veteran banner takedown in Union City (WJET/WFXP) After a lawsuit led to a takedown of banners honoring veterans in Union City, local residents are stepping up to lend a helping hand. The nonprofit Union City Hometown Heroes Project pays tribute to local veterans, living and deceased with 225 banners recognizing their service along the areas roadways. But after a motor home was damaged by one of the banners, the driver Lance Greer, from Union City sued the Hometown Heroes Project for $6,700 and won. Beverly Ballog, treasurer for the nonprofit, told JET 24/FOX 66 that this ruling sets a precedence the small organization cannot afford. Lawsuit could end Hometown Hero banners honoring veterans in Union City Well, we dont have $6,700, so what well have to do is close the entity and take the banners down. Well probably be one of the only towns in Pennsylvania that doesnt have Hometown Heroes, said Ballog. So far, only 27 banners along Route 19 have been taken down at this time. However, the group held a meeting to discuss the issue Friday night where they received many offers from local residents to pay the legal fees and appeal the lawsuit against Greer. As of this time, the nonprofit has decided to hold off taking down any more until further notice. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJET/WFXP/YourErie.com. LORDSTOWN, Ohio (WKBN) Apple cider lovers looking for fun can make their way to Lordstown for its Apple Cider Festival this weekend. Its on the Lordstown school grounds at the corner of State Route 45 and Salt Springs Road. It opened Friday and runs from 9 a.m. to midnight Saturday and from noon to 8 p.m. on Sunday. Admission and parking is free. The festival features rides, live music and crafts shows. A parade will take place at 3 p.m. on Sunday. According to its Facebook, Lordstown Apple Cider Festival is a nonprofit organization that gives back to the community and is sponsored by several local businesses. Tino DiCenso contributed to this report. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. Earlier this summer a Pay What You Want food truck ambled through Los Angeles handing out hot slices of pizza. The teal green mobile kitchen was sent around the country by Newmans Own, the non-profit food company started by the late actor Paul Newman to raise funds for their foundation and gauge the generosity of customers. After studying the findings of their new "Generosity Index," the company has declared Los Angeles to be most generous city in America. Customers visiting the truck, which made stops from downtown to Venice in July, paid an average of $5.54 per slice, almost three times more than pizza lovers in New York, which took the number ten spot on the list. Customers in Chicago paid about half of L.A. prices. Diners in Silicon Valley were near the bottom of the list, paying $2.07 per slice. One customer in Downtown Los Angeles donated $100 for one piece. The company also compared the generosity of three of Americas top music fandoms and notes that fans of Taylor Swift, Olivia Rodrigo, and BTS were the most generous. Baby Boomers and Gen X paid the most, at an average of $3.34 a slice, while Gen Zers kicked in $2.61 a slice. The Newman's Own pizza truck in L.A. this summer Photo courtesy Newman's Own "Taking the Newmans Own pizza truck across the country as a social experiment on peoples generosity was an insightful experience, Peter Kaye of Newman's Own, Inc. said in a statement. "While the results were entertaining and sometimes surprising, they confirmed what we already knewAmericans are generous people who love Newmans Own pizza! Newman and his wife Joanne Woodward were part of a new wave of naturalistic actors, along with James Dean and Marlon Brando, that emerged from New Yorks Actors Studio after World War II. The celebrity couple were known for their homebody lifestyle while living in Beverly Hills during the 1960s. Woodward was awarded one of the first batch of 8 stars on the Hollywood Walk of Fame and the couple lived here until relocating to a farmhouse in Connecticut where Newman teamed up with neighbor A. E. Hotchner to start bottling salad dressing to give away as gifts. The pair created an entire line of dressings, cookies and other food products and Newmans Own Foundation has been donating to children's charities like SeriousFun Childrens Network and FoodCorps since 1982. HOLYOKE, Mass (WWLP) Lieutenant Governor Kim Driscoll along with Veterans Services Secretary Jon Santiago greeted the staff and veterans at the Veterans Home in Holyoke today while also checking on the progress of the construction of the new building. This is just the beginning of the Healey-Driscoll administrations support for veterans across the Commonwealth but particularly in Western Mass said Veterans Services Secretary, Jon Santiago. After the COVID-19 pandemic, reform and investment were needed for veteran care in the state and the Healey-Driscoll administration took action. To see this building going up, rising and going to be able to provide long term care for veterans who need it and an adult day component, just really warms my heart and I am really proud of these investments said Massachusetts Lientenant Governor Kim Driscoll. Lieutenant Governor Kim Driscoll said she comes from a military family so work like this is important for her. I mean it is so critical, individuals who have sacrificed for this country to have a place that they can be well cared for with dignity and respect said Lt. Gov. Driscoll. Not only will the new building be able to house over 100 more veterans, but the staffing will also increase by 35%. Its the type of investment that you are proud of if you live in Massachusetts said Lt. Gov. Driscoll. The Healey-Driscoll Administration and Veteran Services Secretary Santiago were able to secure $263.5 million in federal funding for the new state-of-the-art veterans home in Holyoke, only one of two in the state. Santiago served in the military himself, so he is using his personal experience and what he needed to help others. When I took the job, I really thought about my own service, as a physician, as a legislator, as a veteran and I said if I can do anything to support my veterans, my fellow brothers and sisters in military service, I would do it said Santiago. The new building will have 234 long-term care beds, 30 beds in the memory care unit and an adult day health program. Construction started in August of 2023 and is currently ahead of schedule. The project is slated to finish in late 2026. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WWLP. KNOXVILLE, Tenn. (WATE) A Luttrell man was sentenced to three decades in prison after he pleaded guilty to drug charges and the attempted murder of two Tennessee Highway Patrol Troopers. According to the Department of Justice, Cody Andrew Seals, 27, pleaded guilty in April to conspiracy to possess with the intent to distribute methamphetamine, discharging a firearm in the furtherance of a drug trafficking crime, and the attempted murder of two THP Troopers who were assisting federal law enforcement agencies. He was sentenced to 30 years in prison on September 13. $3K in thank you gifts to Farragut coaches investigated by TN comptroller The DOJ states that federal law enforcement agents and task force officers were investigating a Mexican drug trafficking cartel that was distributing hundreds of kilograms of methamphetamine into East Tennessee and elsewhere when the crimes Seals pleaded guilty to happened. On January 9, 2020, officers conducting surveillance saw Seals driving up to a hotel to purchase large quantities of methamphetamine and heroin, the DOJ said. The officers then followed Seals and requested assistance from THP to stop Seals vehicle. The DOJ explained that when the two THP troopers attempted to conduct a traffic stop on Seals pick up on Highway 27 north of Chattanooga, Seals fled at a high rate of speed and fired a handgun at the Troopers. The chase lasted several minutes, ending with the THP troopers forcing Seals truck to a stop on the highway. The DOJ stated that Seals then got out of his truck and began firing a rifle at both Troopers, striking one of them in the leg. The Troopers returned fire and struck Seals. Seals then stopped shooting and was arrested. Knoxville man convicted of sexually abusing child for four years According to the DOJ, when officers searched Seals truck, they found 1.4 kilograms of methamphetamine, 500 grams of heroin, and several firearms. The law enforcement agencies that participated in the joint investigation that led to the indictment against Seals include Homeland Security Investigations, the Tennessee Bureau of Investigation, the Tennessee Highway Patrol, Tennessees 9th Judicial District Drug Task Force, the Georgia Bureau of Investigation, the Georgia State Patrol, and the Drug Enforcement Administration. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WATE 6 On Your Side. A judge in another county is expected to preside over a lawsuit filed by the Macomb County prosecutor against the county's top attorney and ethics board after Macomb's chief circuit judge disqualified himself from the case Friday. Macomb Chief Circuit Judge James Biernat signed an order of disqualification/reassignment in Prosecutor Peter Lucido's suit against Corporation Counsel John Schapka and the ethics board. Biernat indicated "my continued assignment would create an appearance of impropriety." No additional reasons were given. Judge James Biernat at the Macomb County Circuit Court in Mount Clemens on Thursday, June 1, 2023, listens to victim impact statements before handing down a sentence of life in prison without parole for Arthur Williamson for the killing of WWJ-AM overnight anchor Jim Matthews and crimes against Matthews' girlfriend and the couple's two children in September 2022. The request for reassignment will be forwarded to the State Court Administrative Office. This isn't the first time a judge or judges in the Macomb Circuit Court have recused themselves from a case involving an elected official in the county. A St. Clair County Circuit judge handled a case involving ousted Macomb County Clerk Karen Spranger and an Oakland County Circuit judge handled a criminal case involving former Prosecutor Eric Smith. Biernat's order came less than a month after Circuit Judge James Maceroni decided to not disqualify himself from the case, per Lucido's request, after Lucido raised concerns about a campaign contribution linked to Maceroni that Lucido argued created an appearance of impropriety as it related to the lawsuit. Maceroni's decision was expected to be reviewed by Biernat and a hearing was scheduled for Sept. 16, but it was adjourned without a date because of "out of county assignment," per online court records. Other matters scheduled in the case also are adjourned without dates. "It's disappointing that this matter has dragged on and on and on, and everyone involved needs some closure," Schapka told the Free Press on Friday. Lucido's attorney, Todd Perkins, wrote in an email Friday: "Clearly, Judge Biernat sees the entire landscape and not from a myopic view. Even I argued this case seeking a different Macomb jurist, but Judge Biernat's order takes the precaution and preserves all appearances of appropriateness for the entire bench. The people involved in this litigation are so entrenched into the fabric of the community that the possibility of finding a jurist who is untethered to a particular side, even if that association is casual, is improbable." The Macomb County Circuit Court is at left. Perkins, representing the Republican prosecutor, filed a motion Aug. 12 after he discovered Maceroni had contributed to the campaign of Christina Hines, the Democratic candidate for county prosecutor in the upcoming November general election. The date of the campaign contribution was more than seven months before the June 28 filing of Lucido's lawsuit, which was in Maceroni's court. Perkins argued the $100 political contribution made to Hines' campaign on Nov. 15, 2023, is "not about the money, but a bias, the perception of what could be impropriety." Maceroni decided that no evidence had been presented as to a connection resulting in the alleged appearance of impropriety between the contribution and the decision before the court in the lawsuit, according to his opinion and order, which detailed many points behind his reasoning. Mark Brewer, a former Michigan Democratic Party chairman, filed his first of two complaints against Lucido with the ethics board in February. Lucido's motion to disqualify Maceroni indicated Brewer is the leader and/or adviser for Hines' political campaign. The ethics board dismissed one of Brewer's complaints and voted to forward the other to a public hearing. The remaining complaint alleges Lucido used county property, buildings and offices to create a photo of himself for political campaign purposes. Perkins has disputed the allegation. The public hearing has not been held. Perkins wrote in his email Friday that Lucido has suffered politically-motivated attacks by Brewer, that Schapka is reluctant to advise the ethics board on the "basic precepts of the law" and there is "acrimony" against Lucido by the executive branch. He wrote that what has happened to Lucido "has just been plain wrong." Contact Christina Hall: chall@freepress.com. Follow her on X, formerly Twitter: @challreporter. Support local journalism. Subscribe to the Free Press. Submit a letter to the editor at freep.com/letters. This article originally appeared on Detroit Free Press: Lawsuit against Macomb county attorney, ethics board gets new judge By late afternoon Friday, most of the paint appeared to have been wiped off the mural. Ihsan Atta, who owns the building where the mural is displayed, was surprised and unaware that someone had cleaned it. A majority of Milwaukee's Common Council on Saturday condemned a new mural in the city's Harambee neighborhood that equated a swastika with the Star of David, calling its messaging "hurtful and divisive." The mural is on private property at the corner of East Locust and North Holton streets and depicts a swastika superimposed on the image of the Star of David. Inscribed around the image are the words: "The irony of becoming what you once hated," in all capital letters, suggesting that Jewish people were causing a new Holocaust in the Israel-Hamas war. A woman vandalized the mural with black paint on Friday, but by the afternoon, most of the paint had been wiped away. Milwaukee council members called for the building owner to remove the image. "While calling on all to respect private property and First Amendment rights, it is also important to call out those who import symbols of division, hatred and violence to our community, and then act surprised when they are not welcomed with open arms," according to the statement issued Saturday. "To see (the image of the swastika) displayed on the streets of our city is sad, distressing, and disheartening," the statement added. Ald. Milele Coggs, who represents the area where the mural is located, was one of the 14 council members who signed the statement. District 5 Alderman Lamont Westmoreland was the only council member who did not co-sign the statement. In an email to the Journal Sentinel, Westmoreland said he had not been given the opportunity to have input on the joint statement and issued a statement of his own on Saturday night. "I'll never serve as a rubber-stamp for the words of others," he said. "My attempt to have input was disregarded. ... If my perspective isn't asked for or included, count me out." "That said this issue is simple. The mural ... has offended many, opened up mental wounds for some, and created division and hatred within our community. As such, it as no place being displayed as it currently is." "While I don't believe the intention was to upset or offend, it has done just that." Westmoreland urged the building owner to offer a different version of the sign. Ihsan Atta, who owns the building hosting the mural, has defended the image in previous interviews with the Milwaukee Journal Sentinel. The mural replaced a well-known painting of Breonna Taylor, who was killed by Louisville police. Atta, who is a Palestinian-American, told the Journal Sentinel he wanted to raise awareness about Israel's devastation in Gaza, which he considers to be genocidal and akin to the Holocaust. He said he filed a police report after Friday's vandalism. A woman named Sara Ninham was interviewed by WITI-TV (Channel 6) on Friday and said she had vandalized the mural because she did not like seeing the image of a swastika. The Jewish Community Relations Council of the Milwaukee Jewish Federation released a statement Friday stating that the mural was the latest in a "staggering uptick in antisemitic rhetoric and misinformation." Drake Bentley of the Journal Sentinel contributed to this report. This article originally appeared on Milwaukee Journal Sentinel: Milwaukee council members decry mural equating swastika, Star of David Man killed in weekend shooting near Dayton storefront IDd by coroner DAYTON, Ohio (WDTN) The man killed in a shooting in West Dayton Saturday afternoon has been identified. According to the Montgomery County Coroners Office, a shooting in the 2900 block of West Third Street left Jason Marshall, 52, dead. The shooting reportedly occurred near Delphos Carryout around 12:45 p.m. Saturday. More than half a dozen police cars and crime scene tape has been spotted in the area. One person killed in Springfield shooting, police investigating When police arrived in the area, they discovered the adult male later identified as Marshall nearby on Shoop Avenue. Investigators also received reports of a second gunshot victim, who had fled to another residence. That subject was found and transported to the hospital for their non-life-threatening injuries. Dayton police confirm the two reports were related. Detectives were called to the scene to investigate, and are now compiling suspect information. Officials say the incident was isolate and there is If anyone witnessed or has information regarding this incident, you are asked to contract Dayton Police to speak with a detective. 2 NEWS is working to learn more information. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WDTN.com. Man, 23, fatally shot in head at Queens home nabes second deadly shooting in a week A 23-year-old Queens man was shot to death at his home, police said Saturday. It was the second fatal shooting in the bucolic section of Queens covered by the NYPDs 115th Precinct this week, officials said. Cops were called to victim Jean Pierre Rengifo-Arteagas home on 96th St. near 34th Ave. in North Corona about 7:20 p.m. Friday on a report of an assault in progress at the two-family home. When they arrived, they found the victim outside the place, shot in the head, right forearm and stomach, cops said. The gunman had fled. EMS rushed Rengifo-Arteaga to Elmhurst Hospital but he couldnt be saved. Police did not disclose a motive for the shooting. No arrests have been made. Cops were scouring the area for surveillance footage that may help them identify the shooter. On Wednesday, Carlos Solano, 43, was shot and killed during an argument with another man on Humphreys St. and 25th Ave. in East Elmhurst, less than a mile from where Rengifo-Arteaga was killed. Solano was also shot in the head, cops said. The incident is being investigated as a domestic dispute. The afternoon shooting erupted just hours before Solanoto accompany his mother, Enerolisa Castro, 65, to see the Tribute in Light commemoration that fills the lower Manhattan sky with blue beams at dusk every Sept. 11. On Thursday, Castro told the Daily News the already sad anniversary has now become unbearable. Yesterday he called me and he said, Mom, Im gonna go out with you tonight, she said a day after the shooting. Castro, a nurse, recounted they also planned to go out to dinner after seeing the awe-inspiring lights. I said, OK, Ill be ready by 5,' she recalled. But her son never came to pick her up. Instead, she got a call from police saying Solano had been shot a half hour before they were scheduled to meet. Why would you take somebody that is so special to me? Castro who lost three co-workers, also nurses, on 9/11 said of the killer. Why did you take my diamond? My diamond in my life. I feel destroyed. I still cannot accept that hes dead. 9/11 now, for me its forever. The two killings had not been linked by Saturday. The bloody week brought the 115th Precincts homicide count to four, double what the precinct investigated last year, cops said. Anyone with information regarding either homicide is urged to call Crime Stoppers at (800) 577-TIPS. All calls will be kept confidential. AUSTIN (KXAN) A man was arrested Thursday in connection with a July 2021 homicide, according to the U.S. Marshals office. The Lone Star Fugitive Task Force arrested 22-year-old Mithovar Christopher Antoine Jr., who was accused of murder related to an incident in the 2000 block of South Interstate 35. PAST COVERAGE: Injured man found on ground at southeast Austin gas station dies On July 23, 2021, the victim contacted police saying he had been stabbed. First responders arrived, found the victim on the ground in front of a convenience store, and performed life-saving measures. However, the man died at the scene. Following a continued investigation, Antoine had a warrant issued on Sept. 11 for his arrest. Antoine was arrested by the task force in the 200 block of W. Avenue G in Temple, Texas, according to a release. He was then taken to the Bell County Jail to wait for further judicial proceedings and extradition. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KXAN Austin. We recently compiled a list of the 10 Best Fintech Stocks To Buy in 2024. In this article, we are going to take a look at where Global Payments Inc. (NYSE:GPN) stands against the other fintech stocks. A Breakdown of the Global Fintech Industry Based on a collaboration between the World Economic Forum and the Cambridge Centre for Alternative Finance, a report revealed that the global fintech industry has been strong post-pandemic with the average global customer growth rates above 50% from 2021 to 2022. In this growing market, fintechs are bringing tailored financial services and products to underserved segments of the population. These segments make up a sizeable portion of the consumer base of fintech firms operating in both advanced economies and in emerging markets and developing economies. For the second year in a row as reported by CNBC, payments serve as the largest individual industry segment with a 24% share, although it is really fragmented with many firms moving money across the globe. Alternate finance which encompasses crowd-funding apps and online lenders follows with a 16% share. Other segments and their relative shares include 14% of neo-banking, 12% of wealth technology, 10% of business process solutions, 10% of banking solutions, 8% of financial planning, and 6% of digital assets. Country-wise, the US serves as the single biggest fintech market which hosts 46% of the top 250 fintech companies. Meanwhile, the UK hosts 12% while India is home to 4% of these companies. India has replaced both Germany and France due to its rapidly increasing digital adoption. Current Landscape for Fintechs In the prevailing industry landscape, fintech companies that are on the lower end appear to be better off. Previously, Bank of America's CEO mentioned the consumer to be very stable and not getting worse. On the contrary, JP Morgan Chase COO Daniel Pinto warned that net interest income is going to be challenging next year with the expected Fed rate cuts just on the horizon. Ally Financial CFO talked about worse conditions as its borrowers are facing job market weakness as an increasing concern other than inflation. In an interview with CNBC, Dan Dolev, senior analyst in fintech equity research at Mizuho, emphasized the rising consumer credit concerns. In his opinion, the fintech players with more exposure to the lower income consumers are doing better. He mentioned that low-end consumers had a lot of steamy money that they spent beyond their means. These consumers have pulled back on their spending to pay back their loans after depleting their savings 6 or 12 months ago. Meanwhile, the prime consumers are now facing the same pressure subprime consumers faced several months ago. Our Methodology: In order to compile a list of the 10 best fintech stocks to buy in 2024, we first used stock screeners and relevant ETFs to make an extended list of the relevant companies with the highest market caps. Moving on, we shortlisted the top 10 stocks from our list which had the highest number of hedge fund holders. The 10 best fintech stocks to buy in 2024 have been arranged in ascending order of their hedge fund holders, as of Q2 2024. At Insider Monkey we are obsessed with the stocks that hedge funds pile into. The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points (see more details here). A payment terminal in action with customers apart of the experience. Global Payments Inc. (NYSE:GPN) Number of Hedge Fund Holders: 66 Global Payments Inc. (NYSE:GPN) is a financial technology company that offers payment technology and software solutions to its customers globally. The firm empowers simple, fast, and secure payments for which it has built a worldwide commerce ecosystem comprising 4.6 million merchant accounts, 4,000 tech partners, 1500 financial institutions, and over 100 industries. Global Payments delivers seamless payments for every business, leading software for specific industries, single-source employer solutions, and better processes for buyers and suppliers. The firm is headquartered in Georgia and has 27,000 team members globally. Global Payments Inc. (NYSE:GPN) is a Fortune 500 company and has a reach extending across North America, Europe, Asia Pacific, and Latin America. The company claims to lead the industry in innovation, scale, and service. It has a powerful ecosystem of brands across various verticals, including globalpayments, Heartland, greatergiving, AdvancedMD, ECSI, and touchnet among others. As a leading global payments technology company, the firm is currently streamlining its operations and simplifying its portfolio to provide product-led customer-centric solutions. This move is expected to increase the companys share while freeing up capital to invest in innovation. Against the uncertain macro environment, Global Payments is diversified enough in terms of geography, vertical markets, and revenue streams. As the worldwide partner of choice for commerce solutions, Global Payments Inc. (NYSE:GPN) shows a consistently strong performance. The company recently closed its second quarter with high single-digit adjusted net revenue growth and double-digit adjusted earnings per share growth. Adjusted net revenues rose 6% to $2.32 billion while adjusted earnings per share climbed 12% to $2.93. Merchant Solutions saw single-digit organic growth due to the firms differentiated capabilities across its integrated software and point-of-sale businesses. The integrated business witnessed double-digit growth with strong booking trends while the vertical markets businesses had a double-digit growth in software bookings. During the month of August, the firm appointed Robert Bob Cortopassi as its new president and chief operating officer. Under the new growth-oriented leader who has a solid 12-year experience at the company, the firm is poised to grow. As of Q2, the company is held by 66 hedge funds thereby ranking on our list of the best fintech stocks to buy in 2024. Pzena Investment Management is the largest shareholder in the company. Overall GPN ranks 8th on our list of the best fintech stocks to buy. While we acknowledge the potential of GPN as an investment, our conviction lies in the belief that some deeply undervalued AI stocks hold greater promise for delivering higher returns, and doing so within a shorter timeframe. If you are looking for a deeply undervalued AI stock that is more promising than GPN but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. PORTLAND, Ore. (KOIN) A man was arrested after allegedly attempting to rob a bank in Tillamook early Friday afternoon. Just after noon, Tillamook 911 received notice from an alarm company alerting them to a robbery in progress at a Wells Fargo Bank. Man dead after armed standoff with Linn County SWAT Team Officers, as well as Oregon State Police troopers and TCSO deputies then responded to the scene. On arrival, they arrested Philip L. Rees for the attempted bank robbery and booked him into the Tillamook County Jail. Officials say Rees is houseless and not a resident of the area. As the investigation is ongoing, Tillamook police are encouraging anyone with information on the incident to come forward. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. Azali Assoumani served as African Union president between 2023 and 2024 [AFP] The man who was arrested for attacking the Comoros president with a knife on Friday has been found dead in prison, the authorities there say. The attack took place during a funeral for a well-known religious leader and injured President Azali Assoumani's hand. National prosecutor Ali Mohamed Djounaid said that after being arrested the attacker had been isolated in a cell to calm him down. He said a lifeless body was found lying on the floor of the cell on Saturday morning. "A doctor declared him dead. An investigation has been launched to determine the cause of his death," Mr Djounaid told a press conference in the Indian Ocean archipelago's capital, Moroni. As for the 65-year-old president, he was "doing well. He has no health problems, he is out of danger. A few stitches were given," energy minister Aboubacar Said Anli is quoted by the AFP news agency as saying. He was also at the press conference, which was attended by almost all government ministers. The motive for Friday's afternoon's attack is not clear but the authorities are looking into it. They have identified the knifeman as a 24-year-old soldier called Ahmed Abdou, AFP reports. Witnesses told AFP they saw the man in the room where well-wishers were paying their respects to the deceased. They say the attacker injured the president's hand in the stabbing, before being stopped by one of the mourners. President Azali first came to power in a military coup in 1999. After a decade out of office, he won a disputed election in 2016 and was re-elected last January. The vote was marred by allegations of ballot stuffing and was followed by two days of deadly protests. Azali has been accused of growing authoritarianism. He served as president of the African Union between 2023 and 2024. More BBC stories on Comoros: [Getty Images/BBC] Go to BBCAfrica.com for more news from the African continent. Follow us on Twitter @BBCAfrica, on Facebook at BBC Africa or on Instagram at bbcafrica BBC Africa podcasts "More common sense" should have been used when implementing Wales' default 20mph speed limit, the man behind the controversial policy has said. Lee Waters, who resigned as transport minister in March, said it was quite clear that the policy had reduced speeds and saved lives. But asked what he would do differently, he said he would apply more common sense on some roads where 20mph doesnt pass the sniff test. The Welsh government said it recognised some roads should revert to 30mph and "that will happen as a result of our review". The policy - introduced last September - made 20mph, rather than 30mph, the default speed limit in built up areas, with local councils able to make exceptions. It has led to a significant public backlash, with a review of its guidelines under way after a petition against the policy gathered just under half a million signatures and road signs were defaced. But recent figures suggested injuries on 20mph and 30mph roads fell by a third in the final quarter of last year. First Minister Eluned Morgan recently admitted the implementation of Wales' controversial 20mph law created problems. Waters said he believed the "whole government didn't get behind it" in the way they did with other policies, citing reforms on organ donation as an example. "If you think how much advertising and talking about that in advance, there wasn't half as much around the 20mph which was a bigger change to everyday people's lives," he told the BBC. "So I think that's a mistake and I think everyone, including me, has to hold their hand up to that," he added. Waters also said that some councils were "reluctant to move beyond the absolute letter of the guidance when they had flexibility". Road signs were defaced as the policy was coming into place [BBC] Waters also spoke of opposition to the policy, acknowledging it had been "greater and lasted longer than what we anticipated". "I don't think we prepared the ground enough and don't think people anticipated on what a big change this will be," he said, adding it could "take time" for people to accept the policy. It took a long time for people to get used to seatbelts, took a long time for people to get used to [a ban on] smoking in public places - but we havent gone backwards on any of those policies, and I dont think well go backwards on this. Waters also said the government should have done "far more to make people realise how big of a change this was and how it would apply in their communities". He added he was confident the data and evidence shows that it will save lives, and in time it will settle down. The Welsh government said collisions on local roads had "reduced significantly." We will continue to monitor the long term trend but things are heading in the right direction." It added it recognised some roads should revert back to 30mph and "that will happen as a result of our review". On Sunday, the first minister thanked the public for engaging with councils and highlighting, for example, that through roads with 20mph limits had been causing "most frustration". "But let's not forget that central to this is safety and... the number of collisions has seen a significant reduction," she told BBC Radio Wales' Sunday Supplement. Morgan said it was now for councils to "respond to those local concerns" and take action. Welsh Conservative transport spokeswoman Natasha Asghar said: "Labour politicians have now admitted on countless occasions after listening exercises that their 20mph policy is unpopular, but refuse to end it. "The only common sense approach would be to follow what the Welsh Conservatives have said since day one - scrap the 33m scheme altogether and get Wales moving again." SAN FRANCISCO (KRON) A man has been convicted of killing his ex-girlfriend in San Francisco in 2019, the San Francisco District Attorneys Office said. The victim was a 21-year-old student at San Francisco State University named Valeria Villagomez. Jose Dejesus Rodriguez, 25, fatally shot the victim at her home in the Outer Sunset on the afternoon of Oct. 24, 2019, the DAs office said. Officers arrived to find Rodriguez at the scene. Motorcyclist killed in San Joses 36th fatal crash of 2024 He made the 9-1-1 call after shooting the victim but did not tell police anything about the shooting. Police found a gun nearby, and he denied knowing anything about it. He had a history of violent, controlling and jealous behavior towards the victim, according to her journal and testimony from her friends. The defendants callous act of violence extinguished the life of a kind, smart and beautiful woman, said Assistant District Attorney Leigh Frazier. Rodriguez has been in custody since he was arrested on the day of the killing. He was convicted of voluntary manslaughter and resisting an officer with removal or attempted removal of a weapon. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. PORTLAND, Ore. (KOIN) A man was killed after an armed standoff with a SWAT Team in rural Linn County early Saturday morning. Just after 12:30 a.m., Linn County Sheriffs deputies responded to an area near Scio on a report of a man hit by a vehicle. Two Oregon men arrested in California after $1.3M worth of cocaine found in car Oregon State Police troopers assisting on the call found the vehicle on Shimanek Bridge Drive with an armed man in the drivers seat. Authorities say that after the man refused to cooperate with officers, resulting in a standoff in which the man fired his gun. This prompted response from the Linn County Regional SWAT Team and a Crisis Negotiation Team. According to LCSO, investigators attempted to diffuse the situation by phone. However, due to the suspects actions, he was shot and killed, said LCSO. Suspected kidnapper shot by Salem officers early Friday morning identified: Oregon State Police No law enforcement personnel were injured. Officials say the SWAT Team Member who shot the suspect is with the Benton County Sheriffs Office. Both Lincoln and Benton County Sheriffs requested OSP further investigate the incident. No further information has been released at this time. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. Man found floating in Lake Murray identified, coroner says. He was involved in a car crash The Lexington County Coroners Office has identified a man whose body was found in Lake Murray. Deputies with the Lexington County Sheriffs Department began a death investigation after a body was found floating in Lake Murray near the dam near Irmo about 10 a.m. Friday. According to the sheriff, crime scene investigators and major crimes detectives were involved. Lexington County Coroner Margaret Fisher identified the person as Darius Marshe Dendy, 34, of Columbia. Fisher did not say how Dendy died. Further investigation revealed that Dendy had been involved in a car crash on Wednesday. His car was found in Lake Murray and later retrieved. By Friday afternoon, the sheriffs department handed over the investigation to the South Carolina Highway Patrol. The incident remains under investigation by the coroners office and the highway patrol. Spalding County Sheriff Darrell Dix is sharing new details about a shooting near the county courthouse that left one person dead and another injured. The sheriff did not release the victims names but identified them as Mr. and Mrs. Mallory. He says the couple were leaving the courthouse after a hearing when they got into separate cars. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks] Dix says Mrs. Mallory got in her car and Mr. Mallory drove by and stopped beside her car and fired several shots through his passenger window and into her car. She did not survive her injuries. Officers tried pulling Mr. Mallorys car over, but he did not stop initially. Eventually, he stopped and shot himself in the head. The sheriff says he is still alive. The sheriff says he is sharing the details because of rumors on social media claiming Mrs. Mallory asked a deputy to escort her to her car and was denied. He says that is not true and video surveillance from the courthouse shows her walking through the lobby and to her car without talking to deputies. He says she did ask clerks in the Magistrate Court, but did not ask a deputy. TRENDING STORIES: He says there have been several cases where deputies were called to the Mallorys home, even describing their relationship as toxic. In most of those cases, Dix says it could not be determined who was the primary aggressor and no one was arrested. On one occasion, Mr. Mallory was arrested but posted bond. No one is blaming Mrs. Mallory for what happened to her. We have to take facts and evidence and weigh them against the law in order to act. This is a horrible thing that happened and people are emotional and angry about it, the sheriff wrote in a lengthy Facebook post. There is no word on the severity of Mr. Mallorys injuries or what charges he will face. [SIGN UP: WSB-TV Daily Headlines Newsletter] IN OTHER NEWS: A general view of the Dortmund stadium. The man who climbed under the roof of the Dortmund stadium during Germany's Euro 2024 last-16 win over Denmark has been fined, the Dortmund public prosecutor's office has said. Marcus Brandt/dpa The man who climbed under the roof of the Dortmund stadium during Germany's Euro 2024 last-16 win over Denmark has been fined, the Dortmund public prosecutor's office has said. The 21-year-old had been fined 1,050 ($1,164) over the June 30 incident, the office said. The man from Osnabruck was spotted climbing on the beams under the roof during the match. Police kept him under surveillance until the end of the match, when a special task force removed and arrested him. The day after the incident, police announced that the man had only wanted to take photographs from the unusual vantage point. Media had speculated over a major security breach given he was masked and carrying a rucksack, but police said the bag contained camera equipment. Man who pulled a gun on driver arrested after 6 pounds of marijuana found: police (KRON) Two firearms, hundreds of rounds of ammunition and six pounds of marijuana were located by the Santa Rosa Police Department after a man vandalized a car, the department announced on its social media. At 9:40 p.m. on Wednesday, Santa Rosa PD responded to the 1600 block of Sendero Lane. Police were informed of a male suspect who was kicking and breaking the windows of a vehicle. The suspect subsequently took a firearm out of his white GMC pick-up and pointed it at the driver. The suspect fled in his pickup truck. Police learned the license plate number of the assailant. Officers located the truck and conducted a traffic stop. The driver was identified as 40-year-old Santa Rosa resident Francisco Javier Palomino Alvarez. Robbery suspect threw rocks at store manager, steals multiple tools from store: police Image provided by the Santa Rosa Police Department Police noticed a Glock 40 near the right front passenger seat within Alvarezs reach. A search of the vehicle also yielded an extended magazine capable of holding 30 rounds of ammunition, an additional firearm with more than a hundred rounds of ammunition, multiple bottles of open alcoholic beverages and approximately six pounds of packaged marijuana. Alvarez is prohibited from owning or possessing firearms or ammunition due to a prior conviction, according to police. After an evaluation, Alvarez was found to be under the influence of alcohol, according to Santa Rosa PD. Employees claim DA Price uses mob boss tactics and threats, she claims innocence Alvarez was arrested and booked into Sonoma County Jail for the following charges: Assault with a deadly weapon, specifically a firearm Vandalism with damage greater than $400 Felon in possession of a firearm Felon in possession of ammunition Possession of a firearm in a vehicle Possession of an extended magazine Driving under the influence Driving under the influence with a blood alcohol level of .08% or greater Possession of marijuana for sale Due to the nature of the incident, the identity of the victim will remain anonymous, police said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. Man sentenced to life in prison for 2023 Portland double murder of incredible individuals PORTLAND, Ore. (KOIN) A man was sentenced to life in prison for a 2023 double murder in Portland, authorities said. Bennet Miller, 25, was sentenced for two counts of first-degree murder after accepting a plea deal, the Multnomah County District Attorneys Office said. Young girl dies in NE Portland, fentanyl suspected The two homicide victims were Fortressen Oriakhi and Isidahomen Ikhuoria, described in a release from the DAs office as bright lights in this world. Fortressen Oriakhi (Left) and Isidahomen Ikhuoria (Right) were both killed in a shooting on June 23, 2023 (PPB) The shooting happened in Northeast Portlands Hollywood District in the early morning hours of June 23, 2023. The investigation began when Portland police responded to reports of several shots fired. When they arrived at the scene, near Northeast Cesar Chavez Boulevard and Northeast Sandy Boulevard, they found a car crashed into a telephone pole. Both occupants had multiple gunshot wounds. The driver and car owner, Oriakhi, was found dead. The passenger, Ikhuoria, was hospitalized with injuries but passed away one week later. Car goes into river near Sauvie Island early Friday According to the DAs office, the Portland Police Bureau investigation determined Miller was driving a 2018 Toyota Camry that he co-owned, matching witnesses descriptions. He pulled up alongside Oriakhi and Ikhuoria in another car near Northeast Halsey Street and Northeast Cesar Chavez Boulevard, fired 10 shots at them, then fled. The DAs office said Miller later confessed to a friend to killing the two victims because he mistook them for individuals with whom he had issues. During the course of this investigation, we learned that these two young men were incredible individuals and immense bright lights in this world, the DAs office said in a release. We extend our condolences to those still grieving the losses of their loved ones and express our appreciation for all who contributed to the resolution of this case. Miller was transferred to the Oregon Department of Corrections and will have the ability to request parole after serving the 32-year minimum, officials said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. When we invest, we're generally looking for stocks that outperform the market average. And while active stock picking involves risks (and requires diversification) it can also provide excess returns. For example, long term HiTech Group Australia Limited (ASX:HIT) shareholders have enjoyed a 72% share price rise over the last half decade, well in excess of the market return of around 19% (not including dividends). However, more recent returns haven't been as impressive as that, with the stock returning just 7.3% in the last year, including dividends. So let's investigate and see if the longer term performance of the company has been in line with the underlying business' progress. See our latest analysis for HiTech Group Australia While the efficient markets hypothesis continues to be taught by some, it has been proven that markets are over-reactive dynamic systems, and investors are not always rational. One way to examine how market sentiment has changed over time is to look at the interaction between a company's share price and its earnings per share (EPS). Over half a decade, HiTech Group Australia managed to grow its earnings per share at 13% a year. This EPS growth is reasonably close to the 11% average annual increase in the share price. Therefore one could conclude that sentiment towards the shares hasn't morphed very much. In fact, the share price seems to largely reflect the EPS growth. You can see how EPS has changed over time in the image below (click on the chart to see the exact values). It might be well worthwhile taking a look at our free report on HiTech Group Australia's earnings, revenue and cash flow. What About Dividends? It is important to consider the total shareholder return, as well as the share price return, for any given stock. Whereas the share price return only reflects the change in the share price, the TSR includes the value of dividends (assuming they were reinvested) and the benefit of any discounted capital raising or spin-off. So for companies that pay a generous dividend, the TSR is often a lot higher than the share price return. In the case of HiTech Group Australia, it has a TSR of 126% for the last 5 years. That exceeds its share price return that we previously mentioned. And there's no prize for guessing that the dividend payments largely explain the divergence! A Different Perspective HiTech Group Australia provided a TSR of 7.3% over the last twelve months. But that was short of the market average. If we look back over five years, the returns are even better, coming in at 18% per year for five years. It may well be that this is a business worth popping on the watching, given the continuing positive reception, over time, from the market. It's always interesting to track share price performance over the longer term. But to understand HiTech Group Australia better, we need to consider many other factors. For instance, we've identified 2 warning signs for HiTech Group Australia that you should be aware of. Story continues Of course HiTech Group Australia may not be the best stock to buy. So you may wish to see this free collection of growth stocks. Please note, the market returns quoted in this article reflect the market weighted average returns of stocks that currently trade on Australian exchanges. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. WASHINGTON (DC News Now) The Metropolitan Police Department (MPD) said a man was shot and killed in Northeast D.C. on Saturday morning. Commanders fans gear up for first home game of the season MPD said that at about 5 a.m., it was dispatched to the 2200 block of Adams Place for a shooting. When police arrived at the scene, they found a man who had been shot. He died there. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to DC News Now | Washington, DC. Atlanta police responded to a shooting at The Verge apartment complex on Milton Avenue SE. ATLANTA - A Friday night party ended in gunfire in southeast Atlanta. Atlanta police responded to the Verge Apartments on Milton Avenue SE at 1:30 a.m. where a 22-year-old man was shot during a party inside one of the units. He was rushed to a local hospital by ambulance with non-life-threatening injuries. The shooter was said to have fled the scene before they arrived. FOX 5 Atlanta is still working to learn more about this incident. Editors note: A previous version of this story featured an incorrect photo. We apologize for the error. SAN DIEGO (FOX 5/KUSI) A man who led police on a high-speed chase and then shot a San Diego police officer was sentenced Friday to 47 years-to-life in state prison. Andrew Joseph Garcia, 22, pleaded guilty last month to premeditated and deliberate attempted murder on a peace officer, assault with a semi-automatic firearm on a peace officer, shooting at an inhabited dwelling and unlawful driving of a stolen vehicle, according to a release Friday from the office of San Diego County District Attorney Summer Stephan. $44.2 million worth of cocaine captured, brought to San Diego: Coast Guard He also pleaded guilty to felony reckless evading and felon in possession of a firearm, the release continued. Garcia was chased by police for more than an hour for driving a stolen car in December 2022, authorities said. The chase happened on and off freeways at speeds upwards to 90 miles per hour, the release stated. Gang members suspected of extorting Barrio Logan businesses into paying for protection After fleeing the car and running into an apartment complex in Mountain View, Garcia opened fire on officers with a ghost gun, firing multiple rounds, according to authorities. In doing so, he hit one of the officers in the chest and arm. During a standoff in a vacant building, authorities said Garcia overdosed on drugs and had to be revived by officers using Narcan before being placed under arrest. Garcias sentence included guilty pleas on a separate gang-related case from April 2022. During the incident, authorities said he got out of a vehicle and shot at two people who were eating burritos on the sidewalk because he mistook them to be rival gang members. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 5 San Diego & KUSI News. PEKIN, Ill. (WMBD) A man was arrested after he allegedly attacked members of his family in Pekin on Friday. According to Police Chief Seth Ranney, officers initially responded to a home near Charlotte and Second Streets after a report of a neighbor attacking their family members. The family members fled the residence and were given medical aid when police arrived on the scene. Police tried to make contact with the man who remained in the residence, 41-year-old Clement Kobischka, but he would not respond. Pekin police barricaded portion of neighborhood after wanted man refused arrest Officers said Kobischka allegedly used illegal drugs and had access to guns. Officers decided to arrest Kobischka and obtained an arrest warrant. The Central Illinois Emergency Response Team was called to assist with the arrest. After the speakers in the armored vehicle announced to Kobischka that he was under arrest, he eventually left the residence and surrendered to police. He was arrested for two counts of aggravated battery, but other charges are anticipated. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CIProud.com. Man tries to meet minor for sex, arrested, Fresno County deputies say FRESNO, Calif. (KSEE/KGPE) A man has been arrested after being caught attempting to meet with a minor for sexual purposes, the Fresno County Sheriffs Office said on Friday. Deputies say detectives with the Central California Internet Crimes Against Children Task Force arrested 28-year-old Derian Gutierrez of Armona in connection with several charges related to meeting a minor for sexual purposes. Registered sex offender gesturing to girls in Kingsburg, police say The Sheriffs Office says Gutierrezs arrest is part of a proactive investigation to combat the problem of people seeking out sexual relationships with children, in which detectives would pose as teenagers and use technology to identify would-be predators. During the investigation, deputies say the suspect expressed his sexual desires and agreed to a meeting place with the detective posing as a child. Gutierrez arrived at the location and was subsequently arrested by officers. No actual children were harmed or put in danger in this situation. Derian Gutierrez According to deputies, Gutierrez was booked into the Fresno County Jail on multiple charges related to meeting a minor for sexual purposes. His bail was set at $10,000, but he has since posted bond and been released with a court date. Anyone with information regarding potential predators is encouraged to contact the Sheriffs Office at 559-600-3111. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. HARTFORD, Ohio (WKBN) If youre looking for some fall decorations, an upscale vendor market in Trumbull County may be just the place to shop. The Wooden Barn Shops Fall in the Woods is happening this weekend at Hartford Hill Winery. Its the fifth year for the event and the second at the winery. Organizers say there will be nearly 100 specialty vendors at the winery for the upscale market. Honestly, youre supporting the small businesses but more importantly is that we all want to save some money. I will tell you here at Wooden Barn Shop, we are always told we have the best prices around so you can come and decorate for your fall season at a very reasonable price, said Donna Helmick. The cost to get in is $2 a person. Fall in the Woods runs from 10 a.m. 4 p.m. on both Saturday and Sunday. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. PANAMA CITY, Fla. (WMBB) In an event to celebrate a new era Panama City residents were eager to soak up the history one last time at the Martin Theatre. Panama City held a groundbreaking ceremony Friday evening. The Martin Theatre is our greatest symbol of the rebirth of our town after a hurricane like this. And so its been a long time coming since that storm. But the groundbreaking for the construction project, we wanted to celebrate because its the beginning of a whole new chapter for Panama City. Nothing symbolizes us like the martin. Nothing is a core part of our story like the Martin, Director of Red Fish Foundation Kevin Elliot said. While the restorations will honor the past they also embrace change as renovations include the new Tennessee House and the Ritz. The new additions will provide a space for everyone. My family owned the Tennessee house so I love, love, love this place. I mean, my parents came here, my grandparents came here, my great-grandparents came here. Just amazing. And now my kids get to experience it, Anima Arts Morgan Godwin said. The project will bring in modern technology while preserving the historic nature of the theatre. The renovations are now projected to be finished in about 2 and a half years at a price tag of at least $32 million. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to mypanhandle.com. BALTIMORE With under 60 days to go before the Nov. 5 election, several local jurisdictions across central Maryland are still in need of volunteers to serve as election judges. The need is greatest in Baltimore City, where city Election Director Armstead Jones said he could use another 2,000 judges. Baltimore typically requires about 2,500 judges to staff Election Day, but many of the people who sign up dont show, Jones said. The citys reserves were not enough to properly staff all positions for the May 14 primary when about 600 judges did not come to work, he said. The city is seeking extra judges, so it has a sufficient bench this fall. Election judges in Baltimore are paid $50 for training and $250 on Election Day. Chief judges receive $325. Baltimore County Election Director Ruie Lavoie said she still needs about 600 judges to ensure she has the 3,400 required for Election Day. The county is particularly in need of Republican judges, she said. More are needed to staff polling places in the western part of the county which is more heavily Democratic. Democratic judges are needed to staff locations in the northern part of the county which trends Republican, she said. Baltimore County pays $250 for election judges, $300 to staffers who manage same-day voter registration and $350 for chief judges. Returning judges get a $100 bonus, Lavoie said. Election judges in Maryland must be 16 or older, be a registered voter, be willing to work outside their home precinct and able to speak, read and write in English. Judges must also be able to work a 15-hour day and be able to sit and stand for an extended period. Lavoie said shes gearing up for high turnout this fall with the presidential race on the ballot. Republican former President Donald Trump faces Democratic Vice President Kamala Harris. Races for U.S. Senate and the House of Representatives will be decided, as well as local races in Baltimore City. Im expecting a historical turnout, Lavoie said. Im deploying every piece of equipment I have. Election directors in Harford and Howard counties reported having a full complement of judges. Guy Mickley, Howard Countys director, said he needs 1,200 judges for Election Day and is fully staffed. Some judges are expected to drop out, however, so the county is still taking applications. Its always harder to get Republicans than Democrats, but well take anyone that would like to be a judge, he said. Harford County officials said they already have a waiting list to staff the countys 840 positions and do not need additional volunteers. Rich Siejack, deputy director of elections for Anne Arundel County, said he will need 2,200 to 2,300 judges for Election Day and is on target with the applications that have been received so far to meet that number. Still, Anne Arundel County will continue accepting applications to make sure backup judges are available. Judges in Anne Arundel are paid between $250 and $350, depending on their position. Judges also receive $50 for training and $25 for mandatory pre-Election Day setup. In August 2022, a plume of orange, silt-laden water covers much of Marylands lower Gunpowder River. Aerial photos taken in summer 2023 and 2024 showed similar plumes. (Submitted photo) By Tim Wheeler Chesapeake Bay Journal Acting after years of complaints from residents, Maryland authorities have filed suit against the developer and builders of a Harford County housing project, accusing them of polluting the Gunpowder River and one of its tributaries by failing to control muddy runoff from the construction site. More than 30 inspections since May 2022 of the 388-home Ridgelys Reserve development and a related sewer line project in the Joppa area found numerous violations of state sediment pollution and nontidal wetlands laws, according to the 94-page complaint filed Sept. 6 in Harford County Circuit Court. The attorney generals office, on behalf of the Maryland Department of the Environment, is seeking penalties against Texas-based homebuilder D.R. Horton, its development subsidiary Forestar Real Estate Group and York, Pennsylvania, contractor Kinsley Construction. The repeated violations at Ridgelys Reserve demonstrate a blatant disregard for our environmental laws and the welfare of Marylanders, Attorney General Anthony Brown said. In addition to fines of up to $25,000 per day per violation, the states complaint seeks a court order requiring that the defendants repair the damage done by the pollution to the Gunpowder and its tributary, Foster Branch. Sediment pollution is a major threat to the ecological health of the Chesapeake Bay and its tributaries. Rainfall and snow melt can wash clay, silt and sand off exposed soil. The muddy runoff turns streams and rivers murky, smothering fish eggs and bottom-dwelling aquatic life. It also blocks sunlight that underwater grasses need to grow. Aerial surveys have found marked declines in submerged aquatic vegetation in the Gunpowder over the last two years, even as grass beds providing critical habitat for fish and crabs have increased elsewhere in the Bay. Inspection after inspection has documented problems with this project, and this pollution has caused real harm to our waterways, MDE Secretary Serena McIlwain said in a release announcing the lawsuit. It is past time for this pollution to stop. We are asking the court to not only impose a financial penalty but also require that the affected waterways be restored. The three companies did not respond to emails seeking comment on the lawsuit. The states lawsuit comes a month after the Gunpowder Riverkeeper formally notified the same companies that it intended to file a federal lawsuit against them for ongoing and continuous Clean Water Act violations at the Joppa construction site. Residents have been complaining for more than two years that muddy runoff from the 121-acre development was turning Foster Branch and the Gunpowder murky shades of orange and brown. They have collected about 1,000 signatures on a petition demanding action that was posted on a website titled Mad about Mud. In the news release announcing the lawsuit, MDE acknowledged that it began inspecting Ridgelys Reserve and its sewer construction sites in response to complaints from residents and the riverkeeper. Each inspection found repeated violations, including failing silt fences, bare soil that during rainstorms could become muddy runoff into Foster Branch and the Gunpowder downstream. Although the sewer line project is finished, the lawsuit says the construction site still needs to be stabilized to prevent muddy runoff. Work continues at the housing development, though most of the homes have been built and some sold, according to MDEs lawsuit. A Harford County spokesman said county officials welcomed the states lawsuit, noting that County Executive Bob Cassilly had walked the construction site and discussed it with the MDE secretary. The county levied $20,000 in fines against the developer and stopped work at the site seven times over the past two years to require repairs to runoff controls. MDE inspections continued to find violations, most recently in July. Bill Temmink, a Joppatowne resident who has filed multiple complaints with the county and state over muddy runoff from Ridgelys Reserve and the sewer project, lodged another complaint the day MDE filed its lawsuit. He contended that the housing development still has a large area of bare ground that could erode away in a rainstorm. Temmink and some other local residents whove complained about the muddy runoff welcomed the state lawsuit. Gunpowder Riverkeeper Theaux Le Gardeur likewise said he was encouraged. He urged the state to insist on restoration of the damaged waterways as the focus of any resolution of its lawsuit. Thats low-hanging fruit, he said, noting that the county has a pre-existing watershed restoration plan for Foster Branch. But Jack Whisted, a retired engineer who lives along Foster Branch, said it was too little too late for him. The Gunpowder has been brown all summer. I feel the damage is irreparable, he said by email. My disappointment over this has made me extremely sad, Whisted added, and makes me want to move away to better water. HONOLULU (KHON2) The Maui Police Departments Cold Case Unit is asking for the publics help in identifying a man found deceased in the waters off Kahului Harbor breakwater on September 25, 1996. Get Hawaiis latest morning news delivered to your inbox, sign up for News 2 You The man, described as a Caucasian in his late 30s, stood about 5-foot-9 and weighed approximately 230 pounds. He was discovered shirtless, wearing gray gym shorts, with a yellow mesh dive bag floating nearby. Authorities estimate he had been in the water for less than 24 hours before being found. No trial for man accused of beating wife to death A forensic sketch of the deceased is available for reference. Anyone with information about this individual is urged to contact the Maui Police Departments non-emergency number at (808) 244-6400, or reach out directly to the Cold Case Unit at (808) 270-5575 or via email at coldcase@mpd.net. Please refer to MPD report number 96-57460. Download the free KHON2 app for iOS or Android to stay informed on the latest news They say every bit of information, no matter how small, could be crucial to solving this case. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KHON2. If you buy and hold a stock for many years, you'd hope to be making a profit. Furthermore, you'd generally like to see the share price rise faster than the market. But Fortis Inc. (TSE:FTS) has fallen short of that second goal, with a share price rise of 11% over five years, which is below the market return. Zooming in, the stock is up a respectable 9.5% in the last year. So let's assess the underlying fundamentals over the last 5 years and see if they've moved in lock-step with shareholder returns. View our latest analysis for Fortis In his essay The Superinvestors of Graham-and-Doddsville Warren Buffett described how share prices do not always rationally reflect the value of a business. One way to examine how market sentiment has changed over time is to look at the interaction between a company's share price and its earnings per share (EPS). During five years of share price growth, Fortis actually saw its EPS drop 2.9% per year. Since EPS is down a bit, and the share price is up, it's probably that the market previously had some concerns about the company, but the reality has been better than feared. Having said that, if the EPS falls continue we'd be surprised to see a sustained increase in share price. The company's earnings per share (over time) is depicted in the image below (click to see the exact numbers). We like that insiders have been buying shares in the last twelve months. Having said that, most people consider earnings and revenue growth trends to be a more meaningful guide to the business. It might be well worthwhile taking a look at our free report on Fortis' earnings, revenue and cash flow. What About Dividends? It is important to consider the total shareholder return, as well as the share price return, for any given stock. The TSR is a return calculation that accounts for the value of cash dividends (assuming that any dividend received was reinvested) and the calculated value of any discounted capital raisings and spin-offs. So for companies that pay a generous dividend, the TSR is often a lot higher than the share price return. We note that for Fortis the TSR over the last 5 years was 34%, which is better than the share price return mentioned above. This is largely a result of its dividend payments! A Different Perspective Fortis provided a TSR of 14% over the last twelve months. But that was short of the market average. The silver lining is that the gain was actually better than the average annual return of 6% per year over five year. It is possible that returns will improve along with the business fundamentals. It's always interesting to track share price performance over the longer term. But to understand Fortis better, we need to consider many other factors. For instance, we've identified 2 warning signs for Fortis (1 can't be ignored) that you should be aware of. Story continues There are plenty of other companies that have insiders buying up shares. You probably do not want to miss this free list of undervalued small cap companies that insiders are buying. Please note, the market returns quoted in this article reflect the market weighted average returns of stocks that currently trade on Canadian exchanges. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. This article was originally published in Kentucky Lantern. A Western Kentucky University student has a confirmed case of measles and may have exposed others, according to the Barren River Health District and the Kentucky Department for Public Health. The student is unvaccinated against the highly contagious disease, the health departments said. The student, whose name, gender and other identifying information were not released, recently traveled internationally. This is where they are presumed to have been exposed to measles. Get stories like these delivered straight to your inbox. Sign up for The 74 Newsletter Upon returning, and while infectious with measles, the student attended public events on Aug. 28, 29 and 30, the health department said. People who were at the following locations may have been exposed: The Commons at Helm Library (WKU) 1906 College Heights Blvd #11067 in Bowling Green Wednesday Aug. 28, 2024, (7:45 a.m. 10 am and 8 p.m. 10:30 p.m.) Thursday Aug. 29, 2024, (7:45 a.m. 10 a.m.) Friday Aug. 30, 2024, (7:45 a.m. 10 a.m.) WKU student union Starbucks 1906 College Heights Blvd in Bowling Green Wednesday Aug. 28, 2024, 6:30 p.m. 9:30 p.m. Simply Ramen restaurant trivia night 801 Campbell Lane in Bowling Green Thursday Aug. 29, 2024, (7 p.m. 11:00 p.m.) Measles spreads easily when an infected person breathes, coughs or sneezes, according to the World Health Organization. It can cause serious complications and death, according to WHO, which reported most deaths from measles in 2022 were in unvaccinated children. Vaccination is the best defense against measles, WHO says. The Centers for Disease Control and Prevention recommends a first dose of MMR vaccine for children 1215 months and a second dose between ages 46. Teens and adults should also stay up-to-date on this vaccine, the CDC says, which is generally available at pharmacies. Symptoms of measles are fever, cough, watery eyes, runny nose and rash. If you have questions about exposure or your risk, call your healthcare provider or the Barren River District Health Department at 833-551-0953. Kentucky Lantern is part of States Newsroom, a nonprofit news network supported by grants and a coalition of donors as a 501c(3) public charity. Kentucky Lantern maintains editorial independence. Contact Editor Jamie Lucke for questions: info@kentuckylantern.com. Follow Kentucky Lantern on Facebook and X. WARREN, Ohio (WKBN) Theres now another medical company interested in buying a part of what Steward Health planned on closing in Trumbull County. Insight Health System has been following the Steward bankruptcy since the start but it wasnt until the past week that it considered buying Trumbull and Hillside. Insight is a physician-led organization that started in Flint, Michigan, in 2008 and has since grown to six hospitals, six surgery centers and 28 clinics. Most recently it bought Chicagos Mercy Hospital. What Insight is looking to buy locally is primarily Trumbull Regional Medical Center. You know one of the things for us that I think is unique is that we have taken on challenges like this whether it be in Flint or in the South Side of Chicago, said Dr. Rany Aburashed. Insight has carved out a niche in the healthcare industry. Its known for assuming ownership of distressed hospitals and turning them around. Our goals for Trumbull are to set this institution up for success for generations to come as an acute healthcare facility of the highest nature. We have a pathway towards ownership at Trumbull and we also recognize the importance of Hillside as well although the legal pathways are a bit more winding with that, Aburashed said. Insight is not currently looking to buy any of Stewards satellite operations in Austintown or Bazetta township. In a statement this afternoon, Western Reserve Health Education and Warren City Hospital expressed optimism about Insights plans. Both organizations agree having Insight take over increases the likelihood that the hospitals stay open and survive. Insight officials spent Wednesday touring the hospitals and they were impressed. We realized there was really a potential jeopardy here for this hospital to truly close. I think it was at this stage that we became much more engaged, Aburashed said. The administration was really caring about making sure were doing this correctly and that this place stands. The clinicians, all the physicians that we met last night were just incredible to sort of see them come together. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. GREENVILLE, N.C. (WNCT) A Measles case has been reported for the first time in North Carolina since 2018 as it happened near Charlotte Monday. The case was reported by the North Carolina Department of Health and Human Services and health officials at ECU said the disease is one of the most contagious viruses around and is an airborne disease. Another concern is that a person is contagious about four days before they start to show symptoms. They said making sure your child has the Measles vaccine is a significant part of making sure the virus doesnt spread. Problem is, with Measles, you really have to have well over 95 percent of your community immunized to really prevent it, Clinical Professor of Pediatrics at ECU Brody School of Medicine Alex Dalzell said. And so, were well below that number in the county, let alone North Carolina. So there is a risk in our community for measles to occur. And so, its going to most likely hit kids who either have not been immunized or who may have been immunized, but they have an immuno-compromising condition. He also said that having Measles doesnt have just short-term effects but can cause pneumonia and other health complications. Medical professionals said children should get their first dose of the vaccine at 12-15 months old and their second dose at 4-6 years old. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WNCT. Hampton Roads community groups are hosting debates and forums with candidates ahead of the Nov. 5 elections. Heres a list of events scheduled for Sept. 15-21. Newport News The Womans Club of Newport News is hosting a forum to meet City Council candidates. The event starts at 7 p.m. Sept. 19 and will be held at the Hilton Christian Church, 100 James River Road in Newport News. Candidates will introduce themselves and answer questions from community members. Norfolk The League of Women Voters of South Hampton Roads is hosting a candidate meet and greet from 6:30-8:30 p.m. Sept. 17 at MacArthur Center. Candidates running for mayor, City Council superwards 6 and 7 and the school board will be in attendance. The event takes place at 757 Creative Reuse Center on the second floor of MacArthur Center, located at 300 Monticello Ave. in Norfolk. Related Articles Portsmouth American Legion Post 190 will host a forum for candidates running for Portsmouth mayor and City Council. The event is 5 p.m. Sept. 16. at 2711 Peach St. in Portsmouth. Williamsburg The League of Women Voters of Williamsburg Area and the York-James City-Williamsburg NAACP are sponsoring a forum to meet the candidates for Williamsburg City Council. The event is 7 to 8 p.m. Sept. 18 at the Williamsburg Regional Library auditorium. Attendees will be able to submit questions that will be asked by the moderator. If you are a group hosting a candidate debate or forum and would like to publicize it in The Virginian-Pilot and Daily Press, please send details to government and politics editor Andrea Noble at andrea.noble@virginiamedia.com. SMITHFIELD, R.I. (WPRI) Georgiana may be a little dog, but she has a big heart. Just ask her owner Sheri Lough, who brings her pup to work three days a week. Lough, an occupational therapist at Saint Philip School, launched Cardinal CARES (Canine Assisted Resources for Emotional and Educational Skills Support) in an effort to meet the needs of her students. Georgiana, or Georgi, is a 4-year-old Cavachon therapy dog whos hypoallergenic and temperament tested, according to Lough. Lough believes Georgi provides something that everyone needs comfort and unconditional love. Its a sense of connection and the human-animal bond that really transcends anybodys challenges, she explained. Georgi is part of the fabric of our community. Georgi meets with more than two dozen students weekly. She even has her own office where students can pop by to decompress. Georgi is embedded in the fabric of our community, Lough said. She breaks down barriers to help [students] be successful. Lough said its heartwarming to watch Georgi break down those barriers. She remembers an instance where a student who kept mostly to herself underwent a complete transformation after meeting Georgi. There was a select few that this one child would interact with, Lough recalled. By the end of the year, she was talking with every classmate and every teacher. Keith Kline, principal of Saint Philip School and a licensed occupational therapist himself, told 12 News that Cardinal C.A.R.E.S. is a proactive effort to ensure students thrive. Kline said having Georgi at the school is such a gift. In order for kids to learn, theyve got to be in the right place to learn, Kline explained. [Georgi] really helps their social and emotional skills so they can learn and be successful. Kline joked that Georgis first visit of the day is always to his office. She has to come in see me so I can get my Georgi fix, he said with a chuckle. Kline said Georgi has had a positive impact on students and staff. She is such a blessing, he said. So, how did Georgi wind up at Saint Philip School? Kline said the town provided the school with the funding necessary to launch Cardinal C.A.R.E.S. He told 12 News he will do whatever it takes to keep her there once that money runs out. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now This Week in Good News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WPRI.com. PLEASANTON, CA Patch reached out to all candidates for the PUSD Board of Trustees with identical questions about their background and their vision for Pleasanton schools. Here are the responses from Kelly Mokashi, the incumbent candidate for Area 3. Responses have not been altered. 1. What is your personal, educational, and professional background? I am a mother of 3 Pleasanton students (MS, and High School). Educator for 29 years, including as a teacher, consultant, PD trainer, curriculum writer/eLearning facilitator, content developer. Employed currently at Fremont USD as a Community School Coordinator to implement a 5 year education grant at an elementary school. Former Executive Director for a non-profit and former President of Board of Directors for another non-profit. 2. How long have you lived in Pleasanton? Seven years since Fall 2017. 3. What brought you here? Children's fathers employment in the Bio-Tech Industry (Roche, Thermo Fisher) 4. How have you been involved in the community? Advocate for Pleasanton residents for conservative building (Downtown Specific Plan), former Adult member of the Pleasanton Youth Commission, volunteer for Troop 911 5. What do you feel are some of the biggest challenges facing PUSD? Deficit spending /budget cuts up to 13 M for 2025/2026 year needed to balance the budget, disproportionately of our students of color and Hispanic students, under-served students (students with disabilities and multilingual learners), labor union negotiations, special education services, and long-term effects of Covid pandemis (SEL of our students), and hiring a full time, experienced superintendent to meet the future needs of our PUSD community. 6. What are your plans for addressing them? Tighter fiscal and BOND oversight, increase collaboration with all educational partners (teachers, classified staff, community, families, unions), continue to lobby at the local and state level for local/state policy changes, improve transparency across all departments within all departments and with the community, partner with district personnel and community partners to identify redundancies and improve overall efficiency of operations to serve our students. 7. What makes you the best candidate? I have the educational experience and 4 years of experience as an incumbent- elected trustee. For example, I have served on many district committees (i.e. curriculum, policy, special education, city-district liaison committee, Economic Vitality and facilities) to improve district practices for the benefit of our PUSD students. I understand the long-term needs for PUSD and how to support collaborative/shared leadership to make long-term strategic changes and how to make the policy changes (i.e. I helped lobby for the state bill for dyslexia screener for our struggling readers, as I am an elected trustee leader at the state school board level). Experience matters and my leadership capacity has shown that I work to hold the district accountable for strategic-data informed decisions, which is essential moving forward. I have extensive experience working with superintendents and other educational leaders, both professionally and as an elected trustee. My proven track record has demonstrated my expertise to serve in my role as a trustee with a solid commitment to continue to serve in the best interest of our students and our PUSD community. 8. Outside of politics, what do you enjoy doing? I love to travel and spend time with my children. 9. Any other comments? I would be honored to be re-elected to serve our Pleasanton students and school community and take my role and responisiblities very seriously! Meet Kelly Mokashi, Candidate For Pleasanton School Board originally appeared on the Pleasanton Patch Former first lady Melania Trump blasted the FBIs 2022 raid of Mar-a-Lago in a video posted on social media Saturday morning, cautioning that the rights and freedoms of Americans have to be respected. The video, promoting her forthcoming memoir, presents the words of the Fourth Amendment of the Constitution set to music, and then features images of the Trumps Florida estate, while she slams the federal agencys raid. I never imagined my privacy would be invaded by the government here in America, she said in the video. The FBI raided my home in Florida and searched through my personal belongings. This is not just my story, it serves as a warning to all Americans, a reminder that our freedom and rights must be respected. Melania Trumps video ended with an image of her upcoming book, Melania, which comes out Oct. 1. Former President Trump has criticized the FBIs raid of Mar-a-Lago as politically motivated. The agents recovered over 100 classified documents and folders. Trump was facing 40 charges related to his alleged mishandling of classified records and attempts to obstruct the governments attempt to return them. U.S. District Judge Aileen Cannon in July dismissed the case, ruling special counsel Jack Smith was not lawfully appointed. Smith shortly after appealed the ruling. The ex-president said in late 2022 that Melania Trump felt very violated after the FBI executed the search of their home. She felt very violated. I mean, this is a terrible thing, he said. They go into her closet, they go through her dresses, and who knows what else, and it wasnt left the way it they found it. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. Memorial service to be held for SDPD officer killed in Clairemont crash SAN DIEGO (FOX 5/KUSI) A memorial service will be held in honor of the San Diego police officer who died in a deadly crash in Clairemont last month. Austin Machitar, a 30-year-old five-and-a-half-year veteran of the department, was killed after a vehicle involved in a police pursuit on Clairemont Mesa Boulevard collided with his patrol car on Aug. 26. RELATED: First responders hold procession for SDPD officer killed in Clairemont crash The collision occurred around 11:30 p.m. after officers observed the suspect vehicle traveling down Clairemont Mesa Boulevard at a high rate of speed, according to SDPD. A pursuit was initiated after the driver failed to yield. The pursuit was later called off by supervising sergeants. Shortly thereafter, the suspect vehicle crashed into Machitars patrol car laterally at the roadways intersection with Doliva Drive, authorities said. The suspect, identified as 16-year-old Edgar Oviedo, was also killed in the crash. Meanwhile, Machitars patrol partner, 27-year-old Officer Zachary Martinez, sustained critical injuries. He was released from the hospital last Tuesday and is continuing his recovery at home. UC San Diego Health posted a video Tuesday on Facebook of Martinez being discharged from the hospitals regional burn center after being treated there for nearly a week following his transfer from Sharp Memorial Hospital. Next Saturday, Sept. 21, processions for Machitar will begin at 7:45 a.m. at Snapdragon Stadium and continue south on Interstate 15 and Interstate 8. The funeral procession will conclude at the Shadow Mountain Community Church, located at 2100 Greenfield Dr. in El Cajon, where the memorial service will be held. The service is open to the public. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 5 San Diego & KUSI News. (Reuters) - Mexican authorities logged seven homicides in the western state of Sinaloa on Friday, the latest violence to plague an area where increasingly frequent shootouts are fueling fears of the possible start of an intra-cartel war. The deaths bring the number of killings within the week to 19, after 12 killings were registered between Monday and Thursday. The Sinaloa prosecutor's office in a statement late on Friday said the latest victims were found in four separate locations. Two people were killed in the capital of Culiacan, and five in the municipality of Concordia, both of which the statement described as places "where violent events have occurred between criminal groups." Sinaloa, on the Pacific Coast, is the home base of the powerful Sinaloa Cartel, a drug gang once led by kingpin Joaquin "El Chapo" Guzman, who is now serving a life sentence in the U.S. The July arrest of another veteran gang leader, Ismael "El Mayo" Zambada, has stoked fears of infighting and turf battles. The prosecutor's office also said on Friday it received eight reports in Culiacan of men who had been kidnapped. In Culiacan, businesses have closed, public transportation has been cut back and Independence Day festivities have been canceled due to the worsening violence. (Reporting by Daina Beth Solomon; editing by Diane Craft) Michaela DePrince performs Giselle with the English National ballet at the Coliseum on January 13, 2017 in London | Photo: Ian Gavan/Getty Images Michaela DePrince, the renowned ballerina, who was highly respected for her talent and her work as a humanitarian, has died at age 29. A spokesperson announced the sad news via DePrinces Instagram page on Friday. With pain in our hearts, we share the loss of star ballerina Michaela Mabinty DePrince, whose artistry touched countless hearts and whose spirit inspired many, leaving an indelible mark on the world of ballet, and beyond, the statement began. It continued, Her life was one defined by grace, purpose, and strength. Her unwavering commitment to her art, her humanitarian efforts, and her courage in overcoming unimaginable challenges will forever inspire us. She stood as a beacon of hope for many, showing that no matter the obstacles, beauty and greatness can rise from the darkest of places. View this post on Instagram A post shared by Michaela Mabinty DePrince (@michaeladeprince) DePrince, who grew up as an orphan in Sierra Leone, found inspiration when she stumbled upon an image of a ballet dancer in a magazine. All I remember is she looked really, really happy, DePrince told the Associated Press in 2012, adding that she wanted to become this exact person. The star ballerina also opened up about the challenges she faced as an orphan. I lost both my parents, so I was there (the orphanage) for about a year and I wasnt treated very well because I had vitiligo, she told the AP. We were ranked as numbers and number 27 was the least favorite and that was my number, so I got the least amount of food, the least amount of clothes and what not. Adopted by a New Jersey couple, DePrince officially moved to the U.S. at 4 years old, according to NBC Philadelphia. DePrince attended Phillys prestigious Rock School for Dance Education. During her training, she often experienced racism. DePrince said she was once told Americas not ready for a black girl ballerina while rehearsing for The Nutcracker. Then, at 9 years old, a teacher reportedly said to her family, I dont like to put money into black dancers because they grow up and end up having big boobs and big hips. Still, DePrince persevered, graduating from high school, followed by the American Ballet Theatres Jacqueline Kennedy Onassis School. She worked at the Dance Theatre of Harlem, where she made history as the companys youngest principal dancer. You may even recognize her from Beyonces musical film Lemonade. As a humanitarian, DePrince often spoke up for children impacted by violence. Per NBC Philadelphia, she worked as an ambassador of War Child Holland, which is an independent non-governmental organization that works to ensure children have access to protection, education and psychosocial support. DePrinces cause of death is unknown at this time. By Tim Kelly and Katya Golubkova TOKYO (Reuters) - Japan and the United States should avoid confrontation about the steel industry and work together amid competition from China, the world's top steelmaker, leading prime ministerial candidate Shinjiro Koizumi said on Saturday. Sources told Reuters on Friday that a powerful U.S. national security panel reviewing Nippon Steel's $14.9 billion bid for U.S. Steel faces a Sept. 23 deadline to recommend whether the White House should block the deal. Koizumi, Japan's former environment minister, said at a debate on Saturday that Japan and the U.S. should not confront each other when it comes to the steel industry but to face together the 'shared challenge' coming from China's steel industry. "If China, producing cheap steel without renewable or clean energy, floods the global market, it will most adversely affect us, the democratic countries playing by fair market rules," Koizumi said. Nippon Steel's key negotiator on the deal, Vice Chairman Takahiro Mori, said last month that his company and other Japanese steelmakers were urging Tokyo to consider curbing cheap steel imports coming from China to protect the local market. On Sunday, Nippon Steel and U.S. Steel sent a letter to U.S. President Joe Biden about their deal, as Biden, Democratic presidential nominee Kamala Harris and Republican presidential nominee Donald Trump have all opposed the merger. "We are also in the midst of elections, just like the U.S., and during elections, various ideas may arise. Overreacting to each of these would, in my view, call into question diplomatic judgment," Koizumi said when asked about the deal. Sanae Takaichi, Japan's minister in charge of economic security and another prime ministerial candidate, also defended the deal during the same debate attended by eight other Liberal Democratic Party's (LDP) leadership contenders on Saturday. "It appears they are using (the Committee on Foreign Investment in the United States) CFIUS to frame this as an economic security issue," she said. "However, Japan and the U.S. are allies, and the steel industry is about strengthening our combined resilience." The 43-year-old son of former Prime Minister Junichiro Koizumi, the junior Koizumi, is seen as a leading contender in the Sept. 27 race to pick the LDP's new leader, who will become the next prime minister due to the party's control of parliament. Koizumi said on Saturday that he would seek a dialogue with the North Korean leadership to resolve the issue over the abduction of Japanese citizens kidnapped by North Korean agents in the 1970s and 1980s. Story continues "We want to explore new opportunities for dialogue between people of the same generation, without being bound by conventional approaches, and without preconditions," Koizumi said. North Korean leader Kim Jong Un is 40 years old. (Reporting by Tim Kelly and Katya Golubkova; Editing by Muralikumar Anantharaman) Pitch Dreck While projecting an image of sustainability, Microsoft has secretly been selling bespoke AI services to fossil fuel giants and claiming it can help them make even more money while killing the climate. As The Atlantic's Karen Hao reports, whistleblowers say that the tech giant has been pitching all manner of wild promises to oil companies like ExxonMobil and Chevron and working to hide that fact from the public. After reviewing troves of documents and speaking to dozens of former and current Microsoft employees, Hao found that Microsoft is providing oil companies with AI algorithms designed to help them "maximize" their potential by predicting where best to drill. In a 2022 pitch deck the reporter acquired, for instance, Microsoft claimed that its AI tools could help ExxonMobil increase its annual revenue by $1.4 billion. Of that figure, $600 million of that slated revenue would come from so-called "sustainable production," which purportedly allows for fossil fuel drilling that uses less energy. While making these lofty pitches, the AI-bullish tech monstrosity also ambitiously pledged since 2020 to become "carbon negative" by the year 2030, and has since championed its AI as being a driving force for sustainability. Announcements of Microsoft's partnerships with oil and gas companies since have left out that the tech giant is helping some of these polluters streamline their drilling processes. Neg Off Microsoft repeatedly insisted that its goal of corporate carbon negativity is not at odds with the work its oil and gas clients do because its algorithms supposedly help them drill more efficiently. As Hao notes, the claim that Microsoft's AI can help increase production while reducing emissions became something of a mantra in company materials and interviews with the tech corporation and its clients. Obviously, that logic is flawed: one cannot claim to be a climate champion while also helping oil companies drill for finite combustible resources that are very plainly destroying the planet, regardless of what greenwashing talking points Microsoft's salespeople use. Indeed, some employees, including an environmentalist ex-Microsofter who now lobbies against her former employer, suggested to Hao that the idea was preposterous. "All of Microsofts public statements and publications paint a beautiful picture of the uses of AI for sustainability," Holly Alpine, a former Microsoft sustainability program manager who left the company earlier this year after nearly a decade, told The Atlantic. "But this focus on the positives is hiding the whole story, which is much darker." After pushing back against the company's tacit support for fossil fuel extraction for years, Alpine eventually became disillusioned enough to leave the software giant. Microsoft, meanwhile, has "not committed to a timeline" to cutting ties with its oil clients, according to a spokesperson who spoke with The Atlantic. More on Microsoft: Microsoft Is Losing a Staggering Amount of Money on AI ROWAN COUNTY, N.C. (QUEEN CITY NEWS) West Rowan Middle School was threatened Thursday night, according to the Rowan County Sheriffs Office. Around 9:34 p.m. on September 12, the Sheriffs Office was told through the Say Something Crisis Center that a student threatened to shoot a staff member and others at the school. A parent also called to report the threats. Morganton teen charged with making violent threats toward Caldwell County high school Deputies say they quickly identified the student responsible and visited the juveniles family. The student denies being involved. No weapons were found in his room or in his possession. Authorities do not believe there is a threat to students and staff. The investigation into the incident is still ongoing. We take these threats to our students and school staff very seriously and investigate them to the fullest extent, the Sheriffs Office wrote in a statement. Parents and students should understand that these type threats are a violation of law and will not be tolerated. Communicating a threat of mass violence on school property is a class H-Felony. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Queen City News. Critics have offered a fact-check on Vice President Kamala Harris claim that the U.S. has "not one member of the United States military who is on active duty" in a combat zone "for the first time this century," indicating that the statement is misleading. Harris made the claim in Tuesday's debate with former President Trump. While her phrasing left room for interpretation, it is clear that there are U.S. troops in harm's way around the globe. "As of today, there is not one member of the United States military who is on active duty in a combat zone in any war zone around the world, the first time this century," Harris said during the Presidential Debate on ABC. The Pentagon told Fox News Digital service that members are stationed in various dangerous locations but noted that those deployments are made by the Executive Branch and not due to wars declared by Congress. "An aspect of military service includes serving in locations where hostile actions may occur," a Defense Official said. "Those locations are designated by executive order and/or the Secretary of Defense." I BELIEVE PENNSYLVANIA WILL MAKE THE DIFFERENCE IN 2024 ELECTION: REP: MADELEINE DEAN READ ON THE FOX NEWS APP "However, its important to note that just because a service member is in one of these locations does not mean they are engaged in war," the official added. "The U.S. is not currently engaged in a war and does not have troops fighting in active war zones anywhere in the world." Vice President Kamala Harris went viral with her response to a question about how she would bring down prices, in an interview with Philadelphia's 6 ABC anchor Brian Taff. Mark Montgomery, a retired rear admiral and senior director for the Center on Cyber and Tech Innovation at the Foundation for Defense of Democracy, told Fox News Digital that the U.S. has "quietly shut down designations of war zones over the past few years." "I would ask: Is anyone getting combat-related hazardous duty pay?" Montgomery added. "The answer is yes," and noted Syria as an example. JESUS MARQUEZ ON TRUMP'S CHANCES IN BATTLEGROUND STATE: ABSOLUTELY WE CAN TAKE NEVADA The Pentagon did not comment on whether troops in countries such as Syria, Jordan or Iraq or on other bases around the Middle East have received hazardous duty pay over the past 10 months as Iran has backed proxies including the Houthis and Hezbollah. A transfer case is unloaded during the dignified transfer ceremony of the remains of three U.S. service members killed in the drone attack on the U.S. military outpost in Jordan, at Dover Air Force Base in Dover, Delaware, on February 2, 2024. Hazardous Duty Pay is distributed at a monthly rate of $225 and is payable to a member who is subjected to hostile fire, explosion of hostile mines or other hostile action while performing duty in a hostile fire area, exposed to a hostile fire event or killed, injured or wounded by hostile fire or explosion, according to Military.com. Military members serving in Lebanon have been eligible for hazardous duty pay since 1983, while those in Syria have been eligible since 2003 and 2014 for land and air combat, respectively. Iraq has remained an eligible region since 1990. Robert Greenway, combat veteran of the U.S. Special Forces and former senior director for the National Security Council (NSC) during the Trump administration, noted that the U.S. has "continuously" deployed troops to combat zones since the 1991 Gulf War. HARRIS-TRUMP SHOWDOWN: GOP CHAIR VOWS WE ABSOLUTELY HAVE THE RESOURCES TO WIN IN NOVEMBER "The assertion is especially egregious, as she is the current VP and should know that we recently conducted a raid in Syria killing a senior ISIS commander, several U.S. troops had to be medically evacuated after another raid against ISIS in Syria," Greenway told Fox News Digital. "Several servicemembers were wounded in Iraq when Al Asad Airbase was attacked by Iranian sponsored terrorists less than a month ago, and our ships are under near-daily attack in the Red Sea." This picture taken on January 4, 2024, shows a view of the headquarters of Iraq's Popular Mobilisation Forces (PMF) paramilitaries in Baghdad, which was targeted on the same day by a U.S. strike. "A current VP unaware of our military operations in combat overseas is a dereliction of duty," Greenway added. The U.S. has roughly 2,500 troops in Iraq alone, although Iraqi officials this week revealed that they have worked out a tentative plan for the U.S. to withdraw most of its troops by 2025 and leave a residual force, The Washington Post reported. "The first phase will begin this year and continue until 2025, while the second phase will conclude in 2026," Iraqi Defense Minister Thabit al-Abbasi said during an appearance on television. Original article source: Military experts reject Harris' claim of 'no US soldiers in combat zones' as misleading ST. PAUL, Minn. (AP) Elections officials are making changes to Minnesotas automatic voter registration system after finding some potentially problematic entries, but they say they are not aware of anyone ineligible who has been registered to vote via the system. The Secretary of States Office said this week that more than 90,000 people have been registered or pre-registered since April, when Minnesota's new system went live. Residents who apply for and receive state-issued IDs such as driver's licenses are now automatically registered to vote without having to opt in if they meet legal criteria. And 16- and 17-year-olds can pre-register to vote once they turn 18. Around 1 percent of those automatic registrations have been flagged for potential problems, said Public Safety Commissioner Bob Jacobson, whose department issues driver's licenses and other official identification cards, Minnesota Public Radio reported. Secretary of State Steve Simon said those roughly 1,000 voter registrations will be kept inactive until the names, addresses and citizenship status are confirmed. He also said additional checks will be made to ensure that voters registered through the system meet the eligibility criteria. Flagged individuals will be notified that, if they are eligible, they will need to register to online, at their local election office, or in-person at their polling place on Election Day. Republican legislators raised questions about the automatic voter registration system earlier this month. Jacobson told them in a letter on Thursday that he is not aware of any instances of Minnesotans being registered to vote who are ineligible to cast a ballot, but that the process improvements they are making will strengthen the verification system. Republicans House and Senate leaders responded Friday saying they still have questions. They said 1 percent of registrants could work out to around 1,000 people. They asked for the actual number, and pressed for confirmation on whether any were allowed to vote in the August primary election. The election is 52 days away, and early voting begins on September 20. Minnesotans want to trust our elections are secure and fair, they said in a statement. While Minnesota grants driver's licenses to residents regardless of immigration status, officials say the identification document requirements provide sufficient safeguards against illegal voting. In Oregon, which has a similar automatic registration system, officials acknowledged Friday that the state has mistakenly registered more than 300 non-citizens as voters since 2021 in what they described as a data entry issue that happened when people applied for drivers licenses. An initial analysis by the Oregon Department of Transportation revealed that 306 non-citizens were registered to vote, spokesperson Kevin Glenn said. Of those, two have voted in elections since 2021. State and federal laws prohibit non-citizens from voting in national and local elections. WASHINGTON, Mo. The commander for a VFW Post in Washington, Missouri, says the state raided their post, searching for unregulated hemp-infused products. Missouri officials say the raid label is misleading, though tell FOX 2 that some workers arrived to the Post on Wednesday following an anonymous complaint. In August, Missouri filed an executive order to ban several unregulated hemp-infused products, including delta-8 THC and similar compounds. Secretary of State Jay Ashcroft rejected emergency rules to enforce the order, though the state has since formed a task force on the issue and has reviewed complaints over unregulated products. Jason Stanfield, commander of VFW Post 2661, says he was caught by surprise when two state inspectors arrived since the Post does not sell products targeted through the executive order. On Facebook, the Post noted that an adult beverage in compliance with state statutes was questioned. Texas Brown Tarantulas on the move in Missouri What to do if you spot one Were not breaking any laws or anything. We are just providing our members with an alternative to alcohol, said Stanfield in an interview Friday with FOX 2. Lisa Cox, communications director for the Missouri Department of Health and Senior Services, tells FOX 2 that two DHSS inspectors visited the Post on Wednesday and that the visit was solely based on an anonymous complaint DHSS received on Sept. 5 regarding this establishments available products. Cox says the two inspectors were let inside upon request and did not find any cause for concern. She says the two left without any products embargoed or destroyed. Stanfield says the state found two delta-9 THC beverages, neither in violation of the executive order. They said they needed to enforce the governors embargo on hemp-derived THC drinks that were listed on this embargo. They said they were adulterated, said Stanfield. The Post has kept the beverages in stock since July, when members voted to sell it. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Weve got vets who are on a lot of medications that interact with alcohol. We are more than a bar. We offer these drinks for people who are more cannabis consumers, said Annie Stanfield, Junior Vice Commander of VFW 2661. Jason Stanfield says he was confused with the response of Missouri DHSS and also frustrated with the timing. Were all over 21 that are in here, said Stanfield. We know that the embargo was set to protect children, according to the governor. Theres not a whole lot of children that are VFW members. Stanfield says the inspectors arrived after he lowered a flag half-staffed in remembrance of service members and civilians who died in the Sept. 11, 2001 terrorist attacks, commemorating the 23rd anniversary of the date. The VFWs Facebook post added, We are not sure that raiding a Veterans of Foreign Wars Post on September 11th immediately after lowering our flag to half-staff in remembrance was the best or most respectful time to do it. Meanwhile, Cox says Missouri DHSS has received more than 100 complaints or tips since Gov. Mike Parson announced the executive order of unregulated hemp products on Aug. 1 and says enforcement work around the VFW complaint had occurred nearly two weeks prior to the states new task force. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 2. Mobile phones have been banned at 44 schools in England over concerns for pupils health and learning. A national academy chain is thought to be the first of its kind to impose a blanket mobile phone ban for a total of 35,000 pupils. The Ormiston Academies Trust, which operates 44 state schools including 32 secondary schools, said there was a responsibility for society to respond to the scourge of mobile phones and social media fuelling self-harm and destructive behaviour. Tom Rees, Ormistons chief executive, told The Guardian: We are seeing huge and real concerns around mental health, post-pandemicWere seeing a clear correlation between that and mobile phone and social media use, in particular. Not all mobile phone use is equal and the relationship between that and adolescent mental health, we think, is overwhelming. Tom Rees, Ormistons chief executive, spoke of the mental health concerns surrounding mobile phones New policies on phones will be introduced at eight of the trusts 32 secondary schools this term, with the remaining academies following suit after liaising with parents. Mr Rees said pupils access to phones was already banned at Ormistons primary, special needs and alternative provision schools. He added: Learning cant happen without attention. A lot of this is about a battle for attention, a battle for focus and concentration. Its not just about having your phone out and using it, its the mere presence of the phone. Theres evidence that tells us that even if your phone is in the same room, it could be in your bag or pocket, your brain is leaking attention, still thinking about it and being drawn to it, wondering if there has been a notification on it and what it might be. Australia bands younger children from using social media It comes as British ministers said they were looking very closely at the Australian governments decision to ban younger children from using social media. Peter Kyle, the Technology Secretary, said he was really interested in Australias promise to set a minimum age for children to access platforms such as TikTok, Facebook, Instagram and Snapchat. Mr Kyle said there was considerable evidence social media was harming vulnerable young people and added he was going to keep everything, including a ban, on the table. On Tuesday, the Australian government promised to introduce legislation this year to enforce a minimum age for children to access social media. Anthony Albanese, the prime minister, said the government would soon trial age verification technology with a view to banning children from opening social media accounts. The line would be drawn between the ages of 14 and 16. The UKs Online Safety Act, which was inspired by The Telegraphs Duty of Care campaign, has yet to be fully implemented. It places a legal duty on social media companies to prevent children from accessing content that is harmful or age-inappropriate including pornography, self-harm and eating disorders. This can be achieved by either removing it from the platform or using age verification tools to restrict under-aged viewing. In February, the Department for Education (DfE) issued guidance that said: We owe it to our children to do what we can to remove distractions and enable them to be fully present and engaged in the classroom. Gillian Keegan, then education secretary, said: We also owe it to our pupils to keep them safe at school, adding one in five pupils had experienced bullying online while one in three said phones are used in the majority of lessons without permission. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. A former senior officer has urged the forthcoming defence review to consider opening a dedicated military hospital to treat wounded troops - Cpl Aaron J Stone/Army Defence chiefs have been forced to spend 12 million on private healthcare for 10,000 soldiers to avoid long NHS wait times. Between 2022 and 2024, more than 10,600 military personnel received private health care at a cost of more than 12 million, figures released following a freedom of information request showed. This is up from a spend of 5.6 million between 2020 and 2021, according to earlier separate figures. Details of the cost can be revealed just days after Sir Keir Starmer, the Prime Minister, claimed that the NHS was broken. The disclosure has led to calls by one former senior officer for the forthcoming defence review to consider opening a dedicated military hospital to treat wounded troops. The MoD figures from January 2022 to April 2024 show that 1,471 troops underwent spinal and orthopaedic surgery after being injured during military training or on operations. The MoD also had to pay 2.3m for 7,812 personnel needing MRI scans and X-rays taken at private clinics. It can also be revealed that the Ministry of Defence spent almost 4.15m in just two years treating more than 400 troops with mental health issues in private clinics. Since the closure of all military hospitals by the government in the 1990s, troops requiring operations are supposed to be treated in NHS hospitals. All troops requiring medical operations are now treated by military personnel in eight NHS trusts located to provide assessment, stabilisation and treatment close to either the service persons unit or home, according to the MoD. From January 2022 to April 2024, 1,471 troops underwent spinal and orthopaedic surgery after being injured during training or on operations - Wojtek Radwanski/AFP via Getty Troops healthcare is provided by the Defence Medical Services, which has a staff of around 6,900, including doctors, surgeons, psychiatrists, dentists, nurses and physiotherapists. The MoD says it will pay for private healthcare based on regional availability of NHS services, while balancing how long treatment will take with getting troops back on to deployment. It says opting for private care is assessed on a case-by-case basis and in accordance with the National Institute for Health and Care Excellence guidelines. Col Philip Ingram, a former Army intelligence officer, said: With the MoD having to rely on the NHS, troops that need treatment are subject to the same waiting lists and lack of flexibility everyone experiences. This is not good for maintaining a fit and healthy fighting force. While 12 million may seem a lot to spend on private healthcare it allows troops to be treated and get back to their operational duties much more quickly. The defence review should look at reopening military hospitals and then sell spare capacity to the private healthcare providers or the NHS, which would ensure our fighting men and women get the best care as quickly as possible. Prof Philip Banfield, BMA council chairman, and Dr Sandy Wood, BMA Armed Forces committee chairman, said: We have no concerns about military patients being treated in the private sector when it will speed up their return to front-line activity, however moving forward we would welcome increased NHS capacity to keep up with demand for health services in the military. Bed shortages in the NHS are the result of chronic underfunding and resources not keeping up with demand. The reduction in military medical facilities in the UK was predicated on appropriate and timely access to civilian services. This country has a covenant with its Armed Service personnel to look after them when they have looked after us. Private medicine has a role to play in a modern healthcare system, but it is generally more expensive, and it would be foolhardy for the NHS to rely on private medicine to solve the capacity issues that are leaving all patients with lengthy waits for diagnosis and treatment. A government spokesman said: We have a duty to provide the best possible care to our service personnel and their families. But this just proves that the NHS is broken, and taxpayers are forced to shell out so our Armed Forces can be treated on time. This Government will reform the NHS so it can be there for our nations heroes and all of us when we need it. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Modesto awarded $8.5M grant in March 2020 to remake a park. Why is work starting only now? The remaking of west Modestos Cesar Chavez Park is expected to start in November, some four and a half years after the state awarded the city an $8.5 million grant to pay for it. And the project will cost twice as much as initially expected. City officials say the pandemic is behind the cost increases and the projects extended timeline. The project also was stalled by a lack of Parks, Recreation and Neighborhoods staff and challenges with some of the renovations design features. But officials say the improvements including an aquatic center with swimming pool, basketball court and soccer field will transform the roughly 6.25-acre park. PRN Director Jeremy Rogers told City Council members Tuesday, Sept. 10, that the remade park will be amazing and that its grand opening in June 2026 will be a great day and exciting day for the city. The renovations include expanding the Maddux Youth Center, a lighted picnic pavilion, a vandal-resistant restroom, a lighted skate plaza, walking paths, security cameras and additional trees and benches. Once the renovation is completed, Chavez will be the only park among the citys more than 70 to have a swimming pool. (Graceada Park has a childrens wading pool.) Modesto once had pools at about two dozen of its parks but started closing them because of budget cuts, a trend it accelerated in the Great Recession of more than a dozen years ago. And the Chavez skate park will the second one at a city park. Council members unanimously approved a construction contract of as much as $14.98 million with Hilmar-based Harris Construction and a construction management and inspection services contract of as much as $917,111 with Lathrop-based TRC Engineering for the Chavez Park project. These amounts include about $920,000 in contingency funding if needed. Several council members said the improved park will be a great addition. The $8.5 million state grant targets underserved communities, and west Modesto is a primarily low-income community. Councilman Eric Alvarez, whose district includes west Modesto, said at the council meeting that hed heard nothing but good things from residents about the renovation, but also frustration about how long the project has taken. Cesar E. Chavez Park in Modesto, Calif., Thursday, Sept. 12, 2024. Alverez said residents also are frustrated about the delays in renovating Dr. Martin Luther King Jr. Park, which is in his district and which also was awarded an $8.5 million grant from the same state program in December 2021. Modesto is shifting some funding from the MLK Park project to pay for Chavez Park. But Rogers told council members the MLK renovation will be fully funded when construction starts in June 2025. Alverez asked how the city will keep residents informed about the developments at MLK, and Rogers said the city already is working on meeting with the residents who use the park. Cesar E. Chavez Park in Modesto, Calif., Thursday, Sept. 12, 2024. The Chavez Parks total cost will be as much as $17.1 million, according to a presentation at Tuesdays council meeting. Besides the roughly $15.8 million for construction and construction management, the costs include $1 million for design work and roughly $200,000 in city staff time. Modesto is paying for the park with the $8.5 million state grant, $2.5 million from Measure H the 1% sales tax increase voters approved in 2022 $600,000 in what are called community facility fees and nearly $5.5 million in pandemic relief funding the city received from the federal government. HONOLULU (KHON2) Congratulations are in order for the 116th recruit class of the Honolulu Fire Department after recruits completed more than 1,200 hours of training to call themselves firefighters. Get Hawaiis latest morning news delivered to your inbox, sign up for News 2 You Recruits celebrated their accomplishments on Friday afternoon at the Hawaii Okinawa Centers Legacy Ballroom. Honolulu Fire Department promotes 84 employees, including new Battalion Chiefs The 116th class consisted of 33 firefighter recruits, five of which will join the State of Hawaiis Aircraft Rescue and Fire Fighting unit. Training began in late January when FFR recruits completed 1,296 hours of instruction over 24 weeks. The Training and Research Bureau, HFD divisions, fire suppression forces and other third-party evaluators facilitated the instruction to provide a broad spectrum of fire service education and training. The following awards were also presented to the following FFRs: Superior Performance in Drills: Mason Isa Excellence in Scholastic Achievement: Aubrey Huey Most Inspirational FFR: Dan Nagaji Outstanding FFR: Darren Garvey Reports said the 33 FFRs are certified to the International Fire Service Accreditation Congress Fire Fighter I and II and the National Registry of Emergency Medical Technicians. Check out more news from around Hawaii Fire Fighter Recruits are also certified in hazardous materials awareness, operations levels and basic driving skills. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KHON2. Two hospitals in Springfield, Ohio, were sent into lockdown after bomb threats, police said on Saturday, marking the fourth such case in as many days that appears linked to false claims circulating among the far right that Haitian immigrants there are eating domestic pets and wildlife. Saturdays threats came even after the woman who started the rumors acknowledged to NBC News that they were unfounded and publicly apologized. Kettering Health Springfield was one of the medical facilities targeted, with officials later saying they found nothing suspicious during a search. Another hospital, Mercy Healths Springfield regional medical center, received a similar threat. A spokesperson with Mercy Health said the hospital had continued to operate and thanked Springfield police as well as hospital staff for their swift, efficient and caring response. The bomb threats on Saturday came after others had been called in to government buildings Thursday, forcing their closure and causing local schools to be evacuated. We recognize that the past few days have been particularly challenging for everyone in our community, Springfield police said in a statement. Police added we remain fully committed to ensuring the safety and wellbeing of each and every person. On Friday, a Springfield woman, Erika Lee, apologized for rumors about Haitian immigrants eating pets that resulted from a post she wrote on Facebook claiming that the friend of a neighbors daughter lost her cat and then found the animal strung up outside the home of a Haitian family. Lee now says she had no firsthand knowledge of the claim. The neighbor referenced in the post, Kimberly Newton, revealed that she also had heard the story from an acquaintance and not her daughter. Lee said she was filled with regret and insists she never intended to put a target on the backs of the Haitian community. It just exploded into something I didnt mean to happen, Lee told NBC News on Friday. Local authorities in Springfield had already debunked the lies even before Donald Trump made the allegation that Haitian immigrants were eating pets during the debate with Kamala Harris on Tuesday. Lee told the outlet she never imagined her social media post would become fodder for conspiracy theories and hate aimed at the Haitian community in Springfield. Im not a racist, Lee said, adding that her daughter is half-Black and she herself is mixed race as well as a member of the LGBTQ+ community. Everybody seems to be turning it into that and that was not my intent. The city of Springfield believes the rumors may also have arisen from a case in Canton, Ohio, where an American with no known connection to Haiti was arrested in August for allegedly stomping a cat to death and eating the animal. Separately, an explanation for a viral photo of a man carrying two geese in Columbus, Ohio, has been made, although it also helped set off the now-discredited rumors about pet-eating in nearby Springfield. The Ohio state division of wildlife told TMZ that the man had been picking up the two geese that had been hit by a car. The agency also reported that there was no evidence that the man was Haitian, an immigrant or that he intended to eat the geese. About 15,000 Haitian immigrants began trickling into Springfield a city of 60,000 to work in local produce packaging and machining factories in 2017. They have been in demand at Springfields Dole Fresh Vegetables and at automotive machining plants whose owners grappled with a labor shortage in the wake of the Covid-19 pandemic. Jeff Bezos former neighbor said his broker cheated him out of millions when the Amazon founder bought his house in Miamis Billionaire Bunkerand legal experts say he has a case. Although the $79 million that Bezos paid last year for his neighbors Indian Creek Island house was no pittance, it was $6 million below the asking price of his neighbor, Leo Kryss. Having seen the news that Bezos had bought the house next door, Kryss asked agents for his broker Douglas Elliman if Bezos was the one trying to buy his house anonymously, according to a lawsuit filed in the 11th Judicial Circuit in Miami-Dade County. Bezos bought his first house on the exclusive Indian Creek Island in June 2023 for $68 million after announcing that he would move to Miami from his long-time home in Seattle, where Amazons HQ is based. He later bought Kryss house next door, and earlier this year, the second-richest man bought a third adjacent property for $90 million. Kryss, the cofounder of Brazilian toy and electronics company Tectoy, said he wouldve charged more if Jay Parker, the CEO of Douglas Ellimans Florida region, hadnt directly told him that Bezos was not behind the sale and that the potential buyer, who Parker assured him was not Bezos, would pay no more than $79 million, according to the lawsuit. The fact that Douglas Ellimans CEO of the Florida region called Kryss to tell him directly that it was not Bezos making the $79 million offer gives his case good standing, New York-based corporate lawyer Alton Harmon told Fortune. The problem comes when you actually say something along the lines of this is not Bezos, that broker never should have said that, because at that point, there is the possibility for negligent misrepresentation, Harmon said. Douglas Elliman declined to comment to Fortune. Adding another wrinkle to the case, Parker told Kryss that the mayor of Indian Creek, Benny Klepach, had said someone in his family made the offer on Kryss house, according to an email attached to the lawsuit. Klepachs daughter, Celine Klepach, had joined Douglas Elliman as a sales associate just weeks before the sale went through and received a commission for the sale. She no longer works for the broker, the Wall Street Journal reported. Because Douglas Elliman was working as a transaction broker, it had no fiduciary duty to Kryss; under Florida law, the broker still had to use skill, care, and diligence in the transaction, and disclose any and all facts that materially affect the value of the property and are not readily observable to the buyer. Story continues Parker had a responsibility to check whether what he was allegedly told by Klepach was correct or not, said Harmon. Although the responsibility to disclose material facts usually applies to things having to do with the property, such as whether there was recent flooding that affected the home, by asking directly about whether Bezos was behind the offer, Harmon said it could be argued that his involvement was material. By asking that very, very specific question, 'Is this Jeff Bezos, because I know he bought the property next door?' I do believe it became a material fact that affected the value of the property. So I think that it's a creative way to approach this, he said. Anat Alon-Beck, a law professor who teaches corporate law and contracts at Case Western Reserve University, told Fortune that knowing Bezos was behind the sale could have led Kryss to offer the property at a higher price, because the Amazon founder valued it more. A price is always what it means to the buyerhow much are you willing to pay for something? But the seller, not having full disclosure on who the buyer is, didn't really get to fully negotiate that, said Alon-Beck. Kryss is suing Douglas Elliman for damages in excess of $750,000, claiming the broker breached its contractual duties and duties under Florida law. Kryss also wants Douglas Elliman to forfeit the $3.16 million commission it received as part of the deal. Bezos was not named as a defendant in the suit. While Kryss is asking for a jury trial, Douglas Elliman has filed a motion to dismiss the suit. Ultimately, Alon-Beck said that the parties are likely to settle the lawsuit out of court. Douglas Elliman failed to fulfill their duties to our client. The facts, as set forth in our complaint, speak for themselves; they knew or should have known who the ultimate beneficial purchaser was and misrepresented that very important fact to our client. We have no further comments beyond what is set forth in the complaint that we filed on behalf of our client, Kryss lawyer said in a statement. This story was originally featured on Fortune.com CENTRAL ILLINOIS (WCIA) Two more school districts in Central Illinois are coming out with statements to say they too received threats this week or to address the trend that impacted several schools throughout the region. Tip Reedy, Superintendent of the Williamsville-Sherman CUSD, said on Facebook Friday that the districts offices fielded communications regarding concerns for student safety that morning. He added that there has been a conversation floating around the community that the schools are unsafe and have been on soft lockdowns. Central IL police investigating string of recent school threats These conversations are unfounded, Reedy said and the rumors are not true. Anytime a potential threat is communicated to our schools, the building administration and local police departments aggressively and thoroughly investigate, Reedy said. We do have daily police presence from the Williamsville Police Department and Sherman Police Department at all our schools. Tim Condron, Superintendent of the Mattoon CUSD, also published a statement regarding the threats. He said to his knowledge, the district wasnt aware of any threats toward them specifically, but he did say administrators were taking the situation very seriously and implementing extra precautions. 13-year-old arrested in Jacksonville for statements implying gun at school We encourage anyone who sees or hears anything that could pose a potential school safety issue to immediately notify a teacher, staff member, administrator, or local law enforcement, Condron said. Please note that any threats that are made against our schools whether it occurs on social media, in conversation, or any other format carry serious legal and disciplinary consequences. As a district, we handle all incidents in collaboration with the Mattoon Police Department. Condron added that if any threat received, they will provide families with as much information as possible. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WCIA.com. Michele Morrow and Mo Green are running to bvecome NC's next Superintendent of Public Instruction (File photos) North Carolinas candidates for Superintendent of Public Instruction, Republican Michele Morrow and Democrat Mo Green, took to the virtual stage on Thursday for their first debate. The event was hosted by Best NC, a nonprofit group of business leaders that seeks to improve the states education system via policy and advocacy. Two very different candidates The superintendent is responsible for leading the Department of Public Instruction and guiding North Carolinas public schools. The two candidates bring dramatically different backgrounds and visions for public schools to the contest. Green is an attorney who spent several years helping to lead two of the states largest school systems in Mecklenburg and Guilford Counties the latter as superintendent. From 2016 to 2023 he served as the executive director of one of the states largest philanthropic nonprofits, the Winston-Salem-based Z. Smith Reynolds Foundation. He is the father of two adult children who both attended and graduated from North Carolina public schools. This is his first campaign for public office. Greens campaign platform emphasizes better funding for public schools, better supporting teachers, as well as celebrating public schools and returning them to the center of community life. Morrow is a homeschool teacher and nurse whose children do not attend public school. In sharp contrast to Green, her campaign has emphasized what she has said are the many failures and weaknesses of public education. She was defeated in run for the Wake County school board in 2022. Morrow is also a conservative culture warrior who has attacked efforts to teach students about race and racism and derided public schools as indoctrination centers. Perhaps most infamously, Morrow posted online statements in and around the January 6, 2021 U.S. Capitol insurrection from a Washington, DC hotel room in which she called on then-President Donald Trump to put the Constitution to the side and use the military to stay in power. In 2020, she tweeted a call for former President Barack Obama to be executed by firing squad in a televised pay-per-view event. Democrats have also made efforts to tie Morrow to Lt. Gov. Mark Robinson, the Republican gubernatorial nominee who has been struggling in polls. Though Morrows controversial stances have dominated much of the news coverage surrounding the race, they played only a small role in Thursdays debate. In response to Green condemning her endorsements of violence and suspending the Constitution, Morrow dismissed the attack by claiming Green has paid people to examine personal things that Ive stated and take them out of context. Differing on the issues Most of the rest of the debate was revolved around the discussion of substantive education issues. Morrow cited her experience teaching biology, chemistry, Spanish, and civics, as well as homeschooling her own children. She painted herself as an outsider whos able to offer a fresh perspective. We need somebody thats outside of the current system because while its nice to talk about all of the accolades of being in administration, we can clearly see the decline of the last 20 years, and its time for us to not be focused on funding the system, but be focused on the student outcome, Morrow said. Green, in contrast, emphasized his former role as the superintendent in Guilford County. He said this experience would provide him with an advantage and leg up in leading the statewide system. I believe that I certainly have background, having served as superintendent of Guilford County Schools for seven and a half years, and what comes with that is then a vision for our public schools, Green said. Over the course of an hour, the pair addressed questions on teacher pay, teacher and principal recruitment and retention, early literacy, school performance grades, attainment, school choice, and parent engagement. Morrow said that the states challenges in recruiting and retaining teachers could be addressed if administrators create an environment where students are excited to learn and show up to school every day. Our teachers dont need to feel like theyre in a silo, and that their class is only their responsibility, she said. Green did to disagree with the sentiment but emphasized the need to provide more and better resources and support for teachers so that they have more time to focus on teaching. One issue on which the candidates sharply disagreed was the states Opportunity Scholarships program that provides vouchers to fund private school education. Republicans in the state Senate voted to fully fund the plan on Monday with a mini-budget set to eliminate a waitlist of around 54,000 students from families of all income levels seeking private school vouchers. Morrow endorsed the program and said she supports having a variety of education options for parents and students to select. Right now, it is absolutely necessary that we have healthy competition in the free market system, she said. Competition creates the best product at the best price, and our public school system should be raising the bar so that we can keep everybody in our schools, and we can make people want to be there and to stay. Green said that while he supports school choice, he opposes using public funds for private school vouchers. Public dollars should go into supporting public schools, that would be traditional public schools and charter schools, he said. Lets be abundantly clear, youre talking about hundreds of millions of dollars, which will turn into billions of dollars, through this taxpayer-funded private school voucher program, that are being drained away from our public schools, leaving our public schools with not enough resources to do what is called upon to do. MANSFIELD, Mass. (WPRI) An investigation is underway after a motorcyclist was critically injured in a crash Friday evening. Massachusetts State Police troopers were called to I-1945 North in Mansfield for reports of a crash involving a motorcycle. The motorcyclist, who has not been identified, was rushed to the hospital with life-threatening injuries. The circumstances surrounding the crash are unclear at this time. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Breaking News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WPRI.com. When you buy through links on our articles, Future and its syndication partners may earn a commission. Credit: Jean-Denis JOUBERT via Getty Images The land around a strange volcano in Tanzania is bulging, suggesting magma may be accumulating in a shallow reservoir beneath the surface. The movement could be a precursor to an eruption, researchers say. Ol Doinyo Lengai is an active volcano that erupts the runniest lava on Earth. Satellite data indicate that a magma reservoir about 1.4 miles (2.3 kilometers) beneath the crater began swelling in March 2022, suddenly stretching Earth's crust above it. This rapid uplift continued at a steady rate until December 2022, and then gave way to a period of slower uplift that lasted through to August 2023, according to a new study. Periods of uplift can be signs of an impending eruption, study lead author Ntambila Daud , a doctoral student at Virginia Tech's Geodesy and Tectonophysics Laboratory, said in a statement . There are records of Ol Doinyo Lengai eruptions going back to the 1880s, and the volcano has been periodically active ever since. "This research could help Tanzanian authorities have a better idea of what is happening with the volcano," Daud said. It is the only volcano in the world that expels carbonatite lava, which is extremely thin and saturated with alkali elements such as calcium and sodium. Ol Doinyo Lengai, which in the Maasai language translates to "mountain of God," spurts mainly lava flows that turn bone white when they dry. This is due to calcium and carbon dioxide in the lava combining to form calcite and other carbonate minerals that break down quickly in the presence of water or humidity. Related: Antarctica is covered in volcanoes, could they erupt? Researchers use satellite data to measure land deformation around volcanoes. In 2016, geoscientists at Virginia Tech installed satellite-connected sensors on the flanks of Ol Doinyo Lengai to monitor the periodic rise and fall of the crust, as well as a seismometer to detect earthquakes. Previous research used satellite data to characterize activity at Ol Doinyo Lengai, but that study, which was published in June, found the volcano has been sinking for the past 10 years . It's unclear what effect the more recent bulge has had on the overall sinking trend. For the new study, Daud and colleagues built computer models that pulled out volcanic signals from the satellite data of Ol Doinyo Lengai. The models revealed clear differences between patterns of uplift in 2022 and 2023, with the former showing much faster rates of land deformation than the latter. RELATED STORIES Record-shattering Tonga volcanic eruption wasn't triggered by what we thought, new study suggests Underwater volcano eruption 7,300 years ago is the largest in recorded history Scientists may have accidentally found mystery magma reservoir in volcanoless region of Alaska "This signal may indicate magma accumulation in a shallow magma source or pressurization of a magmatic conduit beneath the vent of the active volcano Ol Doinyo Lengai," the researchers wrote in the study, which was published July 9 in the journal Geophysical Research Letters . Magma rising close to the surface suggests the volcano could erupt soon, the researchers wrote. Eruptions are a threat to people that live around the volcano, and they compromise tourism and air traffic in the area, according to the statement. Reports indicate lava flows erupted from the summit of Ol Doinyo Lengai as recently as 2021. "The approach that Daud used in this paper provided important steps forward in our understanding of the dynamic magma plumbing system of Ol Doinyo Lengai," study co-author Sarah Stamps , an associate professor of geophysics at Virginia Tech, said in the statement. The mural at the corner of East Locust and North Holton streets read, "The irony of becoming what you once hated" in capital letters. It was defaced Friday. A woman on Friday smeared black paint over a new mural on a prominent street corner that blended the Star of David with a swastika. Bearing the words, "The irony of becoming what you once hated," in all capital letters, the mural suggested Jewish people were carrying out a new Holocaust in the Israel-Hamas war. On Thursday Milwaukee Jewish leaders called it "horribly antisemitic" and said it held all Jews responsible for the actions of the Israeli government. They also said it was particularly hurtful and dehumanizing to make any connection to the Holocaust, a systematic, state-sponsored execution of 6 million Jews. WITI-TV (Channel 6) interviewed a woman named Sara Ninham midday Friday who said she vandalized the mural because she didn't like seeing a swastika. She did not mention the Israel-Hamas war or express any religious or political stances in the interview. "The hate. Who puts a swastika (up)?" Ninham told the reporter. "To me, this is just promoting more violence. I get trying to raise awareness, but we've got kids that play over here. In such a short time, this was the fastest that I could try to get rid of it." On her Facebook page, the woman posted a video holding up her hand covered in black paint. "No the (expletive) they didn't just put a swastika on the corner of my block. I (expletive) handled it," she said in the video. Ihsan Atta, who owns the building at East Locust and North Holton streets where the mural is displayed, said he expected vandalism. He is Palestinian-American and wanted to raise awareness about Israel's devastation in Gaza, which he considers to be geocidal and akin to the Holocaust. He defended the image to the Milwaukee Journal Sentinel on Thursday, arguing the swastika is "equivalent" to the Star of David because, he said, the star is used not only as a religious symbol, but a political symbol. After some news coverage Thursday, Atta thought that would be all. He took satisfaction in getting more news coverage Friday because the mural was defaced. "The whole point is to have exposure, to bring awareness, and she helped with that, so I appreciate her for that," he said. By late afternoon Friday, most of the paint appeared to have been wiped off the mural. Ihsan Atta, who owns the building where the mural is displayed, said he was surprised and unaware that someone had cleaned it. Atta said security footage shows Ninham squirting black paint over the mural then smearing it around with her hands. He has filed a police report against her alleging vandalism and property destruction. The artists who made the mural will work on cleaning and repairing the mural in the coming days, he said. But by late afternoon Friday, the black paint already appeared to be mostly wiped off the mural. The pro-Palestinian mural replaced a well-known mural of Breonna Taylor, who was killed by Louisville police. Prior to the defacing of the mural, the Jewish Community Relations Council of the Milwaukee Jewish Federation released a statement Friday saying the mural was the latest in a "staggering uptick in antisemitic rhetoric and misinformation." The council said a recent public discussion hosted by the federation on "Civility in our Times" was targeted. Non-Jewish panel members, the council said, were sent emails with misinformation and antisemitic tropes. And participants found fliers on their cars claiming the federation and council were Islamaphobic and considered students who participated in spring protests "terrorists." In Friday's statement, the council said neither it, nor the Jewish Federation, makes Islamaphobic comments. Sophie Carson is a general assignment reporter who reports on religion and faith, immigrants and refugees and more. Contact her at scarson@gannett.com or 920-323-5758. This article originally appeared on Milwaukee Journal Sentinel: Milwaukee mural with swastika, Star of David vandalized with paint Man charged with murder of woman in east Belfast Police said a 21-year-old man has been charged with murder [Pacemaker ] A 21-year-old man has been charged with murder after the discovery of a woman's body in east Belfast on Friday night. The woman has been named as 43-year-old Rachel Simpson. Ms Simpson's body was discovered in a garage beside a property in the Castlereagh Road area. The man is due to appear at Belfast Magistrates Court on Monday. Severe flooding has hit Myanmar after Typhoon Yagi, with more than 230,000 people forced to flee their homes, according to officials. The country's ruling junta has requested foreign aid to mitigate the impact, the state-run media report. The capital Naypyidaw is among the areas worst hit. The floods have killed at least 33 people, the country's military says. State-run daily New Light of Myanmar says some temporary relief camps have been set up for victims made homeless. Asia's most powerful storm this year, Typhoon Yagi, has already swept Vietnam, the Chinese island of Hainan and the Philippines. Junta chief Gen Min Aung Hlaing and other Burmese officials have visited areas of heavy flooding and inspected the rescue and relief efforts, the state-run media say. Reports by Radio Free Asia suggest the death toll is much higher, with the US-backed broadcaster saying at least 160 people were killed in floods and landslides. A rescue worker in Taungoo told BBC Burmese on Saturday more than 300 people were trapped by flooding on the east bank of the Sittaung river. "There aren't enough boats to rescue us," the rescue worker said. Scientists say typhoons and hurricanes are becoming stronger and more frequent with climate change. Warmer ocean waters mean storms pick up more energy, which leads to higher wind speeds. A warmer atmosphere also holds more moisture, which can lead to more intense rainfall. Much of Myanmar's population has been suffering dislocation because of a three-year civil war that has killed thousands and displaced more than 2.6 million people, according to the UN. [Getty Images] According to the UN's Office for the Coordination of Humanitarian Affairs (OCHA), some 18.6 million people are now estimated to be in humanitarian need. In an update on the ongoing humanitarian situation earlier this week, the International Red Cross (ICRC) said many families in Myanmar have limited access to clean water and sanitation, and are going without basic medicines and health care. "They live with the fear of armed conflict and violence. The disruption of livelihoods is leaving countless people without the means to sustain themselves," the ICRC's president, Mirjana Spoljaric, said on Wednesday. [EPA] Myanmar junta makes rare request for foreign aid to cope with deadly floods Floods and landslides have killed almost 300 people in Myanmar, Vietnam, Laos and Thailand in the wake of Typhoon Yagi (Sai Aung MAIN) (Sai Aung MAIN/AFP/AFP) Myanmar's junta chief made a rare request Saturday for foreign aid to cope with deadly floods that have displaced hundreds of thousands of people who have endured three years of war. Floods and landslides have killed almost 300 people in Myanmar, Vietnam, Laos and Thailand in the wake of Typhoon Yagi, which dumped a colossal deluge of rain when it hit the region last weekend. In Myanmar more than 235,000 people have been forced from their homes by floods, the junta said Friday, piling further misery on the country where war has raged since the military seized power in 2021. "Officials from the government need to contact foreign countries to receive rescue and relief aid to be provided to the victims," Min Aung Hlaing said on Friday, according to the Global New Light of Myanmar newspaper. "It is necessary to manage rescue, relief and rehabilitation measures as quickly as possible," he was quoted as saying. The junta gave a death toll on Friday of 33, while earlier in the day the country's fire department said rescuers had recovered 36 bodies. A military spokesman said it had lost contact with some areas of the country and was investigating reports that dozens had been buried in landslides in a gold-mining area in the central Mandalay region. - Aid restrictions - Myanmar's military has previously blocked or frustrated humanitarian assistance from abroad. Last year it suspended travel authorisations for aid groups trying to reach around a million victims of powerful Cyclone Mocha that hit the west of the country. At the time the United Nations slammed that decision as "unfathomable." AFP has contacted a spokesperson for the UN in Myanmar for comment. After cyclone Nargis killed at least 138,000 people in Myanmar in 2008, the then-junta was accused of blocking emergency aid and initially refusing to grant access to humanitarian workers and supplies. Military trucks carried small rescue boats to flood-hit areas around the military-built capital Naypyidaw on Saturday, AFP reporters said. On Friday hundreds of villagers waded or swam through chin-high waters to safety following floods around the capital. Some told AFP they had sheltered in trees overnight to escape the raging flood waters below. State media said flooding in the area around the capital had caused landslides and destroyed electricity towers, buildings, roads, bridges and houses. More than 2.7 million people were already displaced in Myanmar by conflict triggered by the junta's 2021 coup. rma/mca A patient in Missouri who was hospitalized after an infection with bird flu had the H5N1 strain of the virus, the US Centers for Disease Control and Prevention confirmed Friday. The viral sequence was uploaded Friday to the GISAID database, which makes genetic sequences of viruses publicly available for research and study. It shows that the virus is closely related to the strain that has been infecting dairy cattle in 14 states this year. How the person caught the virus is still under investigation. Thirteen other human infections have been reported in the US this year, all in people who had close contact with infected animals. The person who tested positive in Missouri late last month, however, had no contact with wild birds, poultry, cats, or cattle, and they reported no consumption of raw dairy products. The same was also true for their close contacts, according to Lisa Cox, communications director for the Missouri Department of Health and Senior Services. In an email, Cox said the patient didnt have any pets. She said she was unsure if the person had consumed pasteurized dairy products. Cox said blood tests to look for antibodies to their virus in the patient and their close contacts are being considered. The significance of this infection is an open question. On one hand, experts say its concerning that the person was infected without any apparent contact with infected animals, which seems to deviate from the pattern. All the other human infections of H5N1 reported this year have been mild and what infectious disease experts call dead-end infections: Theres been no evidence that any of the people spread the infection to others. It is reassuring, however, that monitoring of the persons close contacts has not found any confirmed onward transmission, suggesting that this was not a virus with any new abilities. That observation seems to be supported by the genetic sequence of the virus. Scientists said they didnt see any changes that would indicate it might be more easily spread or cause more severe disease, according to the CDC. The virus did, however, have mutations that could affect the development of candidate vaccine viruses, flu viruses prepared by the CDC that manufacturers can use to create vaccines in the event of a disease outbreak. Further information posted about the Missouri case Friday in the CDCs weekly FluView report revealed that a close household contact of the infected person got sick the same day, had similar symptoms but was never tested. Both people have since recovered. The simultaneous development of symptoms does not support person-to-person spread but suggests a common exposure, according to the CDC. A second close contact, a health-care worker, developed mild symptoms but tested negative for flu. A 10-day follow-up period has passed, and no additional cases have been found, the CDC reported. The confirmed patient in Missouri was an adult who had multiple underlying medical conditions. They became ill the week ending August 24, according to the FluView report. Dr. Nirav Shah, principal deputy director of the CDC, said at a news briefing Thursday that the patient went to the hospital with chest pain, nausea, vomiting, diarrhea and weakness. Shah said the person was hospitalized because of their underlying conditions. While in the hospital, the patient tested positive for an influenza A virus. The state of Missouri is routinely conducting additional testing on all specimens that test positive for influenza A, and this testing determined that the person had an H5 virus, which is unusual. The sample was forwarded to the CDC for confirmation. Asked Thursday whether the CDC considered the flu infection to be incidental to the patients hospitalization in other words, something that had not necessarily played a role in the reason they needed to be in the hospital but was spotted while there Shah said, no, were not describing it as incidental. That was a question that was on our minds. But no, it was not incidental there. Shah declined to offer more information about the case, saying it could compromise the patients confidentiality. CDC scientists said the patient sample they received contained a low concentration of the virus, and they cautioned that they may not be able to sequence all eight of the virus segments. For more CNN news and newsletters create an account at CNN.com Mystery riverfront goats rounded up by KC Pet Project, still no owner KANSAS CITY, Mo. KC Pet Project announced Friday, that the mystery goats roaming Kansas Citys riverfront have been rounded up in a social media post. KC Pet Project says that early Wednesday morning, a team worked along the Riverfront Heritage Trail where the goats had made their new home. The team was joined by goat expert Margaret Chamas. Jackson County man charged after getting arrested while paying taxes The team used portable fences, vehicles, and wooden pallets to create a barrier and herd the animals to safety. KC Pet Project claims that officers pushed through thick vegetation on the steep river bank and after several hours, all seven of the remaining loose goats had been loaded onto a trailer at around 10 a.m. The goats were then transported to the KC Pet Project campus, where theyre now in an outdoor livestock barn. The mysterious herd first made an appearance on the KC riverfront in early August after a program to contain vegetation had come to an end. However, while all the goats hired for the grazing were located and accounted for, 10 additional goats were still running wild. Still, no owner has come forward, despite extensive efforts to form an explanation from the community and local organizations. The goats will stay with the KC Pet Project for five days. If no owner is found, theyll be looking for new homes. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. Lazada's key advantage in Southeast Asia amid rising competition from new entrants such as PDD Holdings' Temu and ByteDance's TikTok lies in technology such as artificial intelligence (AI) and logistics, Lazada Thailand CEO Varitha Kiatpinyochai said on Friday. "With Lazada being part of the Alibaba Group, we can really leverage the best-in-class technology and ecosystem of the group," Kiatpinyochai, who took over Lazada Thailand this year, said in an interview on the sidelines of the Hong Kong-Asean Summit, where she spoke on Southeast Asia's e-commerce landscape. "The big advantage is how we leverage technology, especially AI, in the app throughout the consumer purchasing journey. I think AI has really been a game-changer for us." The Asean Summit is organised by the South China Morning Post, which is owned by Alibaba Group Holding. Do you have questions about the biggest topics and trends from around the world? Get the answers with SCMP Knowledge, our new platform of curated content with explainers, FAQs, analyses and infographics brought to you by our award-winning team. Lazada staff members working in Bangkok, Thailand, on October 21, 2019. Photo: Xinhua alt=Lazada staff members working in Bangkok, Thailand, on October 21, 2019. Photo: Xinhua> Kiatpinyochai, Lazada's youngest executive at 32 years old, pointed to how Lazada is using AI in different areas to better engage consumers, especially more tech-savvy consumers in Thailand. One of the latest developments includes LazzieChat, a chatbot that uses OpenAI's GPT model rather than Alibaba's own Tongyi Qianwen models. Generative AI has been a key part of Lazada's localisation efforts, with LazzieChat now available in the languages of Bahasa in Indonesia, Thai and Vietnamese. Competition has recently been heating up in the global e-commerce space, as companies like Temu and Shein use relationships with Chinese manufacturers to engage in aggressive price competition. Temu, a sister company of Pinduoduo, has recently been expanding in Southeast Asia, but not without challenges. The app entered Thailand in July only to immediately face pushback from authorities, who are exploring tightened regulations on imports. In Indonesia, officials have warned that Temu would have to comply with regulations requiring an intermediary or distributor. Kiatpinyochai welcomed the competition, saying that ultimately it is the consumers who win. "At the end of the day, it's a healthy competition, and all the benefits and advantages go to the consumers who enjoy the best deals, best prices ... and also a better and better customer experience," she said. Story continues Other technologies that are having a big impact on consumer engagement include gamification and augmented reality, according to the executive. Games on Lazada's platform have "increased stickiness", she said, and the ability to virtually try on products like lipstick or see how furniture might look in a flat has been proven to drive sales. "It's not only a gimmick," Kiatpinyochai said. "[For] users who adopt these virtual try-on features, conversion increased by three times." Logistics is another critical component of Lazada's competitive advantage, according to Kiatpinyochai. She noted that the company has the second-largest supply chain network in the region, with fulfilment centres in 17 cities and collaboration with more than 400 warehouse facilities and rotation centres. This is before accounting for the help that Lazada gets from Alibaba logistics arm Cainiao for cross-border e-commerce, an increasingly important area of the business as Chinese brands expand overseas amid a slowing domestic economy. Revenue in Southeast Asia's e-commerce market is projected to surpass US$116 billion this year, and reach more than US$190 billion by 2029, according to data analytics firm Statista. This article originally appeared in the South China Morning Post (SCMP), the most authoritative voice reporting on China and Asia for more than a century. For more SCMP stories, please explore the SCMP app or visit the SCMP's Facebook and Twitter pages. Copyright 2024 South China Morning Post Publishers Ltd. All rights reserved. Copyright (c) 2024. South China Morning Post Publishers Ltd. All rights reserved. North Korean state media showed leader Kim Jong Un (R) meeting with Russia's Security Council Secretary Sergei Shoigu at an undisclosed location (STR) (STR/KCNA VIA KNS/AFP) North Korean leader Kim Jong Un pledged to deepen ties with Russia as he held talks with visiting security chief Sergei Shoigu, state media reported Saturday. Western powers have accused cash-strapped North Korea of selling ammunition to Russia in defiance of sanctions over the more than 30-month war in Ukraine. North Korea has recently bolstered military ties with Russia, with President Vladimir Putin making a rare visit to Pyongyang in June, where he signed a mutual defence agreement with Kim. Pictures in North Korean state media showed Kim and Shoigu hugging and smiling at the end of their visit, with the North Korean leader "wishing the respected President Vladimir Vladimirovich Putin good health and success in his work". The pair were described as having had "constructive" talks in "a friendly and trustworthy, warm atmosphere". The exact location of their meeting was not disclosed, but experts suspect it was the Kumsusan Guest Palace in Pyongyang, which has hosted both Putin and Chinese President Xi Jinping. "There was a wide exchange of views on the issues of steadily deepening the strategic dialogue between the two countries and strengthening cooperation to defend the mutual security interests and on the regional and international situation," North Korean state media said. Kim "affirmed that the DPRK government would further expand cooperation and collaboration" with Russia based on the treaty they signed in June, it added, using the countrys official name. Russia's security council said on its website that Shoigu's meeting with Kim will "make an important contribution to the implementation" of the defence pact. Shoigu heads Russia's Security Council after stepping down as defence minister in May. He last met with Kim in July 2023, during a celebration in Pyongyang for the 70th anniversary of the 1953 Korean War armistice. Their latest meeting comes two days after North Korea fired multiple short-range ballistic missiles into waters east of the Korean peninsula. Seoul's Joint Chiefs of Staff said the testing spree was possibly of weapons meant "for export to Russia". On Friday, North Korea released images of its uranium enrichment facility for the first time, and Kim stressed "the need to further augment the number of centrifuges in order to exponentially increase the nuclear weapons for self-defence". The United States and South Korea have accused North Korea of supplying ammunition and missiles for Russia's war effort, a claim Pyongyang has called "absurd". A Conflict Armament Research report this week used debris analysis to show "that missiles produced this year in North Korea are being used in Ukraine". Russia, a historical ally of North Korea, is one of a handful of nations with which Pyongyang maintains friendly relations. Ties have warmed since the 2022 start of the Ukraine war ruptured Russia's relations with the West. hs/lb NATO Secretary General says Russia lied at meeting with NATO shortly before invading Ukraine Jens Stoltenberg, the outgoing NATO Secretary General, has revealed details surrounding his last meetings with the Russian side before its full-scale invasion of Ukraine. Source: Stoltenberg in an interview with the German media outlet FAS, as reported by European Pravda Details: Stoltenberg said that the last meeting of the NATO-Russia Council under his chairmanship took place in January 2022. Back then, he recalls, Russia demanded that all NATO troops be withdrawn from the eastern territory of the Alliance. "This was completely unacceptable to us, but I believe in dialogue. That is why we sat down with them again," Stoltenberg said. He added that the meeting was attended by two Russian deputy foreign and defence ministers. The NATO Secretary General noted that Russia had claimed there were no military plans on their part and that the country was supposedly under threat from Ukraine. "They presented maps, likely to demonstrate that Russia was surrounded by NATO. However, even these maps were incorrect. Denmark, for instance, was not marked as NATO territory at all. It was unbelievable," Stoltenberg said. He remains unsure whether it was a case of poor preparation or a deliberate act. Background: In addition, Stoltenberg admitted that the Alliance could have done more to prevent a full-scale Russian invasion of Ukraine in 2022. Jens Stoltenberg has been NATO's Secretary General since 2014. He will be replaced by former Dutch Prime Minister Mark Rutte on 1 October. Politico reported that Stoltenberg, upon stepping down from his NATO role, will become the head of the Munich Security Conference. Support UP or become our patron! New NC absentee schedule released after removal of We The People party from presidential race RALEIGH, N.C. (QUEEN CITY NEWS) After North Carolina counties were ordered to reprint their absentee ballots without Robert Kennedy Jr. Monday, the plan to get them out has been released by the state Board of Elections. All 100 county BOEs must send their general election ballots to eligible military and overseas citizens on Sept. 20, and to all other voters who requested them on Sept. 24, including those who use the Visually Impaired Portal. Reprinting absentee ballots in NC could be costly for some counties State election officials say this schedule ensures that North Carolina will meet the federal law requirement to distribute ballots to voters under the Uniformed and Overseas Citizens Absentee Voting Act (UOCAVA) by the 45th day before the election Sept. 21. County boards were prepared to send absentee ballots out on Sept. 6, the deadline for absentee ballots to be sent under state law. However, rulings by the N.C. Court of Appeals and N.C. Supreme Court required the removal of the We The People party line from the presidential contest on the ballot, including the partys presidential nominee, Robert F. Kennedy Jr., and running mate, Nicole Shanahan. The state BOE previously estimated the total cost of reprinting the ballots to be around $1 million. Gaston County was expecting a $31,000 hit. Due to the timelines for the printing, delivery, and assembly of all absentee ballots in every county, the State Board concluded that the only way to meet the federal deadline for military and overseas citizens was to establish separate dates for distributing absentee ballots. Currently, about 8% of 2024 absentee requests are from military and overseas citizen voters. Here are key dates and deadlines for the 2024 election: Sept. 20: Ballots distributed to military and overseas citizen voters who have requested them. Sept. 24: Absentee ballots distributed to all other voters who have requested them. Oct. 11: Voter registration deadline (5 p.m.). Oct. 17: In-person early voting begins; same-day registration available. Oct. 29: Absentee ballot request deadline (5 p.m.). Nov. 2: In-person early voting ends (3 p.m.). Nov. 5: General Election Day. Nov. 5: Absentee ballot return deadline (7:30 p.m.).* Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Queen City News. NC driver ran over a police officer after threat to kill childs mother, police say A driver who threatened to kill the mother of his child and who ran over a police officer shot himself after a police pursuit Friday morning, Monroe Police Chief Bryan Gilliard said. The officer was hospitalized with leg injuries and was later released, according to a police statement. The driver, 29-year-old Jamil Hall of Lilesville, was hospitalized in critical condition, police said. Police on Saturday provided no update on his condition. Police havent named the injured officer but said the officer is expected to fully recover. At 10:45 a.m. Friday, a woman in the Brooks Farm subdivision on Lancaster Avenue called 911 to say the father of her child was on his way to her home and said he would kill her. She believed he had a gun, according to the police statement. Police stopped the driver at the subdivision entrance, but he drove away, prompting a pursuit. The driver tried to run over an officer on Sunset Drive and ran over an officer on East Roosevelt Boulevard (Highway 74) near Secrest Avenue, police said. The officer on East Roosevelt Boulevard was putting out tire-deflation devices. The pursuit ended in Marshville, where the driver spun around and hit a patrol car. Officers heard a gunshot and ran to Halls vehicle, where they applied first aid before taking him to a hospital. Our officers intercepted an armed and dangerous individual who was intent to cause harm to innocent people, Gilliard said in a statement. They acted courageously and put themselves in harms way to try and end this situation peacefully. Union County Sheriff Eddie Cathey thanked officers for their swift response to the incident. This is a developing story that will be updated. The two candidates hoping to become North Carolinas new superintendent of public instruction accused each other Saturday of being too radical to lead the states public schools. During Saturdays candidate forum, Democratic nominee Mo Green pointed to GOP nominee Michele Morrows past social media posts about killing Democrats such as former President Barack Obama and encouraging President Donald Trump to use the military to stay in power in 2021. This is a person whos called for the executions of many, many folks, listing certainly President (Joe) Biden ... and Governor (Roy) Cooper and President Barack Obama, Green said. I call upon folks is this the kind of character we want next to our children? Morrow accused Green of supporting defund-the-police groups that were part of the Black Lives Matter protests in 2020. Green is former executive director of the Z. Smith Reynolds Foundation, a group that funds progressive causes. As a lawyer, he helped people get out on bail within 24 hours so they could go on to the next city of destruction, Morrow said. So he can talk a good game, but I want you to know what is at stake. Green responded with a wow, saying Morrow is the same person that puts out all kinds of crazy QAnon conspiracy theories, Twix and witchcraft, celebrities drinking blood of children. Race has national attention Saturdays forum at N.C. States McKimmon Center in Raleigh was organized by Public Schools First NC and the NC PTA. The event was closed to the media and public. Only a limited number of guests attended. It was streamed online by WRAL. The superintendents race has gained national attention due to Morrows past social media posts, which she has said were taken out of context. Morrow is a homeschool parent, conservative activist, registered nurse and former Christian missionary who upset incumbent Catherine Truitt in the March Republican primary for state superintendent. She is running against Green, the former superintendent of Guilford County Schools. The candidates presented very different visions for public education. Morrow says shell cut CRT, DEI and SEL Morrow accused public schools of focusing on activism instead of academics. Morrow said if elected her administration is going to cut things like CRT (Critical Race Theory), DEI (Diversity, Equity and Inclusion) and SEL (social-emotional learning). Morrow called for having at least two school resource officers in every school and removing students from classrooms when theyre out of control. She said schools shouldnt be deciding whether to correct students based on the color of their skin. We should not be telling our white teachers that you need to apologize for your whiteness, Morrow said. We should not be telling our teachers that our minority students cannot perform because you have inherent racism. Its time for us to recognize that this social, this politically charged, this racially divisive, and this sexually inappropriate content is destroying our children, is destroying their future, and it is using the time that should be spent on academic excellence. Public schools have denied teaching Critical Race Theory. But schools have given training on things such as implicit bias, citing the need to consider the changing demographics of school populations. Morrow said an outsider like herself is needed now to be superintendent. Green says Morrow would be frightening Green said he, unlike Morrow, has the experience needed to be the chief administrative officer of the states public schools. Green pointed to increases in the number of Guilford County students graduating from high school and taking advanced courses during his seven and a half years leading the states third-largest district. The soul of public education in North Carolina is at stake, according to Green. Green said hes shown that he believes in public schools by having educated his children there. Morrow homeschools her children. Nothing at all against homeschooling, if thats what you choose to do, Green said. But to then go around and talk about our public schools, (that) theyre indoctrination centers and taken over by Satan and cesspools of evil, lies and deception, and calling our teachers groomers. To think that this person would be the chief administrative officer and chief advocacy officer for the state of North Carolinas public schools is frightening. Split over private school voucher funding The candidates also differed on the Opportunity Scholarship program, which helps some families pay for tuition at private schools Republican state lawmakers just approved spending an additional $463.5 million to clear the 50,000-student waitlist of families who are seeking a private school voucher. Applications surged this year when the state removed income eligibility limits These are public dollars, and so they should be in our public schools, Green said. This is deeply troubling when you think about now the wealthiest of the wealthy, those who can already afford to send their child to a private school, already have their child in a private school, can now get government assistance. Green said the additional $463.5 million for vouchers could go instead to needs in public schools like teacher raises and hiring more teacher assistants. But Morrow said public schools already have the money they need. Instead, Morrow said the focus on the voucher bill should be about parents feeling that public schools arent providing their children with the resources and training they need. If you cant afford right now to pay for a private school, this is a short-term solution, Morrow said. The money should be following the student. Is school resegregation a problem? Morrow was also less concerned than Green about whether schools are resegregating. A report released in May said North Carolinas public schools are now more racially segregated than they were in the late 1980s. Both candidates were asked whats driving the trend of school resegregation. Segregation is a legal term, and there is no law on the books that I know of that is telling kids that you can or cannot go to a certain school, Morrow said. I think what we might be seeing is the fact that we do not have a quality education across the board, so parents are probably choosing to send their kids to another school and frantically trying to get them somewhere else where their kids are going to be able to learn and theyre going to be safe and theyre going to be able to reach their highest potential. But Green said resegregation is being driven by factors such as neighborhoods and how charter schools are not required to provide transportation or school meals. Theres certainly policy decisions that have been made over the course of time that weve moved away from in our state and in our country, with regards to saying that having desegregated schools is a vitally important thing that can help in the education of all children, Green said. Support for higher teacher pay There were some areas of agreement, including looking at restricting the use of smartphones in class, giving calendar flexibility to school districts and raising teacher pay. The state provides a base starting salary of $41,000 for beginning teachers. School districts often supplement the state base pay. The National Education Association ranks the state 42nd in beginning teacher pay. Morrow suggested raising the base salary for beginning teachers to $50,000 to $55,000 a year. Green proposed beginning teachers get between $55,000 and $60,000 a year. Neither candidate supported setting a ceiling limiting how much teachers could be paid. LAURINBURG, N.C. (WNCN) An employee at a Waffle House in Scotland County was killed after police say a customer fired shots into the business after receiving his food. According to the Laurinburg Police Department, officers responded to a shots fired call the Waffle House located at 1302 Scotland Crossing Drive around 12:42 a.m. Friday. Once on scene, police found a male employee suffering from a gunshot wound. CRIME TRACKER Sign up for CBS 17s newsletter with the latest in local crime The employee, identified as 18-year-old Burlie Dawson Locklear of Red Springs, was taken to Scotland Memorial Hospital, where he later died from his injury. Laurinburg Police said the suspect in the shooting arrived at the Waffle House and ordered food, but became more agitated and verbally abusive toward the employees as it was being prepared. Once he was given his food, police said the suspect walked toward his car, turned, and fired two shots in the direction of the Waffle House, hitting Locklear. According to police, the suspect then left on Scotland Crossing Drive, heading toward West Boulevard. Laurinburg police are looking for a man they say shot and killed a Waffle House employee early Friday morning. (Laurinburg Police Department) Laurinburg police are looking for a man they say shot and killed a Waffle House employee early Friday morning. (Laurinburg Police Department) The suspect is driving a dark gray car, police said, possibly a 2014 model Chevrolet. He is described as being about 58 to 510 and approximately 140 to 180 pounds with long dreads, a beard, and a mustache. According to police, he was last seen wearing a dark blue pullover with a hood, blue jeans, and white shoes. The investigation is active and ongoing. Anyone with information that may help investigators is asked to call Laurinburg Police Department Lt. J. White at (910) 276-3211. Anonymous tips can also be sent to Scotland CrimeStoppers at (910) 266-8146, through the online tip sheet at www.scotlandcountycs.com, or via the free P3Tips app available for Apple and Android devices. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CBS17.com. OCEANSIDE, Calif. (FOX 5/KUSI) Around 2,000 San Diego Gas & Electric customers were reported to be without power in North County Saturday morning due to outages. The earliest outage was reported at 8:29 a.m. in Oceanside, according to SDG&Es outage map. As of 9:30 a.m., a total of 1,922 customers remained without service in this community, particularly areas like San Luis Rey and Ocean Hills. 5 things to do in San Diego this weekend The estimated restoration time was originally slated for 11 a.m. By that time, only 569 customers remained without power. The new restoration time for those still affected was then noted as 2 p.m. All power has since been restored in Oceanside. Check SDG&Es regional power outage map for real-time updates. The cause for the outages has not been determined at this time. The electric company is working to assess the issue. For tips on what to do during a power outage, watch the video below: Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 5 San Diego & KUSI News. Protesters at an abortion rights rally in front of the state Capitol in Lincoln, Neb., on July 4, 2022. The Nebraska Supreme Court ruled Friday that dueling constitutional amendments can appear on state ballots this fall. One of the ballot measures, known as Protect the Right to Abortion, would amend the states constitution and stipulate that all persons shall have a fundamental right to abortion until fetal viability, or when needed to protect the life or health of the pregnant patient. The other, called Protect Women and Children, would ban abortions in the second and third trimesters, except in the case of a medical emergency or when the pregnancy is a result of sexual assault or incest. Nebraska law prohibits abortion after 12 weeks of pregnancy, with exceptions for rape, incest and saving the mothers life. The pro-abortion rights measure would effectively undo that law, while the other measure would essentially codify it in the states constitution. Abortion rights opponents had argued in a pair of lawsuits that the amendment to expand abortion rights violated a state rule that says a ballot proposal must only address one subject. The state Supreme Court ruled Friday that the measure was not in violation of the single-subject rule. The Nebraska secretary of state will host hearings in October on the two ballot measures, as well as four other unrelated ones, the secretary of states office said Friday. Matt Heffron, a senior counsel for a firm that argued against allowing the abortion rights initiative to stay on the ballot, slammed the high courts ruling. We are deeply concerned that the Nebraska Supreme Court has allowed this intentionally deceptive initiative to go before Nebraskans for a confusing vote, said Heffron, who works at the Chicago-based Thomas More Society, an organization that backs anti-abortion rights positions. Allie Berry, the campaign manager for the group Protect Our Rights, called the courts decision a victory for all Nebraskans. The group backs the abortion rights initiative. The competing ballot initiative does nothing to help these patients, making the current ban permanent and leaving the door open for a total abortion ban in the state. A vote for Protect Our Rights will end the current harmful abortion ban and stop political interference in the future, Berry said. Nebraskas branch of the American Civil Liberties Union celebrated the courts ruling, saying in a post on X that Nebraskans would be able to vote to end our current ban, make sure Nebraskans can get the care they need, and ensure that decisions about pregnancy and abortion rest with patients not politicians. Nebraska is one of 10 states where constitutional amendments that would protect or expand abortion rights are set to appear on ballots this fall. Many of those states lean conservative, including Montana, South Dakota, Missouri and Florida. Colorado, New York and Maryland are the liberal-leaning states with abortion ballot measures. Arizona and Nevada two key battleground states in the presidential election also have abortion ballot initiatives. Abortion rights advocates have consistently scored wins when such initiatives are put to a vote, even in red-leaning states like Ohio, Kansas and Kentucky. Ohio voters last year added the right to abortion care access to the states constitution. In 2022, Kentucky voters rejected a ballot proposal that would have changed the state constitution to say it does not protect the right to abortion. That same year, Kansas voters cast ballots against a proposed amendment that would have removed language guaranteeing abortion rights in the state. This article was originally published on NBCNews.com BAY COUNTY, FL. (WMBB) A dispute involving two neighbors and a camper quickly turned into a city-wide controversy. One man filed a Panama City code enforcement complaint against his neighbor for parking his camper in the driveway. Russell Reynolds has lived in Panama Citys Forest Park neighborhood since 2020. His neighbor moved next door in 2022. Reynolds says his camper has been parked in his driveway for years, but his neighbor recently took issue with it. New official portrait unvieled honoring legacy of Richard Jackson The neighbor complained that my camper blocked the view of him watching his child, a six-year-old, ride her bicycle down the sidewalk, that hes unable to sit in his living room and watch her out the windows, and that he has to get up and come outside, Panama City local Russel Reynolds said. Panama City code ordinances state residents cannot park recreational vehicles or boats in the front yard or driveway unless its under a carport, in a garage, or behind approved fencing. The neighbor complained to code enforcement in May. Reynolds received a citation in July. He argues he cant move his camper to his backyard because he has a pool. He also says if he moved his fence, storm gutters, and trees, and parked his camper farther into his yard, it would still violate the code because the fence wouldnt fully hide it. Im going to be putting forward the expense to do all of this and still not be in compliance, Reynolds said. Boats and campers are such a common sight, many people dont know theyre in-violation. If a neighbor complains, code enforcement has to enforce the code. Well, that kind of puts our citizens in kind of an awkward situation to where its selectively enforced here and there, and thats not exactly how we want to move forward with this, Panama City Commissioner Brian Grainger said. After the controversy blew up on social media, Grainger felt the city needed to address the problem. Enjoy a wide variety of art at Gulf Coast State College I brought it forward to the commission, and the commission agreed to put it back to staff and say staff, look at this issue, give us some background on it as to why it was put into place way back when, and give us some second and third order effects of changing this, and then also look at alternatives to it, Grainger said. The code is over 25 years old, which means the code was enacted in the nineties. Its 2024. Its time to update this code, Reynolds added. Reynolds has a magistrate hearing next Thursday. Hes encouraging residents to attend and give their opinions. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to mypanhandle.com. Happy Saturday! Heres another edition of my weekend column for WPRI.com as always, send your takes, tips and trial balloons to tnesi@wpri.com and follow me on Twitter, Threads and Facebook. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Nesi's Notes 1. Rhode Islanders have been feeling pretty gloomy for most of this century. Over and over, pollsters have found the states voters are significantly more dissatisfied and pessimistic than their neighbors in Massachusetts. Just look at the most recent UNH Survey Center polls of both states 49% of Massachusetts voters say their state is headed in the right direction, but only 27% of Rhode Island voters say the same. With all that in mind, youd think this would be a tough environment for an incumbent. But youd be wrong. What was remarkable about Tuesdays statewide primary was the overwhelming dominance of incumbents, who won up and down the ballot, often by lopsided margins. Granted, with voter turnout barely cracking 10%, Tuesday wasnt a representative sample of the full electorate. And many candidates ran unopposed, leaving relatively few contested races. But that only adds to the curiosity you might think a state where people say theyre dissatisfied would see more challenges to current officeholders, thus bringing out more voters. John Marion, longtime executive director of Common Cause Rhode Island, points out that the states political institutions were designed for a different era, with two competitive political parties; the national Republican brand is now so damaged here that, practically speaking, the Democratic primary is usually the decisive contest. (The same is true, in reverse, in places like Missouri.) That helps explain why some are suggesting a fundamental change to Rhode Islands electoral system, like a top-two primary or ranked-choice voting. But in the meantime, Marion says he doesnt expect much to change. Partisanship is a powerful drug, he said. It can blind people to a lot of problems. 2. In the end, Cranstons bitter battle between Ken Hopkins and Barbara Ann Fenton-Fung didnt turn out to be much of a battle at all. Nearly 60% of Republican primary voters backed the incumbent, putting the wind at Hopkins back as he heads into the November election contest against Democratic nominee Robert Ferri. Fenton-Fungs loss means that come January, she and husband Allan Fung will both be out of office in Cranston for only the second time in two decades. There had already been some indication that the Fung brand was no longer as strong in Cranston as it once was; he won the city by fewer than 1,000 votes in his close 2022 congressional campaign against Seth Magaziner. What will the Fungs do next? Fenton-Fung didnt immediately endorse Hopkins, saying she remains concerned about the lawsuit alleging Hopkins took a car without payment, but if she sits out the race or endorses Ferri she might harm her chances for a comeback in GOP politics. Its also worth pondering the road not taken for Allan Fung, who was preparing to run for treasurer in 2022 until Jim Langevins unexpected retirement led him to switch to a much harder run for Congress. Many political observers think Fung might have defeated James Diossa, the eventual victor in the treasurers race, who only managed a single-digit win against GOP newcomer Jim Lahtrop. 3. More on the municipal races in Woonsocket, City Council President John Ward topped incumbent Chris Beauchamp in the first round for mayor in Warwick, nearly 200 Democrats turned out for mayoral candidate Leah Hazelwoods fundraiser Thursday in support of her bid to oust independent incumbent Frank Picozzi in Cumberland, The Valley Breezes Ethan Shorey investigated residency questions surrounding mayoral challenger Brad Dean. 4. Of course, just because it was a sleepy primary season overall doesnt mean there werent some hard-fought campaigns if you looked in the right places. A tough victory was won by Cranston City Councilor Lammis Vargas, who fended off four opponents to win the Democratic primary to succeed retiring state Sen. Josh Miller. Unlike many outgoing Assembly incumbents, Miller stayed neutral in the race to replace him, creating a truly open contest in and around Edgewood. Vargas topped second-place finisher Darrell Brown by 136 votes mostly due to mail ballots, which is usually a sign of an organized campaign. Meantime, a number of incumbent representatives seen as potentially in danger Grace Diaz, Enrique Sanchez, Sam Azzinaro, Cherie Cruz, Charlene Lima all won their primaries. And Senate President Dominick Ruggerio showed he still knows how to win in North Providence, crushing Lenny Cioe with 70% of the vote despite being unable to campaign door-to-door due to health problems. 5. On Thursday, just two days after she ran unopposed in the state primary, Pawtucket state Sen. Sandra Cano confirmed rumors that she would quit to take a new job. What timing: Cano managed to make her announcement during the very narrow three-day window when party leaders are allowed to install a handpicked replacement nominee post-primary, rather than effectively forfeiting the seat. The Democratic Party quickly settled on their new candidate for Senate District 8: Lori Urso, a former Westerly Town Council member. Urso is no stranger to Pawtucket powerbrokers. She was the campaign manager for Mayor Don Grebien who is Canos current boss. She served as a staffer to Treasurer James Diossa who is Canos long-term partner. And the five-member committee that picked Urso included not only Grebiens wife but also a Diossa staffer who used to work for Grebien. A tight web of relationships, to say the least, and the backroom machinations drew criticism across the political spectrum. This decision undermines the will of the voters in one of the states most diverse districts, argued Harrison Tuttle, president of the Black Lives Matter PAC. Its especially frustrating that the Rhode Island Democratic Party, which champions diversity and representation, has selected a non-person-of-color to represent a district where over 45% of the population consists of people of color. Tuttle was echoed by Cathy Palocsik, the independent candidate who was running against Cano and likely would have won the seat if Democratic leaders hadnt moved to swap in Urso. It is unfortunate that a political party machine, which regularly declares that defending democracy is a top priority of the party, has opted to bypass democratic norms and the proper nomination process for the great people of Pawtucket, Palocsik said. 6. When Patricia Morgan failed to secure the state GOP endorsement at the party convention in June, it left some doubt about whether she would defeat opponent Ray McKay for the Republican U.S. Senate nomination. On Tuesday, though, Morgan easily dispatched McKay in a primary decided by just 19,000 voters. Now she faces a much harder task: finding a way to unseat Democratic incumbent Sheldon Whitehouse, who has millions of dollars in the bank and will benefit from high presidential-year turnout. Whitehouses advisers were pleased to see him increase his support in the Democratic primary to over 80%, giving him an even bigger landslide than he managed six years ago. Whitehouse has already agreed to Morgans call for a debate, though the details are yet to be confirmed. (Stay tuned.) Meantime, Whitehouse will be back on the campaign trail this weekend in Florida. He is scheduled to speak at campaign events for both Kamala Harris and U.S. Senate nominee Debbie Mucarsel-Powell, discussing how climate change is increasing the cost of home insurance, a topic he has also tackled in the Senate. 7. Candidates wont be the only ones mounting campaigns in Rhode Island this fall. There will be five ballot measures for voters to decide: four bond referendums plus the decennial constitutional convention question. The campaigns for those bond questions are starting to ramp up. The Rhode Island Ports Coalition will premiere a new TV ad during this Sundays Patriots game making the case for Question 4, the $53 million so-called Green Bond that includes funding for both environmental projects and infrastructure at Quonset. Governor McKee and former Congressman Jim Langevin will headline a kickoff event Tuesday for a Yes on 2 campaign promoting the $160.5 million higher education bond. (Langevins new cybersecurity institute at RIC is slated to get $73 million of the proceeds.) Additionally, the Rhode Island Foundation has committed to funding a campaign on behalf of the $120 million housing bond, with the Mayforth Group and Expedition Consulting leading the effort. No word yet on whether there will be an organized campaign for the $10 million arts bond. Also unclear is how many mixed messages Rhode Island voters will see on the airwaves as campaigns also take place regarding the four Massachusetts questions: auditing the legislature, eliminating the MCAS requirement, unionizing ride-share drivers, and legalizing psychedelic drugs. 8. Gina Raimondo turned heads by showing up to Dan McKees D.C. fundraiser this week. 9. Eye on Congress the National Parents Union touted a bipartisan resolution introduced by Jack Reed and Louisianas Bill Cassidy calling for action on the nations literacy crisis Sheldon Whitehouse chaired a hearing on how to restore Social Securitys solvency Seth Magaziner spearheaded a statement from all 24 Jewish House Democrats decrying Tucker Carlsons interview with a Holocaust revisionist Gabe Amo met with new U.K. Foreign Secretary David Lammy at the British ambassadors residence in Washington Jake Auchincloss and Bill Keating both worked to up the pressure on President Biden to let Ukraine use western-made weapons to strike locations inside Russia. 10. People in the news Lt. Gov. Sabina Matos was at the White House on Friday for the first-ever Brunch in Celebration of Black Excellence; also attending were Congressman Amo and former R.I. Democratic Party executive director T. Kevin Olasanoye AFL-CIO Secretary-Treasurer Patrick Crowley is poised to replace George Nee as the unions president at an Oct. 21 meeting Jessica David, cofounder of the Rhode Island Community Investment Cooperative, announced the group is raising $3.5 million for a Local Return Diversified Community Investment Fund Cumulus Media has brought Kim Zandy back to the 92 PRO-FM morning show PublicAffairs has set a Feb. 18 publication date for Why Nothing Works, the new book by Browns Mark Dunkelman (of Vanishing Neighbor fame) Voxs Zack Beauchamp will headline the first installment of URIs new Democracy in Peril series of honors colloquium lectures Brown trustee Joseph Edelman resigned over the upcoming Israel divestment vote former ABC6 reporter Brooke Taylor has a new gig on Fox News education philanthropist Alan Shawn Feinstein is dead at age 93. 11. If youre a regular listener to the popular Criminal podcast from Vox Media, youll recognize a familiar voice on their latest episode. The show features our own Tim White, along with retired Projo scribe Wayne Worcester, discussing Providences notorious 1975 Bonded Vault heist. You can listen to the podcast here, and you can get their book on the heist here. Speaking of organized crime, Tim also had a big scoop Thursday about the former president of the local Hells Angels club landing back in prison after attending a mob wake. 12. Seasonal sounds: Marc Myers shares 10 versions of the jazz classic Early Autumn. 13. Set your DVRs: This week on Newsmakers a political roundtable breaks down the state primary and looks ahead to the November election. Watch Sunday at 5:30 a.m. on WPRI 12 and 10 a.m. on Fox Providence, or listen on the radio Sunday at 6 p.m. on WPRO. You can also subscribe to Newsmakers as a podcast via Apple, Spotify, or wherever you get your podcasts. See you back here next Saturday. Ted Nesi (tnesi@wpri.com) is a Target 12 investigative reporter and 12 News politics/business editor. He co-hosts Newsmakers and writes Nesis Notes on Saturdays. Connect with him on Twitter, Threads and Facebook. An earlier version of this column incorrectly said the Fungs will be out of office for the first time in two decades; its the second time, along with 2007-08. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WPRI.com. JIM LO SCALZO/EPA-EFE / Shutterstock / JIM LO SCALZO/EPA-EFE / Shutterstock Medical debt is a major financial burden for many Americans so much so that they are forced to cut spending on essentials like food and clothing just to pay it down. A report published earlier this year by the Peterson-KFF Health System Tracker revealed that 20 million U.S. adults owed some kind of medical debt as of 2021. Their combined debt was at least $220 billion, and roughly 3 million Americans owed more than $10,000. The reasons for all this debt are many and varied, though most are tied to a combination of high healthcare costs and inadequate health insurance. Making healthcare more affordable and accessible is a big talking point during election years, and 2024 is no exception. Among those who have addressed the topic is ex-President Donald Trump, who is seeking a second term this year. Explore More: Trump-Era Tax Cuts Are Expiring How Changes Will Impact Retirees Read Next: 9 Things You Must Do To Grow Your Wealth in 2024 Heres how the ex-presidents election win could affect medical debt. Earning passive income doesn't need to be difficult. You can start this week. Trumps Actions Regarding Healthcare Much of Trumps current talk centers on his vow not to touch Medicare or Social Security benefits. But during his first run for office in 2016, he took it a step further by pushing for wider healthcare coverage, Forbes recently reported. Everybodys got to be covered, Trump said at the time. This is an un-Republican thing for me to say, but I am going to take care of everybody. I dont care if it costs me votes or not. In a May 2019 White House press release, the Trump administration outlined several proposals to reduce healthcare costs and help people avoid medical debt. Among the highlights: Patients receiving emergency care should not be forced to shoulder extra costs billed by a care provider but not covered by their insurer. Patients receiving scheduled care should have information about whether providers are in or out of their network and what costs they may face. Patients should not receive surprise bills from out-of-network providers they did not choose. Federal healthcare expenditures should not increase. As president, Trump did take some steps to lower healthcare costs. He approved rules allowing the importation of cheaper drugs from Canada and other countries, NPR reported. The problem was that not many countries were willing to sell their drugs here. More successful was another law under Trump, called the No Surprises Act, that aimed to protect patients from exorbitant emergency room bills. It was later implemented by President Joe Biden. Story continues In addition, Trump signed the Right to Try Act, which was designed to make it easier for patients with terminal diseases to access drugs or treatments not yet approved by the U.S. Food and Drug Administration. But as KFF Health News noted, it is not clear how many patients were able to get treatment using the law because the FDA has traditionally granted requests for compassionate use of not-yet-approved drugs, anyway. The stumbling block, which the law does not address, is getting drug companies to release doses of medicines that are still being tested and may be in short supply, KFF Health News Julie Rovner wrote earlier this year. Check Out: 8 Myths About Debt That Boomers Must Stop Believing Before They Retire How a Trump Win Could Affect Your Medical Debt Should Trump win a second term this year in his matchup with Democratic nominee Kamala Harris, some economists and other healthcare experts harbor doubts about his ability to lower medical debt. Thats mainly because his opposition to the Affordable Care Act (ACA), aka Obamacare, could lead to more uninsured Americans. According to a recent analysis conducted by Capital & Main and Thomas Data Consulting, during the first three years of Donald Trumps presidency, the U.S. saw an increase of 2.3 million uninsured people. The primary reason was Trumps repeated undermining of the ACA, which made it harder for Americans to get health insurance, according to Jeremy Lindenfeld, who wrote the Capital & Main report. Trump cut the enrollment period in half, canceled outreach programs, slashed the ACAs $100 million advertising budget by 90%, and removed the penalty for not having insurance, Lindenfeld said in comments shared with GOBankingRates. A month before Trump eliminated the penalty, the Congressional Budget Office estimated that doing so would raise premiums and result in millions more uninsured people, Capital & Main reported. ACA marketplace open enrollment fell every year from 2016 to 2020. In contrast, during President Joe Bidens first two years in office, there was an increase of 3.3 million people with insurance. David Kass, a clinical professor of finance at the University of Maryland Robert H. Smith School of Business, shared similar concerns during a recent conversation with GBR. He raised the prospect that health insurance could become more costly should Trump win re-election. That in turn could lead to a rise in medical debt. During his first term, President Donald Trump attempted to repeal the ACA, an effort that is likely to be repeated during a second term, said Kass, who previously held senior positions in the federal government. If the ACA is repealed or weakened, the likely result would be higher insurance premiums for the middle class. It could also lead to reduced protections for those with pre-existing conditions. And as Lindenfeld told GBR, a rise in uninsured Americans will only exacerbate a medical debt problem that is already bad enough. People with health insurance are less likely to be burdened by medical debt, but it isnt a silver bullet, he said. People with insurance still do go into medical debt; its just not as bad as it is for folks without insurance. Editors note on election coverage: GOBankingRates is nonpartisan and strives to cover all aspects of the economy objectively and present balanced reports on politically focused finance stories. You can find more coverage of this topic on GOBankingRates.com. More From GOBankingRates This article originally appeared on GOBankingRates.com: Im an Economist: How a Trump Win Could Affect Your Medical Debt Sometimes its in the turn of phrase. On Wednesday, the BBCs World Affairs Editor John Simpson who has defended the corporations refusal to label Hamas terrorists praised a certain Corbynite activist and childrens writer for his penetrating understanding of Middle Eastern geopolitics. The magnificent Michael Rosen defends the BBCs coverage of Israel/Gaza by pointing out that no one accuses the Israeli newspaper Haaretz of anti-Semitism when it reports honestly and objectively about Netanyahu and his war, he tweeted. Thank you, Michael. His war. Netanyahus war. Really? What about Hamas butchering, raping and mutilating Israelis before dragging 251 innocents into Gaza last year? If it was your daughter sitting in her own filth in a dungeon underground, would you be making daisy chains and joining Amnesty? Israeli society which had suspended its infighting after the pogroms is now once again in full argument mode over Benjamin Netanyahu, who is now blamed by the Left for the execution of the six captives last month, as if he had pulled the trigger himself. The West has lapped it up. Show me a subversive Israeli narrative and Ill show you an eager press pack. Portrayals of Israeli prime ministers often include post-Medieval iconography. Here is Benjamin Netanyahu building a wall with dead babies. Here we find the man with devil horns and tail; here holding a Star-of-David trident. Here is former leader Ariel Sharon biting the head off an infant. Here is little William of Norwich, crucified by rumour on Easter 1144; here the small Arab corpses. (As I write, the IDF has facilitated polio vaccinations for more than 560,000 children in Gaza.) True, Netanyahu has become a toxic leader, presiding over a repellent and divisive governing coalition. But the world is hardly short of toxic leaders, even in democracies like Israel. We demand the right to have our own villains, exactly as other people have them, wrote Zeev Jabotinsky, one of the fathers of the Jewish republic, in 1911. So much for that. These days, Netanyahu has joined Zionists as a cipher for the Jews, an argot of anti-Semitism that allows a bigot to express hatred without censure. He is hardly a blameless figure. But is he really that warmongering demon of Jerusalem, with a disturbing appetite for young blood? Is he not merely a flawed politician fighting against a deadly terrorist group? Funny how it all makes sense when you read Netanyahu as a proxy for the Jews. Who is the greater criminal, him or Hamas? The hesitation belies the brainwashing. As the French philosopher Bernard-Henri Levy argues in his upcoming book Israel Alone: Can one imagine a western neighbour of Giorgia Melonis Italy using as a pretext her Mussolini-like leanings [for] launching a murderous assault? Im not accusing Simpson of being a Jew-hater. His tweet which dropped on the anniversary of the 9/11 atrocity, met with dancing in the streets of Ramallah at the time was simply a reflection of misunderstandings held by those obsessed with Israels current war of self-defence. Hamas are not labelled as terrorists. And so we find Netanyahu and Hamas fingered in unison by the International Criminal Court, and the erasure of jihadi agency in the conflict, with the blame for a stalled deal placed purely on the shoulders of the Israeli leader.In return for hostages, Hamas wants the IDF to withdraw from the smuggling territory it requires to rearm. Even if Netanyahu complied, there would likely be further deceptions, further executed hostages. But one mustnt think of Hamas without thinking of the context. We are facing, as Henri put it, the beast unchained and with its muzzle bared, hungry for Jewish flesh which is to say, human flesh. Feed it and it will come for your children. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. The Nevada Green Party on Friday asked the Supreme Court for an emergency intervention so its candidates can appear on the states general election ballot in November. Nevadas top court in a 5-2 ruling last week excluded the partys candidates because it used the wrong form when collecting signatures to gain ballot access. The ruling handed a win to the Nevada State Democratic Party, which challenged the signatures that wouldve enabled Green Party presidential candidate Jill Stein to go before voters. By filing an emergency appeal at the Supreme Court, the Green Party thrusts the justices into another election-related dispute in a key swing state that could help decide the presidential contest. The lower courts action preventing Applicant ballot access was extraordinary, denying Applicant both due process and equal protection under the federal Constitution, the Green Party wrote in its application. Given the timing of the lower courts actions, emergency relief in this Court is the only relief available that prevents an ongoing and irreparable harm to Applicants exercise of one of Americans most sacred rights, it continued. Neither former President Trumps campaign nor the state Republican Party is involved in the lawsuit. The Green Party is being represented by Jay Sekulow, who was an attorney for Trump at his first of two impeachment trials and is lead counsel at American Center for Law and Justice, a conservative legal organization, which has cast Democrats lawsuit as a way to clear the way for Vice President Harris. The Hill has reached out to the Nevada State Democratic Party for comment. The court set a deadline for Tuesday for the Nevada State Democratic Party and Nevadas secretary of states office to respond. The Green Party says it used the wrong form in collecting signatures based on guidance from officials in the Nevada secretary of states office. The states top court found that wasnt a sufficient excuse. The Secretary of States office was involved in this case by necessity, and took no position on the legal sufficiency of the petition under Nevada law, the office said in a statement, adding that it is working with counties to carry out last weeks ruling. The challenge to the Green Partys ballot access in Nevada comes amid a series of legal battles in swing states over whether to grant Robert F. Kennedy Jr.s request to remove his name from ballots now that he has suspended his campaign. None of those challenges have reached the Supreme Court. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. PETAL, Miss. (WHLT) This week marks 31 years since 17-year-old Angela Freeman, of Petal, disappeared. She is believed to have been killed. Freeman was last seen in the early morning hours of Friday, September 10, 1993, at the old Pizza Hut in Petal. She was four months pregnant at the time of her disappearance and was reported missing after she failed to show up for work. Freemans car was later found at the Mahned Bridge in Perry County. Perry County deputies and Petal police worked the case, finding a puddle of Freemans blood, her shoes and other items containing her DNA near the bridge. Freeman was never found. One injured during storm clean up in Mississippi In 2006, a cold case unit at the University of Southern Mississippi (USM) began working on the case. Their findings were turned over to the 12th Circuit Court District Attorney, who said the case is still open, but they lack enough evidence to pursue charges. We were able to develop a suspect, and we turned that case into the Forrest and Perry County District, and his office a couple of years ago. The case we made, we feel good about that case. The district attorney, you know, of course, has their opinion on what evidence they need to prosecute. But anybody can come forward if they have information, said USM Police Chief Rusty Keys. I will never give up. They might give up, but Im not. Because God is going to put this together. People might think Im crazy, but its going to be put together one day. And thats my heart, you know? And I, I need to know what happened to her. I need to get peace in my heart, said Debra Freeman, Angela Freemans mother. There is a $15,000 reward for any information that leads to an arrest in the disappearance of Freeman. Anyone with information can contact Crime Stoppers at 601-582-STOP. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJTV. President Joe Biden said he didnt remember his own name and briefly wore a Trump 2024 hat during a playful exchange at a Pennsylvania fire station. Biden was in Shanksville, Pennsylvania, Wednesday to mark 23 years since the attacks on 9/11. Shanksville is where Flight 93 crashed after a group of passengers stopped four al-Qaida hijackers from flying the plane into a federal building in Washington, D.C. Video by Mikaylah Sines shows Biden joking with the crowd at one point, offering to trade a cap with the presidential seal on it for a red Trump cap. He found a taker, who then joked with Biden about being old and asked Biden to autograph the presidents cap. Initially, Biden said "I aint going that far" when he was asked to wear the Trump hat, but he ended up putting it on briefly with a big smile. As Biden was wrapping up his visit, he reminded the crowd, "Remember: No eating dogs or cats," taking a shot at former President Donald Trump. During Tuesdays debate against Vice President Kamala Harris, Trump repeated false and inflammatory claims about Haitian migrants in Springfield, Ohio, alleging they are responsible for eating pets in the community. RELATED: Trump falsely claims migrants in Ohio are eating pets "In Springfield, theyre eating the dogs. The people that came in, theyre eating the cats," Trump said during the debate. This claim has been thoroughly debunked by local officials, who have found no evidence to support such accusations. The baseless rumors were originally spread through social media posts and gained traction after being amplified by Trumps campaign and other Republican figures, including Trump's running mate, Ohio Sen. JD Vance. No new pledge on Ukraine missiles after Starmer-Biden talks UK Prime Minister Sir Keir Starmer has held "productive" talks with US President Joe Biden about Ukraine but he did not signal any decision on allowing Kyiv to fire long-range missiles into Russia. Sir Keir said the talks in Washington concentrated on "strategy", rather than a "particular step or tactic". The White House said the pair had also expressed "deep concern about Iran and North Korea's provision of lethal weapons to Russia". Early on Friday, Ukrainian President Volodymyr Zelensky said Russia had launched over 70 Iranian-made drones across Ukraine overnight, and that his country needed more air defence and long-range capabilities "to protect life and our people". "We are working on this with all Ukrainian partners," he said. Ahead of the talks at the White House, Russian President Vladimir Putin warned Western nations not to let Ukraine fire long-range missiles at Russia. Putin said such a move would represent Nato's "direct participation" in the Ukraine war. But former UK defence secretary Sir Ben Wallace told BBC Radio 4's Today programme Nato should let Ukraine fire long-range missiles in Russia in spite of Putin's threats, adding that wrangling was just benefiting the Russian president. "I'm just disappointed that it's yet again another tug of war around another capability," the former Conservative MP said. Kurt Volker, former US special representative for Ukraine negotiations said Putin's comments were made to prevent further Western action. "The reason Putin says those things is to achieve the result of deterring us from doing things - not that it has any bearing on what he's really going to do or really thinks," he told the Today programme. Commenting on the debate over long-range missiles, he said the US "overplays the sense that this is a new red line that this would be so provocative to Russia that it would create some kind of new escalation". Addressing reporters ahead of his meeting with Sir Keir at the White House, Biden said: "I don't think much about Vladimir Putin." To date, the US and UK have not given Ukraine permission to use long-range missiles against targets inside Russia, for fear of escalation. However, Zelensky has repeatedly called on Kyiv's Western allies to authorise such use, saying it is the only way to bring about an end to the war. Since Russia launched its full-scale invasion of Ukraine in February 2022, Ukrainian cities and front lines have been under daily bombardment from Russia. Many of the missiles and glide bombs that hit Ukraine's military positions, blocks of flats, energy facilities and hospitals are launched by Russian aircraft deep inside Russia. Kyiv says not being allowed to hit the bases from which these attacks are launched hinders its ability to defend itself. The UK previously said Ukraine had a "clear right" to use British-provided weapons for "self-defence" which "does not preclude operations inside Russia", following Kyiv's surprise cross-border incursion last month. However, this excludes the use of long-range Storm Shadow missiles in territory outside Ukraine's internationally recognised borders. The US provided long-range missiles to Ukraine earlier this year, but like Kyiv's other Western allies these have not been authorised for use on targets deep inside Russia. [BBC] Asked if he was intimidated by Putin's threats of a potential war with Nato, Sir Keir said "the quickest way to resolve" the war in Ukraine "lies through what Putin actually does". Sir Keir said the White House meeting with Biden was an opportunity to discuss the strategy in relation to Ukraine, "not just a particular step or tactic". The pair also discussed the situation in the Middle East, where the Israel-Gaza war has been raging for nearly a year, and "other areas across the world", Sir Keir added. He told reporters they would get another opportunity to discuss these issues at the United Nations General Assembly next week. In a separate briefing on Friday, ahead of the two leaders' meeting, US National Security Council spokesman John Kirby said Washington was not planning any change in the limits it has placed on Ukraine's use of US-made weapons to hit Russian territory. Earlier on Friday, Moscow expelled six British diplomats, revoking their accreditation and accusing them of spying. The country's security service, the FSB, said in a statement it had received documents indicating Britain's involvement in inflicting "a strategic defeat" on Russia. The accusations were dismissed by the UK Foreign Office as "completely baseless". In an interview with the BBC, UK defence analyst Justin Crump said Putin was testing the new Labour government and the outgoing Biden administration. "Ultimately Russia already supplies weapons to the UK's adversaries, and is already engaged in 'active measures' such as subversion, espionage, sabotage, and information/cyber operations against Nato members' interests. "This may all accelerate, but picking a fight against all of Nato is not something Russia can afford given how hard they're struggling against just Ukraine," Mr Crump added. Also on Friday, the US announced new sanctions against the Russian media channel RT, accusing it of being a "de facto arm of Russia's intelligence apparatus". The top US diplomat, Antony Blinken, told reporters RT is part of a network of Russian-backed media outlets, which have sought to covertly "undermine democracy in the United States". In response to US allegations that RT had sought to influence elections, the broadcaster's editor-in-chief Margarita Simonyan - who was sanctioned by the US last week - said they were excellent teachers, adding that many RT staff had studied in the US, and with US funding. Russia's foreign ministry spokeswoman, Maria Zakharova, said there should be a "new profession" in the US, of specialist in sanctions imposed on Russia. A year after a wildfire, the U.S. Forest Service assesses the damage to figure out how many trees need to be planted to restore the forest. But research shows that much more time is needed to calculate tree mortality. (Oregon Department of Forestry/Flickr) One year after a wildfire burns in a forest, the U.S. Forest Service assesses the damage. What the agency finds at this one-year mark informs its post-fire restoration efforts, including how many trees foresters are required to plant to replace ones that died due to the fire. But according to a growing body of research, one year just isnt enough time to determine how many trees have died following a wildfire. Two recent studies, created independently of each other, that examined the effects of wildfires in Oregon, Washington as well as other parts of the West, found that trees scorched by fire can continue to die for as long as five years after a wildfire. While there is as yet no scientific consensus about what these findings mean for post-fire restoration, the implication, according to some scientists, is that the ecological damage caused by wildfires has been underestimated and restoration efforts are not keeping up. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX The amount of climate-warming carbon lost to the atmosphere when trees die likely has also been underestimated, according to one of the two studies, implying that the current carbon-absorbing power of some forests has been overestimated. The phenomenon being investigated is called post-fire delayed tree mortality. What were finding is fire effects are not static, theyre dynamic, (tree) mortality continues to happen for years, hence the word delayed, said Andres Holz, associate professor at Portland State University, director of the universitys Global Environmental Change Lab and coauthor of one of the studies. The research potentially has wide implications: Though scientists do not know how many acres have been affected by post-fire mortality, wildfires burn millions of acres of forest a year. Last year, 56,580 wildfires burned in the U.S., scorching nearly 2.7 million acres (over 4,200 square miles), and in 2022, nearly 68,990 fires burned more than 7.5 million acres (over 11,800 square miles), according to the National Interagency Fire Center based in Boise, Idaho. The center coordinates fire resources nationwide. Previous field research has shown that trees continue to die for years after wildfires. Holz and his colleagues research is some of the first to use satellite imagery to study the phenomenon remotely. Wildfires in Cascades Holzs study, published in April in the journal Ecosphere, examined the effects of delayed tree mortality following wildfires in the Cascades and the northern and southern Rocky Mountains. To do this, Holz and his coauthors compared images of forests burned by wildfires taken one-year post-fire to images of the same forests taken five-years post-fire. The analysis revealed that many forest sections that had previously shown up as green pixels in satellite images taken one year after a fire were no longer green by year five, suggesting that trees had continued to die over the four-year period. This one-to-five-year comparison was done in areas burned by 30 wildfires. The studys lead author, Sebastian Busby, said the research was inspired by fieldwork he did in the Cascade Mountains as a Portland State University graduate student working with Holz. Busby is now a forest carbon analyst for the nonprofit Nature Conservancy. While in the Cascades, Busby said he used GPS data and fire severity maps created one year after a fire to locate where a fire perimeter should have stopped only to find that the reach of the dead trees had surpassed the perimeter. That was also reflected in the comparisons of the satellite imagery. By year five, delayed mortality led to a decline in tree cover at the examined fire perimeters from 5% to 25%, according to the study. Busby said its likely that trees that previously had been lightly burned but were still living one year after a fire had been weakened enough by the flames to later die from other causes, including drought and insect attacks. The study also looked at fire refugia, or patches of trees in a wildfire area that experience low-intensity fire or no fire and continue to survive. The way I always think about (fire refugia) is theyre islands within an ocean, and the ocean is a severely burned forest, said Busby. These living islands provide the seeds that forests use to naturally regenerate. Busby and Holz found that while some fire refugia remained unchanged by year five, others shrank in size or disappeared entirely. Trees continue to die over time and sometimes at high magnitudes, said Busby. So, if you actually look further out in time, theres less and less seed source available. The problem, Busby said, is most forest management agencies stop assessing fire damage one year after a fire. This means they are likely overestimating the number of surviving trees and the quantity of seeds they provide. According to Holz, this suggests the U.S. Forest Service is likely underestimating the number of trees it needs to replant. Researchers disagree Matthew Reilly, a U.S. Forest Service research forester and lead author of the second paper, also used satellite imagery to study post-fire tree mortality. His study, published last year in the journal Fire Ecology, examined tree mortality following six fires in Oregon, Washington and California. The study also found that trees in a wildfire zone can die up to five years later, with islands of surviving trees shrinking in the years that followed. The big thing that we found very consistently is that fire refugia are essentially being cut in half in terms of their area, Reilly said. Theyre not only cut in half, but they are now more fragmented. The study found that post-fire tree mortality occurred on 14% of the total area examined in the study. This amounted to 7,725 hectares, or over 29 square miles. The total area impacted by post-fire mortality in the Western U.S. remains unknown. However, Holz, Busby and Reilly said the methods they developed in their two studies could be used to determine that number in the future. They agree the number is likely to be very large. Because delayed mortality is a known problem, but nobody knows how big that problem really is, Reilly refers to it as sizing up the elephant in the room. But he disagreed that his agency and other land managers arent planting enough trees post fire though he said the findings could help inform strategies related to the agencys restoration practices. Reilly said his study also suggests that by underestimating tree death scientists have also overestimated the amount of carbon thats stored by trees, which helps fight climate change. We know that theyre underestimating it, but we dont quite know by how much yet, he said. Oregon Capital Chronicle is part of States Newsroom, a nonprofit news network supported by grants and a coalition of donors as a 501c(3) public charity. Oregon Capital Chronicle maintains editorial independence. Contact Editor Lynne Terry for questions: info@oregoncapitalchronicle.com. Follow Oregon Capital Chronicle on Facebook and X. Yahoo Finance After China announced broad measures to rescue its economy, Chinese stocks surged, our Chart of the Week shows. It's not the first time the country has attempted to get out of the recent doldrums, but the market's reaction says this time might be different. NEW YORK (PIX11) A Bronx man will spend five years in prison for sexually assaulting an asylum seeker inside of a Federal building, The U.S. Attorneys Office for the Southern District of New York announced Friday. While working as an armed guard at 26 Federal Plaza, 45-year-old Jimmy Arias Solano demanded a male asylum seeker perform sexual acts on him, according to court documents. More Local News Jimmy Solano-Arias used his position as an armed security officer at a federal building to sexually assault a vulnerable asylum seeker, U.S. Attorney Damian Williams said. In so doing, Solano-Arias abused a person he was charged with protecting and then lied to cover up his crime. Officials said the defendant, Solano-Arias, was employed as an armed guard for a security company contracted through the federal government. The firm provided services at 26 Federal Plaza. In May 2023, while the defendant was on duty, the victim went to the building to submit an Asylum application. Solano-Arias allegedly offered to assist the victim with his asylum paperwork. The defendant took the victim to a second-floor office, where he secluded the victim and locked the door. The defendant demanded the victim perform sexual acts on him, court documents said. The victim refused at first, but Solano-Arias reached for his employed-issued firearm. According to documents, the victim, fearing that Solano-Arias would harm him, performed the sexual act the defendant demanded. After the assault, the victim reported the sexual assault to law enforcement officials. The following morning, Solano-Arias returned to the building for his shift. FBI agents asked to speak with the defendant. During an interview, Solano-Arias lied to federal agents, denying the abuse had happened, and then stated sexual acts happened, but the acts were consensual. Solano-Arias was arrested after the interview and later pleaded guilty before a federal judge, according to court documents. Matthew Euzarraga is a multimedia journalist from El Paso, Texas. He has covered local news and LGBTQIA topics in the New York City Metro area since 2021. He joined the PIX11 Digital team in 2023. You can see more of his work here. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. New York City police shot and killed a knife-wielding suspect in Brooklyn on Friday, saying the man was suspected of three murders. Officers with the Brooklyn Warrant Squad were attempting to arrest Vilmond Jean-Baptiste, 38, on Friday evening when he allegedly charged them with a knife, police say. Police say they found Baptiste hiding fully-clothed in a bathtub as they searched a Brooklyn apartment. NYPD Chief of Department Jeffrey Maddrey says officers ordered Baptiste to get out of the bathtub and noticed that he was holding a knife. Police ordered him to drop the weapon, but he instead advanced on the officers, police say. One officer attempted to stop Baptiste with a stun gun, but police said it was ineffective. Maddrey says at least three of the officers then opened fire on Baptiste. Medics rushed him to the hospital, where he died of his injuries. STAFFER FOR NYC MAYOR FIRED AMID EXTORTION REPORTS AFTER NYPD COMISSIONER RESIGNED New York City police shot and killed Vilmond Jean-Baptiste while serving a warrant for his arrest on Friday night. (Peter Gerber) A crowd soon gathered around the scene as police wheeled Baptiste into an ambulance. One man could be heard shouting, "He shot my brother. He killed my brother for nothing," according to the New York Daily News. READ ON THE FOX NEWS APP Footage obtained by Fox News Digital shows crowds shouting and throwing objects at police. NYPD BOSS RESIGNS AS DEM MAYOR'S INNER CIRCLE FACES POSSIBLE CORRUPTION PROBE Baptiste was wanted in relation to three murders. One case involved a 54-year-old woman and a 24-year-old man whose bodies were found in the city in July. Both victims were stabbed to death. Another case involved Claudette Jones, 66, who was found stabbed to death inside her apartment in late August. HOUSE GOP PRESSES HOCHUL ON ALLEGED CCP AGENT'S INFLUENCE IN NEW YORK, INCLUDING SECRET CHINESE POLICE STATION Chief of Detectives Joseph Kenny told the Daily Mail that Baptiste was romantically involved with both female victims. Johnny Jean-Baptiste, 44, the suspect's older brother, said the pair's mother was in the home when police shot and killed him, according to the Daily News. A bystander shouts at police at the scene of the shooting. (Peter Gerber) "My brother didnt have a gun," the elder brother said. "They could have dealt with him differently. I want to see the body camera to see what really happened." When asked about the murder cases Baptiste was suspected in, his brother reportedly replied, "Nobodys perfect." Original article source: NYPD shoot knife-wielding suspect wanted in 3 murder investigations OAK RIDGE, Tenn. (WATE) Just as they were making strides to break ground on the Oak Ridge Airport Project, the City paused its development. The City paused the project to make way for a proposed uranium enrichment facility, Orano the City calling it one of the largest economic investments in the state of Tennessee. Oak Ridge city manager Randy Hermann said the pause does not mean the project is dead, but it will set back their timeline. Hemann explained that the proposed facility highlights the growth of nuclear industry in Oak Ridge. To me this says we need an airport more than ever, so we will be looking for alternate sites in the area. said Hemann. However, residents say an airport is unnecessary, citing nearby airports and the impact it could have on their city or their pocketbooks. For the most part, it really doesnt impact a resident. And theres, you know, going to be a lot of detailed study on any noise that comes from this, you know, well make sure that theres no, no great noise impact, and no financial impact on residents, said Hemann. One concerned resident told 6 News they were concerned the airport might be used for commercial traffic, but the city manager said that is not so. It will support industry, in general would also support those folks that are just doing general aviation, in the area, but its mainly for industry purpose, and as I said, to relieve some traffic from, the Knoxville airport, said Hemann. Although some residents say government funding should be spent elsewhere, Hemann said the airport could boost their economy. We will have nuclear related businesses that need those services. So thats really what its all about, is it becomes another reason for people to move here and for us to be really the center for new nuclear development in the United States and, and hopefully the world, he said. Now, Hemann said the project will take more time. It will take the City up to six to eight months to find a new location for the airport, but Hermann explained that they were happy to pause the project while assessments are made regarding approval for the uranium enrichment facility. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WATE 6 On Your Side. HANGZHOU -- The third Global Digital Trade Expo, scheduled from Sept 25 to 29 in Hangzhou, capital of East China's Zhejiang province, will be powered entirely by green electricity. The expo venue, the Hangzhou Grand Convention and Exhibition Center, has completed the purchase of 2,350 green certificates, which correspond to 2.35 million kilowatt-hours of clean green electricity, equivalent to reducing carbon emissions by more than 1,500 tonnes, according to local electricity authorities. In addition to the photovoltaic power purchased from northwest China's Xinjiang Uygur autonomous region, the expo venue has also adopted building-integrated photovoltaics (BIPV) technology. The 3,356 cadmium telluride solar panels installed on its roof can generate 730,000 kilowatt-hours of electricity annually, equivalent to the total annual electricity consumption for lighting in the basement and above-ground offices of the exhibition center. Green electricity refers to electricity with zero or near-zero carbon dioxide emissions during its production process. This is crucial for a company's journey to achieving carbon neutrality, and for the transformation and upgrading of the entire industry. Buyers of green electricity also obtain green certificates. Launched in 2022, the expo will feature Kazakhstan and Thailand as guests of honor this year. Industrial activities related to artificial intelligence, low-altitude economy and digital healthcare will be included in the expo. The simplest way to benefit from a rising market is to buy an index fund. Active investors aim to buy stocks that vastly outperform the market - but in the process, they risk under-performance. For example, the Nufarm Limited (ASX:NUF) share price is down 20% in the last year. That's disappointing when you consider the market returned 16%. However, the longer term returns haven't been so bad, with the stock down 11% in the last three years. The falls have accelerated recently, with the share price down 19% in the last three months. With that in mind, it's worth seeing if the company's underlying fundamentals have been the driver of long term performance, or if there are some discrepancies. Check out our latest analysis for Nufarm Nufarm wasn't profitable in the last twelve months, it is unlikely we'll see a strong correlation between its share price and its earnings per share (EPS). Arguably revenue is our next best option. Generally speaking, companies without profits are expected to grow revenue every year, and at a good clip. Some companies are willing to postpone profitability to grow revenue faster, but in that case one would hope for good top-line growth to make up for the lack of earnings. In just one year Nufarm saw its revenue fall by 7.8%. That looks pretty grim, at a glance. The stock price has languished lately, falling 20% in a year. That seems pretty reasonable given the lack of both profits and revenue growth. It's hard to escape the conclusion that buyers must envision either growth down the track, cost cutting, or both. You can see how earnings and revenue have changed over time in the image below (click on the chart to see the exact values). It's good to see that there was some significant insider buying in the last three months. That's a positive. On the other hand, we think the revenue and earnings trends are much more meaningful measures of the business. If you are thinking of buying or selling Nufarm stock, you should check out this free report showing analyst profit forecasts. A Different Perspective Investors in Nufarm had a tough year, with a total loss of 18% (including dividends), against a market gain of about 16%. However, keep in mind that even the best stocks will sometimes underperform the market over a twelve month period. Unfortunately, last year's performance may indicate unresolved challenges, given that it was worse than the annualised loss of 2% over the last half decade. We realise that Baron Rothschild has said investors should "buy when there is blood on the streets", but we caution that investors should first be sure they are buying a high quality business. While it is well worth considering the different impacts that market conditions can have on the share price, there are other factors that are even more important. To that end, you should be aware of the 1 warning sign we've spotted with Nufarm . Story continues There are plenty of other companies that have insiders buying up shares. You probably do not want to miss this free list of undervalued small cap companies that insiders are buying. Please note, the market returns quoted in this article reflect the market weighted average returns of stocks that currently trade on Australian exchanges. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. OAKLAND (KRON) The city of Oakland is suing its own school district, alleging the Oakland Unified School District (OUSD) is refusing to pay at least $1.5 million in election-related costs, a lawsuit filed by Oakland City Attorney Barbara J. Parker on Friday states. Parker claims her office has been attempting to work in good faith with OUSD for over a year but filed the lawsuit due to OUSDs refusal to acknowledge its basic legal responsibility. Girl, 15, arrested for North Bay school shooting threat According to Parker, the most recent 2023 election held to fill the OUSD District 5 vacancy cost upwards of $600,000 alone. Parker says these elections cost so much because the OUSD Board of Education did not appoint a provisional board member and instead chose to proceed by special election. Parker claims the Oakland City Council had no role in calling the District 5 elections and that there is no basis for the city to be responsible for covering those costs. The law is clear that OUSD is responsible for paying the costs of the 2023 special election that it called to fill a vacancy on the OUSD Board, and for paying its fair share of other election costs, Parker said. Despite California law stating school districts must pay their share of election costs for school board offices and other ballot measures, the complaint alleges OUSD refused to pay for its proportionate share of election costs for recent school board director elections in 2022 and 2020. Parkers office estimates OUSD is responsible for paying approximately $860,700 for the 2022 elections and about $679,000 for 2020. The City always strives to resolve issues without resorting to litigation. Unfortunately, after nearly a year of attempting to work in good faith with OUSD to resolve this dispute, OUSD has remained steadfast in its refusal to acknowledge its basic legal responsibility. Accordingly, seeking relief in court is the Citys only option to recover the money OUSD indisputably owes and to ensure it will pay its fair share of election costs going forward, Oakland CIty Attorney Barbara J. Parker FINAL-OUSD-Election-Costs-Complaint-2024.09.12Download KRON4 has contacted OUSD for comment, but the school district said they do not comment on pending litigation. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. WASHINGTON Former Presidents Barack Obama and Bill Clinton, along with former first lady Michelle Obama and former Secretary of State Hillary Clinton, are preparing to lend their political star power to Vice President Kamala Harris campaign in the final stretch of the election, according to four people familiar with their plans. The high-profile surrogates all felt energized by their roles in the Democratic National Convention last month and have offered to help the Harris team in any way that would be most helpful, these people said. Barack Obama is expected to headline a fundraiser for Harris in Los Angeles next Friday and then hold larger campaign events next month, while Michelle Obama will focus on encouraging voter registration and turnout for Harris, the people familiar with the Obamas plans said. In addition to traveling for Harris, the former president will also sign his name to fundraising materials and will record candidate-specific ads and robocalls for Democrats running in down-ballot races, as he has done in past cycles, according to a person familiar with the effort. His strategy this fall will be driven by where he can move the needle with Democrats and persuadable voters, especially in states with key races, senior Obama adviser Eric Schultz said. Obama will also engage in traditional and creative tactics to reach voters digitally by working with content creators and influencers to push young people to IWillVote.com, Schultz said. While she is likely to hold fewer campaign events than her husband, Michelle Obama is even more energized to help this election cycle now that its Harris, not President Joe Biden, on the Democratic ticket, people familiar with her plans said. The overall strategy and schedule are still being finalized for the Obamas, the people familiar with their plans said, and they will take their direction from the Harris campaign about whats needed on the campaign trail. During Michelle Obamas speech at the DNC in Chicago, she implored Democrats to not just support Harris this November but do something to help her win. The Obamas "are both extremely energized by Harris candidacy, enjoyed their time at the convention and theyre looking forward to being helpful in any way they can in the course of her campaign, a former senior adviser to Obama said. The Obamas and Clintons would have had some role in the home stretch of the Biden campaign had it continued. One person close to the planning said now they can help with different constituencies Harris needs to win over in November. For instance, Bill Clinton will likely target more rural areas, with possible events in places like the Rust Belt and Georgia, two people familiar with his plans said. Hes eager to get out on the trail and was described as a Dalmatian at a firehouse by one person close to him. Former President Clinton may also make an appeal to Latino voters specifically since he did better with that key demographic when he was in office than his successors, an additional source said. He will also likely be tapped to speak about economic issues, given his role as the explainer-in-chief on that topic in 2012, this person said, with his travel for Harris likely beginning sometime in October. Hillary Clinton, for her part, is expected to focus on women and LGBTQ voters during her campaign events, two people familiar with her schedule said, with dates still being discussed. She will also likely be a key messenger on reproductive freedom which could be an effective way of reaching suburban women, whom the campaign also views as critical. She plans to help with fundraising efforts, these sources said, in between stops on her latest book tour, which kicks off next week. Hillary Clinton has at least one luncheon with donors scheduled for next Friday, separate from Barack Obamas event. Both the Obamas and the Clintons have been in frequent touch with Harris since she took over the top of the ticket, with Hillary Clinton offering debate strategy and perspective ahead of the vice presidents showdown with former President Donald Trump in Philadelphia this week. For his part, Biden will continue to travel to battleground states to tout what the White House views as the top Biden-Harris accomplishments of their term in office. The president will travel to Philadelphia on Monday in his official capacity but is expected to continue stumping for Harris wherever he goes and will do more joint events with the vice president in the final sprint to Election Day as well, according to officials in both of their offices. Biden in particular can help shore up older white voters, who are not at the heart of the Harris coalition, these people said. First lady Jill Biden has also pledged to campaign for Harris in the fall, with a possible focus on education. Beyond the Bidens, Obamas and Clintons, Democratic governors like Pennsylvanias Josh Shapiro and Californias Gavin Newsom will serve as key surrogates in the next seven weeks, along with Sens. Cory Booker, D-N.J., and Laphonza Butler, D-Calif., according to a source familiar with their plans. The Harris team has had a steady stream of celebrity backers out on the trail on the vice presidents behalf as well, which is only expected to ramp up in the coming weeks, but the campaign is also working to engage digital surrogates more directly than in past cycles. It hopes to leverage the massive followings of online content creators to its benefit, without necessarily having to plan in-person events for them, the source said. This article was originally published on NBCNews.com New official portrait unvieled honoring legacy of Richard Jackson BAY COUNTY, FL. (WMBB) Panama City Beach officials are honoring the legacy of former City Manager Richard Jackson. The city presented his official portrait on Thursday. Jackson died earlier this year. He started his career with the city as public works director before being promoted to city manager in 1979, a position he kept until he retired in 2012. His family and friends were emotional as Panama City Beach Mayor Stuart Tettemer unveiled the portrait and spoke on Jacksons contributions to the city. The painting will be on display in the City Hall lobby. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to mypanhandle.com. Officials issue PSA after spotting highly invasive species capable of wiping out entire forests: 'The most destructive defoliators in North America' An invasive species of caterpillar has been seen occasionally in the United States over the last couple of decades, but scientists have only just discovered the scale of devastation they can wreak on a native moth. What's happening? As Newsweek detailed, the spongy moth caterpillar is native to Europe but has been feasting on U.S. trees for around 20 years. They are known to strip trees of their leaves, and large populations have the capability to cause untold damage to forest areas. "They're the most destructive defoliators in North America," entomology professor emeritus at the University of WisconsinMadison Rick Lindroth told Newsweek. "And they can strip hundreds of square miles of forest bare." After witnessing a huge mass of spongy moth eggs in the forest while attempting to conduct research, the University of Wisconsin-Madison research team decided to change tack, choosing instead to investigate how these caterpillars can affect a native species of moth. When defoliated aspen trees produced new leaves, those leaves were found to have eight times more defensive compounds in them than the previous batch. These compounds are toxic to insects, and researchers fed the leaves to native caterpillars of the polyphemus moth to see how they'd react. Only 18% of the caterpillars survived, with the rate of survival being considerably higher for those feeding on non-infected leaves. Why is this concerning? The polyphemus moth is the second-largest moth species in North America, according to Newsweek. Lindroth noted that despite the two insects never meeting each other, the spongy moth caterpillars can still be deadly for polyphemus moths. "We're seeing an invasive species harm a native speciesa cherished, charismatic, beautiful mothby changing the quality of its food plant," Lindroth said. But it's not only the polyphemus moth that's at risk. The spongy moth caterpillar has a large number of suitable host plants compared to other moth species, meaning its destructive path is not limited to just one or two types of plant. The spongy moth caterpillar's feeding habits can also affect other insects and mammals, while it can also have a notable impact on a forest's ability to absorb planet-warming pollution from the air because of stunted growth among infected trees. "In every breath that you take, there are molecules of oxygen that were produced by aspen trees," Lindroth added. "It's a very important forest species, and to see the effect of an invasive insect ripple out into the forest community through changing the toxicity of the food landscape is astounding." What can be done about the spongy moth caterpillars? You can report the presence of invasive insect species to your state regulator, and the U.S. Department of Agriculture can help you find the appropriate contact details. Meanwhile, the department calls for vigilance because the spongy moth caterpillar is a known "hitchhiker," with people unknowingly spreading the egg masses when they move items located outdoors. Keep an eye out for any egg masses if you live in a quarantine area, and dispose of them in hot, soapy water, or in a sealed plastic bag that should then be left in the sun. Join our free newsletter for good news and useful tips, and don't miss this cool list of easy ways to help yourself while helping the planet. OG&E restores power for thousands in Louisiana following landfall of Hurricane Francine OG&E restores power for thousands in Louisiana following landfall of Hurricane Francine BATON ROUGE, La. (KFOR) A crew of 150 line workers and support personnel with Oklahoma Gas and Electric left for Louisiana on Wednesday to help restore power to areas affected by Hurricane Francine. Hurricane Francine made landfall on Wednesday in Louisiana as a Category 2 hurricane. PREVIOUS COVERAGE: OG&E crews leave for Louisiana ahead of Hurricane Francine OG&E crews were able to arrive safely and started working in Gonzales, Louisiana, on Thursday, where heavy flooding and damage from winds affected the area. Focus was directed to repairing broken poles and cross arms, replacing broken transformers, and repairing downed power lines. Tree trimming crews also helped clear out downed trees and branches. OG&E help restore power to thousands in Louisiana following Hurricane Francine. OG&E help restore power to thousands in Louisiana following Hurricane Francine. OG&E help restore power to thousands in Louisiana following Hurricane Francine. OG&E help restore power to thousands in Louisiana following Hurricane Francine. OG&E help restore power to thousands in Louisiana following Hurricane Francine. OG&E help restore power to thousands in Louisiana following Hurricane Francine. OG&E crews have been able to restore approximately 3,800 Entergy Louisiana customers and will continue to work on getting more power back on for homes and businesses in the area. We know there are many still without power, and we are working to make sure we can get it back on as quickly and safely as possible, said Chad Guthrie, OG&E Director of Distribution Construction. Im grateful to our crews on the ground who are bringing their work ethic and expertise to help the community in Louisiana. According to officials, Entergy Louisiana requested support before the arrival of Hurricane Francine. Crews with OG&E left on Wednesday, expecting widespread power outages in the south and southeast parts of Louisiana. OG&E crews from Oklahoma City, Enid, Ardmore, Muskogee, Kellyville, and Fort Smith, Arkansas will continue to work on restoring power until Entergy Louisiana is able to release crews. Additional personnel may be rotated in by OG&E if more assistance is needed. Our crews were prepared to provide their support and expertise to the Louisiana communities affected by Hurricane Francine, said Andrea Dennis, OG&E Vice President of Transmission & Distribution Operations. Im inspired by our crews commitment and willingness to help our neighbors. Were energized by the opportunity to restore power in challenging conditions and help communities recover in the wake of the hurricane. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KFOR.com Oklahoma City. Ohio Issue 1: How other states have dealt with redistricting and what Ohio can learn This fall, Ohioans will vote on whether to remove politicians from the redistricting process and replace them with citizen mapmakers. Ohio isn't the first state to try a citizen commission for drawing congressional and state legislative districts. While each state's approach is a little different, the USA TODAY Network Ohio Bureau looked at three states' commissions to examine what worked and what didn't. Ohio Issue 1 2024: What is it? Would it stop gerrymandering? Michigan: Racial gerrymandering, a lawsuit and a fix In 2018, Michigan voters approved a 13-member independent citizen redistricting commission of four Democrats, four Republicans and five independents. The commission approved congressional and statehouse maps in late 2021 its first big test. That test drive included some bumps along the road. Michigan's Republican chairman called for two independent commission members to resign, saying they were, in fact, Democrats, according to the Detroit Free Press. He pointed to one's pro-Vermont Sen. Bernie Sanders post on social media and another's small donations to EMILYs List, a pro-abortion access group. But both remained on the commission. Later, a trio of federal judges ruled that more than a dozen Detroit-area legislative districts were racial gerrymanders that violated Black residents' rights. The commission fixed the maps, and the judges signed off on them in March 2024. The main thing that went wrong is that the commission, with all its intent of trying to achieve fair outcomes for everybody, ended up drawing two legislative maps that were racial gerrymanders," said Jon Eguia, an economics professor at Michigan State University who studied Michigans redistricting model. Its a failure of outcome and its a failure of process that leads to that outcome. But the commission corrected its failure, which Eguia attributed to an overreliance on one expert, because of a transparent process that made clear exactly where the commission went wrong. Citizens make mistakes, too, but at least theyre not set deliberately to give us something biased," Eguia said. "At least theyre trying to do it right. Arizona: A powerful, independent chair In 2000, Arizona voters created a five-member Arizona Independent Redistricting Commission to draw congressional and statehouse districts to replace the state Legislature drawing maps. The commission includes two Republicans, two Democrats and one independent who leads the group. The structure puts pressure on the sole independent to be truly independent. Democrats raised concerns about the commission's most recent chair, who had previously registered as a Republican and donated to the state's then-GOP Gov. Doug Ducey, said Arizona Sen. Priya Sundareshan, a member of Arizona Senate's elections committee. The GOP and Ducey, frustrated with how redistricting went in 2011, packed the group that selects the independent redistricting commission with Republicans, the Arizona Republic reported. Arizonas experience has not been perfect, but it really has benefited our representation in the state," said Sundareshan during the Democratic National Convention. She pointed to the power of a single chair as one flaw. Theres absolutely opportunity to remedy and reform this process to try to take out any additional partisan influence. New York: Gridlock without independents In 2014, New York voters approved a constitutional amendment crafted by state lawmakers to create a 10-member redistricting commission. The commission includes five Democrats and five Republicans with no independents. New York's measure didn't remove lawmakers from the process. Legislators select eight of the 10 members. All maps must be approved by the state Legislature, which also had the power to draw its own maps after rejecting two commission proposals, according to a Brennan Center for Justice analysis of what went wrong. The commission gridlocked, leaving the Democratic-controlled Legislature to draw its own maps, which judges rejected as gerrymandered and replaced with ones drawn by a special master for 2022. It was impossible for us to come to an agreement," said David Imamura, an attorney who chaired the New York State Independent Redistricting Commission. New York eventually approved maps for 2024, but the saga did little to instill confidence in the system. The process is at best chaotic and at worse undermines faith in democracy with voters. Jessie Balmert covers state government and politics for the USA TODAY Network Ohio Bureau, which serves the Columbus Dispatch, Cincinnati Enquirer, Akron Beacon Journal and 18 other affiliated news organizations across Ohio. This article originally appeared on Cincinnati Enquirer: Will Ohio Issue 1 work to fight gerrymandering? SPRINGFIELD, Ohio - Republican Senate candidate Bernie Moreno took aim at the Biden administration, arguing that the issues faced by residents of this city can be traced back to policies in Washington, D.C. Moreno, who is trying to unseat Democrat Sen. Sherrod Brown, spoke at an event in the city that has recently been thrust into the center of the national immigration debate. Residents say the federal government's decision to settle as many as 20,000 Haitian immigrants in the city of 60,000 has caused widespread problems. "What's going on in this community is a total disgrace," Moreno said. "Joe Biden and Kamala Harris, with the help of their number one ally in the United States Senate, Sherrod Brown, have absolutely corrupted our immigration laws to allow a mass, uncontrolled amount of immigration to come to a community like Springfield." The Buckeye State's other senator, JD Vance, who is running for vice president, has also spoken out about the issue since former President Donald Trump raised it during his debate earlier this week against Vice President Kamala Harris. "Reports now show that people have had their pets abducted and eaten by people who shouldn't be in this country," Vance wrote in a post on X this week. "Where is our border czar?" HAITIAN REFUGEES DONT UNDERSTAND THE LAWS, FORMER LAWMAKER SAYS AMID FATAL WRECK, CULTURAL CLASHES READ ON THE FOX NEWS APP "They're eating the dogs, the people that came in, they're eating the cats," Trump said during a response to a question about immigration. "They're eating the pets of the people that live there, and this is what's happening in our country, and it's a shame." While the claims made by Trump and Vance have not been proven, there is little dispute about Springfield struggling to absorb the influx of Haitian migrants. According to former Ohio State Rep. Kyle Koehler, that has caused some issues in the community. "Weve got an influx of folks that have come in, and I think we were a little bit shocked that it was close to 20,000 people in a community of 60,000, and thats caused some issues between the folks that live here and the folks that are coming in," Koehler, a Republican now running for state senate, told Fox News Digital. Koehler noted that many of the issues can be chalked up to differences in culture, which have been hard to overcome when new people have been coming into Springfield at such a high rate. However, the Republican also noted some other issues faced by the community, including a dramatic spike in traffic accidents. SPRINGFIELD PASTORS SPEAK OUT ON HAITIAN REFUGEE CHALLENGES: 'THE SUFFERING IS REAL' "We do have a really abnormal number of car accidents that are happening," Koehler said. "And its not only the number, but the severity of them. When you go on a street that is a 35-mile-an-hour speed limit, and theres a car sitting on its hood, and Im not talking about one, Im talking about five or six accidents a week like that, you begin to wonder." Moreno highlighted other issues faced by the community during his Saturday event, pointing to schools that have struggled to handle the surge of new students who don't speak English. "No country on earth would allow to happen what's happening here," Moreno said. The Senate candidate vowed to help fix the immigration system if elected, promising that he would work to end the Temporary Protection Status program, which has been the primary driver of migration to Springfield, by letting it expire in 2026 while putting a new program with more strict requirements in place. "Our leaders have failed to address it," Moreno said, responding to comments by Brown that accused Republicans of using the situation in Springfield for political gain. "Where is Sherrod Brown by the way? . . . Where is he helping the citizens of Springfield resolve this problem?" Moreno also argued that it wasn't the fault of migrants or local leaders in Springfield that the situation in the town has reached this point, instead pointing to Democrats in Washington. "They didn't cause this problem. It wasn't a fair problem," Moreno said. "This was dumped on them by corrupt federal elected leaders that weren't looking out for the people in Springfield." Original article source: Ohio town's migrant crisis caused by Biden-Harris: Moreno The Omaha, Nebraska, police department has suspended the use of some no-knock search warrants, after a SWAT team officer fatally shot an unarmed Black man in August during one such raid. The department plans to suspend its use of standard entry (limited penetration) no-knock raids, in which police breach a door without warning and then make loud verbal announcements about a search, pending a full review and assessment of best practices. My feeling is, there will be times where a limited penetration is necessary, just because of the extreme circumstances, but were going to look at whether we can do other methods on certain cases to try to bring that risk down, deputy police chief Scott Gray said on Friday, according to the Omaha World-Herald. I dont think that means that (standard entry warrants) are going to completely go away, but maybe we use one of the other tactics. Or maybe we develop something new that is going to work better. Omaha police officer Adam Vail shot and killed Cameron Ford, 37, as officers served a no-knock warrant on August 28 while investigating Ford for allegedly selling fentanyl. After being inside Fords home for about 12 seconds, and making repeated announcements about the search, Vail said Ford came charging at him without his hands visible. Vail was wearing a body camera, but footage of the encounter was obscured by the officers ballistic shield. No-knock warrants came to national attention in 2020, when officers in Louisville killed Breonna Taylor, an unarmed Black woman who wasnt accused of any crimes, during a raid on her boyfriends apartment. Taylors killing, alongside the murder of George Floyd and other deaths of Black people at the hands of police, helped inspire nationwide Black Lives Matter protests in 2020. After Taylors killing, the Omaha PD made changes to its no-knock warrant policies, requiring such warrants to get the approval of a captain or deputy chief, as well as be served by a SWAT team once above a certain threat level. Earlier this month, the Douglas County attorney declined to charge Vail, who told investigators he feared for his life. Critics have called for an independent investigation into the shooting and an end to no-knock warrants. The use of no-knock warrants has too often led to avoidable violence and heart-wrenching loss, Wayne Brown, president and CEO of the Urban League of Nebraska, told the Associated Press on Saturday. It is time to reevaluate these tactics and replace them with strategies that prioritize the well-being of both the officer and the residents. Is one of the worlds deadliest mushrooms found in Utah? SALT LAKE CITY (ABC4) A recent post on Reddit claimed a user had found one of the worlds deadliest mushrooms in various parts of Salt Lake City. Specifically, the user said they had found a mushroom commonly called a destroying angel and Bryn Dentinger, an associate professor in the plant biology department at the University of Utah, told ABC4 that a destroying angel is one of the deadliest types of mushrooms in the world. Family of Utahn sentenced to death in Congo speaks out So should Utahns be worried about finding a deadly mushroom in their yard or around Utah cities? Dentinger said no. At the moment, there is no record of destroying angels in Utah, Dentinger said. Dentinger said the user who posted about the mushroom incorrectly identified the mushroom as a destroying angel what the user found was actually a mushroom more commonly known as smooth lepiota or white dapperling. An image of Leucoagaricus leucothites (commonly known as smooth lepiota or white dapperling). The mushroom has visual similarities to a toxic mushroom, but white dapperlings are not toxic. (Courtesy: Bryn Dentinger) An image of Amanita virosa (commonly known as a destroying angel). The mushroom has visual similarities to a benign mushroom, but destroying angels are among the deadliest mushrooms in the world. (Courtesy: Bryn Dentinger) An image of Leucoagaricus leucothites (commonly known as smooth lepiota or white dapperling). The mushroom has visual similarities to a toxic mushroom, but white dapperlings are not toxic. (Courtesy: Bryn Dentinger) An image of Amanita virosa (commonly known as a destroying angel). The mushroom has visual similarities to a benign mushroom, but destroying angels are among the deadliest mushrooms in the world. (Courtesy: Bryn Dentinger) Dentinger provided photos of white dapperlings (or Leucoagaricus leucothites) and destroying angels (identified in the photos as Amanita virosa). Dentinger said theres not much of a visual difference between the mushrooms. The most obvious distinction is the fact that a destroying angel (and other mushrooms of the Amanita family) would have a cup at the base. While there are no current reports of destroying angels in Utah or any other deadly mushrooms in the Amanita family like the death cap Dentinger said its not impossible for them to show up. Should I be worried about poisonous mushrooms in my yard? There are very few poisonous mushrooms in Utah that grow in peoples lawns, Dentinger said. Dentinger said if you find a mushroom and think it might be deadly, you should reach out to the Mushroom Society of Utah or use other online resources such as iNaturalist or Mushroom Observer for help identifying the mushroom. While some people on the online forum expressed concerns about children or pets eating potentially poisonous mushrooms, Dentinger advised parents and owners to keep a closer eye on those populations. If you are concerned about the mushrooms in your yard, you can go pick them and remove them thats not going to take the fungus out of the soil, because the mushroom is really just like a flower or a fruit, Dentinger said. Dentinger also said getting rid of mushrooms is hard without the use of harsh chemicals, which he advised against. He said some types of mushrooms are in the sod and help enrich the soil by decomposing dead roots and other dead plant material. Plus, Dentinger said he would not recommend eating mushrooms that grow in the city for several reasons - including the fact that mushrooms absorb herbicides and pesticides, and even heavy metals. Are there poisonous mushrooms in Utah? Dentinger said there are, in fact, mushrooms in Utah that could have the same poisonous effects as a destroying angel or death cap mushroom. If consumed, destroying angel mushrooms can cause dysfunction of the kidney or the liver before causing death, according to the Missouri Department of Conservation. One of the mushrooms in Utah that can cause similar effects is called Galerina autumnalis, and Dentinger provided an example of what that mushroom may look like (seen in the photo below). An image of the mushroom Galerina autumnalis, which is a deadly mushroom found in Utah. (Courtesy: Bryn Dentinger) While these deadly mushrooms are found in the Beehive State, Dentinger said those mushrooms are something that people are unlikely to collect and eat. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. Students and staff at a Sacramento-area high school were ordered to shelter in place on Friday and two other students in the region were arrested this week as police and school district officials grappled with a nationwide wave of social media threats of campus violence. The Natomas Unified School District issued the order to restrict access at Inderkum High School from mid-morning until about 1 p.m., as law enforcement agencies investigated the threat, district spokeswoman Deidre Powell said. Authorities said the threats may have originated in Tennessee on the platform Snapchat and have plagued school districts across the nation as social media users have reposted them, adding the names of local schools in a flood of activity. Parents took to social media to share threats of violence targeting other schools across the capital region and elsewhere while districts sent notices to parents to inform them and allay fears of a possible incident. This is actually part of a trend thats been going around social media nationwide, said Sacramento County Sheriffs Office spokesman Sgt. Amar Gandhi. Regionally, it seems to have started in Placer County ... and now seeped more into Sacramento County, and I would expect it to continue. The postings come on the heels of a deadly school shooting in Georgia on Sept. 4, and bomb threats this week that triggered school cancellations for two days in Springfield, Ohio. The latter threats were targeted in the wake of Tuesdays presidential debate in which former President Donald Trump claimed, without evidence, that Haitian immigrants in Springfield were eating pets. The social media threats have so far not been found to be credible, multiple law enforcement agencies, including the Sheriffs Office, said. Even so, threats against schools and staff have resulted in the arrests of two teens in separate incidents. In one, a 13-year-old was arrested in Roseville after police said they came across threatening posts while investigating a separate instance of menacing verbal language. In another, a 16-year-old student at Del Oro High School in Loomis was arrested for making a threat toward a campus staffer, said Placer County Sheriffs Office spokeswoman Elise Soviar. But she said that the teen, who was arrested Thursday on suspicion of making a criminal threat, was not part of the wave of social media activity targeting schools. It was a specific threat to a staff member, not made on social media, she said. Numerous schools targeted So far the social media threats most of them crudely replicated from prior postings with the name of a new school added on have targeted four schools in the San Juan Unified School District, one in Placer Union High School District and an undisclosed number in Natomas Unified and Sacramento City Unified school districts, according to officials. There are so many different versions at this point each listing and tagging different schools across the region, said Raj Rai, a spokeswoman for San Juan Unified. Obviously, this has caused some disruptions and concerns within our school communities. In that district spread across the capitals eastern suburbs the messages targeted Del Campo, Mesa Verde and Rio Americano high schools, as well as Andrew Carnegie Middle School, Rai said. As in other districts, many of the postings appeared to have been passed on by students and others, leading officials to ask parents to monitor students smartphone usage. In SCUSD, threats were received but found to be not credible, said spokeswoman Nicole Kangas. She did not say how many threats were received or which schools were targeted, though parents said on social media that John F. Kennedy and Hiram Johnson high schools were among those named. The school district notified parents about the postings this week, she said. All of the school districts contacted by The Sacramento Bee said they had sent messages to parents about the threats. We are aware of a nationwide social media trend referencing potential violence at schools that has become a local concern for schools in the Sacramento region, including Elk Grove Unified, began one such letter from the Elk Grove Unified School District, Northern Californias largest district. EGUSD has alerted our Safety and Security team, as well as local law enforcement, who are investigating the source of the threats. Parents and students online said Valley High in south Sacramento was among the Elk Grove Unified schools mentioned in posts. Like other districts, Elk Grove officials said that local law enforcement has determined the threats were not credible, and warned students that threats against a school would be taken seriously. Sacramento police, meanwhile, said they investigated the threat at Inderkum High School and found it to be not credible as well, said Powell of the Natomas district and officials from the Police Department. The parent company of Snapchat, which has more than 800 million users worldwide, said it was actively looking into these reports and would continue to work closely with law enforcement to support their investigations. Snap Inc. has a safety operations team that works to escalate threatening activity on the platform, including any imminent threats to life such as school shootings, bomb threats and missing persons cases, the company said. Protecting the safety and well-being of young people on Snapchat is a top priority, the Santa Monica-based company said in a statement. And this type of activity has no place on Snapchat. OnlyFans Beats Apple, Google, Netflix, And Other Big Tech Companies In Revenue Per Employee: Here's The Down Low Content-sharing platform OnlyFans has come out on top against big tech companies like Apple Inc., Alphabet Inc.'s Google, Netflix Inc., and others in terms of revenue generation per employee. What Happened: OnlyFans reported revenue of $1.3 billion for the financial year 2023. While its revenue is a fraction of that of big tech companies like Apple, Google, and Microsoft, its revenue per employee is magnitudes higher than these tech giants, as pointed out by Trung Phan. Dont Miss: Are you rich? Heres what Americans think you need to be considered wealthy. Can you guess how many retire with a $5,000,000 nest egg? How does it compare to the average? Company Revenue No. Of Employees Revenue Per Employee OnlyFans $1.3 billion 42 $30.95 million Craigslist* $0.694 billion 50 $13.88 million Netflix $33.7 billion 13,000 $2.59 million Apple $383 billion 161,000 $2.38 million Meta Platforms $134 billion 67,317 $1.99 million Google $305 billion 182,502 $1.67 million Microsoft $245 billion 221,000 $1.1 million Source: Company reports | *Craigslist's revenue is from 2022, as data for 2023 was not immediately available On average, each OnlyFans employee contributed to nearly $31 million to the company's top line, while Microsoft's average revenue per employee was the lowest in this last at just $1.1 million almost 28 times lower than that of OnlyFans. For context, Apple, Microsoft, Alphabet, and Meta are four of the five most valuable companies in the world in terms of market capitalization. See Also: Founder of Personal Capital and ex-CEO of PayPal re-engineers traditional banking with this new high-yield account start saving better today. Craigslist, a privately held classifieds platform, continued to remain among the top companies in terms of revenue per employee. Although its revenue has shrunk from its peak of $1 billion in the late 2010s, its revenue per employee remains among the highest in the list due to its relatively small team. The story is completely different, however, for tech giants who have thousands of employees on their payrolls. While their revenue is also several magnitudes higher than OnlyFans and Craigslist, the significantly higher number of employees brings down the average revenue to single digits. Read Next: Photo courtesy: Shutterstock Story continues UNLOCKED: 5 NEW TRADES EVERY WEEK. Click now to get top trade ideas daily, plus unlimited access to cutting-edge tools and strategies to gain an edge in the markets. Get the latest stock analysis from Benzinga? This article OnlyFans Beats Apple, Google, Netflix, And Other Big Tech Companies In Revenue Per Employee: Here's The Down Low originally appeared on Benzinga.com 2024 Benzinga.com. Benzinga does not provide investment advice. All rights reserved. ODESSA, Texas (KMID/KPEJ) The Odessa Police Department welcomed its newest batch of officers at Fridays graduation ceremony, celebrating seven newly badged officers who will now be serving the community. Those seven included six police officers and one fire investigator. This is the 27th graduation of our Odessa Police Department Police Academy. So, we had six police officers graduate that come to work for the Odessa Police Department and one fire investigator that goes to work for OFR. Seven that graduated today, really a hand-picked bunch. Theyre very qualified, very well vetted, thorough backgrounds. They go through a lot to get to this point, said OPD Chief Michael Gerke. OPD Lieutenant graduates from FBI National Academy Congratulations to the following graduates: Officer Aaron Acosta Officer Alejando Alvarado Officer Abel Bustamante Officer Christopher E. Gonzales, Jr. Officer Stephen Lujan Investigator Andres Menchaca Officer Calistro P. Pequeno Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Yourbasin. America has a billionaire problem. If we keep dancing around it, our democracy will die and our way of life will die along with it. The vast majority of Americans would never call for class war. They have no issue with heart surgeons, for example, making $1 million a year and enjoying luxuries earned from their outsized contributions to our society. Wealth is not a moral failing, and Americans have long revered the entrepreneurial spirit that animates small business owners to build a modest fortune for themselves and their families. But a growing class of malignant oligarchs has initiated a campaign to exert unchallenged control over our democratic institutions and our economy. The war has already started, and the billionaires have a significant head start. These individuals are hoarding unfathomably large amounts of wealth and are now wielding it to suppress critical media, co-opt our politics and defang our justice system. They have rigged our tax system so that they pay dramatically lower tax effective rates compared to working people. They have consolidated corporate power to shield themselves from scrutiny. We must either rise up and resist or cede what little political self-determination remains to a handful of oligarchs. Like a cancer, billionaire control is metastasizing in every facet of Americas socio-political and socio-economic system. They control newspapers, television stations and every major social media platform. Last year, we learned the extent of oligarchical control over our judiciary when it was revealed that a handful of billionaires were showering Supreme Court justices with lavish vacations and obscenely inappropriate gifts. They use their wealth to overwhelm the campaign finance system. In May, Americans for Tax Fairness reported that 50 billionaire families have already injected over $600 million into 2024 election campaigns. But that figure didnt include what billionaires recently committed to Donald Trumps presidential campaign. Physician and political donor Miriam Adelson, for example, reportedly plans to contribute over $90 million to a super PAC supporting Trump. We must not be naive. These contributions are quid pro quos. Billionaires expect and get things in exchange for those contributions. In return for her munificence, Adelson reportedly seeks Trumps support for Israels annexation of the West Bank. Last month, Trump proposed a deal to oil company executives: If they contribute $1 billion to his campaign, hell reverse Bidens environmental policies they dont like. The deal, according to The Guardian, would be worth $110 billion in tax breaks to the industry. A huge chunk of that $110 billion, of course, would land in the pockets of billionaires. Most commonly, however, the political price of billionaire support is lower taxes. At a fundraising dinner at the home of a billionaire hedge fund investor, which netted him $50.5 million in contributions, Trump promised to renew and expand his 2017 tax cuts. In a single tax year, just one of the many giveaways in Trumps tax package allowed four billionaires to escape a total of $170 million in tax. Heres how bad it gets: In 2021, we learned that PayPal co-founder Peter Thiel had used a Roth IRA to avoid tax on $5 billion in gains. The next year, Thiel, who has openly stated that he doesnt believe democracy and freedom are compatible, employed about two percent of the taxes he dodged to almost single-handedly buy a Senate seat for Sen. JD Vance (R-Ohio), who now is the GOPs candidate for vice president. It strains credulity to think Vance would ever cast a vote against his benefactor, Peter Thiel. Its a vicious circle. Billionaires spend millions to sponsor politicians, who support tax policies that enrich their billionaire sponsors, who then have even more excess wealth available to sponsor politicians. Meanwhile, the reduced tax revenues are invoked to justify austerity policies that place health care, education and a comfortable retirement out of reach for average Americans. While billionaires use wealth to gain power and power to increase wealth, and as the arrival of Americas first trillionaire approaches, most of us are hanging on by our fingernails. Over half of Americans say they lack the cash to cover a $1,000 unexpected emergency expense. But billionaires use the corporations they control to fleece the American public. Another few years of shrinkflation, drip pricing and dynamic pricing, and even a $200 expense will be a challenge for most of us. Power, Frederick Douglass warned us, concedes nothing without a demand. Let us be clear-eyed: Oligarchs are embedding themselves into every facet of our system, across our economy and our democracy. Checking their power will not be easy. But the first step is simple: We demand a tax system that prevents the accumulation of billion-dollar fortunes and whittles away the billion-dollar fortunes that already exist. The danger of this moment is not class conflict, but failing to realize that a political conflict pitting billionaires against everyone else has already begun. If we ignore it, it will evolve into something uglier and more violent something that could well undo the democratic promise of America. Bob Lord, an Institute for Policy Studies associate fellow, currently serves as senior advisor on Tax Policy at the Patriotic Millionaires. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. On Tuesday, Kamala Harris delivered the performance of her political life, schooling Donald Trump before 67 million viewers. To top it off, she garnered the endorsement of Pennsylvania native Taylor Swift and swung the prediction markets her way. Still, the Harris-Walz campaign is just breaking even in Swifts home stateand only narrowly ahead in Michigan and Wisconsin. Democrats trail badly among voters without a four-year degree. A replay of 2020 looms. How to Know Who Won and Who Lost the Trump-Harris Debate In hindsight, Harris selection of Tim Walz as VP may yet prove costly, even decisive. In early August, she could have gone a long way to locking down the Keystone State and its 19 electoral votes, had she picked its governor, Josh Shapiro. Instead, the vice-president went with someone who wouldnt outshine her. (Some contend that Harris was scared off Shapiro by her partys progressive wing.) Confident politicians recognize that boldness can yield outsized rewards. John F. Kennedy in 1960 and Ronald Reagan, 20 years later, discounted chemistry and opted for their rival. Both knew that only thing matteredwinning. Kennedy forged a Boston-Austin axis when he went with Lyndon B. Johnson, even after LBJ had challenged him for the nomination and dominated the Senate. Reagan selected George H.W. Bush, an ex-CIA director and congressman who had notched a string of victories during the GOP presidential primariesand repeatedly stung Reagan with the phrase voodoo economics. Pragmatism ruled; interest groups, not necessarily. Senator Kennedy overrode protests by labor and Northern liberals in the surprise move in naming the Senate majority leader for Vice President, the New York Times reported back in the day of LBJ joining the Democratic ticket. Kennedy, a Roman Catholic, moved boldly to win party unity and new strength below the Mason-Dixon Line by choosing the Texan, a Protestant, for his running mate. The gambit paid off. Johnsons presence put enough Texans at ease that, on Election Day, the Democrats narrowly carried the state and its 24 electoral votes. Four years earlier, Texas had gone Republican by double-digits; nationally, Kennedys margin over Richard Nixon was an infinitesimal one-sixth of 1 percent. Two decades later, history repeated itself. Reagan tapped Bush for a team that was a study in contradictions. More importantly, it worked. Sure, the Sunbelt-minded ideological right never cottoned to the Yale Skull and Bonesman. But once in office, Reagan made the most of Bushor at least his talent. He layered his administration with Bush campaign veterans. James Baker, Bushs campaign chairman, emerged as Reagans first White House chief of staff. Many other top appointeesincluding Richard Darman, a Baker deputy and Harvard alumwere more Bush Establishment than Reagan Revolutionary. Back to the present. Walz puts a smile on the face of the Democratic base, but few others. He is likeable and familiar, yet questions about his military service undercut possible broader appeal. These are nuances that Team Harris, more attuned to the vagaries of political correctness than military folkways, perhaps failed to appreciate during the vetting process. Tim Walzs Students Still Remember How He Comforted Them on 9/11 Unlike JD Vance, Walz does normal. He doesnt trash single women, harangue the childless or parrot Kremlin-issued talking points about Ukraine. He also doesnt defend Tucker Carlson. At the same time, however, his victory in the veepstakes reinforced the perception that Harris is incapable of bridging Americas cultural and demographic gaps. In his home state of Minnesota, Walz has shown little strength beyond traditional Democratic turf. He won re-election in 2022 by a smaller margin than he had in 2018. Indeed, his totals were in line with Joe Bidens performance in 2020. By contrast, in 2022 Pennsylvanians elected Shapiro governor by double-digits, 56-42. And Pennsylvania is the swingiest of swing states. The polls signal other difficulties for Harris. Another warning sign for Democrats, according to a New York Times/Siena poll published on Sept. 8, 47 percent of likely voters viewed Ms. Harris as too liberal, compared with 32 percent who saw Mr. Trump as too conservative. To put that another way, by a 15-point margin, Americans see Harris as further from the political middle than Trump. In that sense, Walz reminds voters of Harris difficulty in tacking to the center. Democratic presidential candidate Kamala Harris and her running mate, Minnesota Governor Tim Walz, attend a campaign rally in Milwaukee, Wisconsin, on Aug. 20, 2024. Marco Bello/Reuters Recent endorsements by Liz and Dick Cheney speak to the threat the 45th president poses to U.S. democracy, a major concern of the high end. But to a sizable mass of voters, Harris needs to grapple with a broader range of issues. Tuesday was a start. In the run-up to the debate in Philadelphia, Team Harris had camped out in Pittsburgh. Once on stage, Harris framed her positions with an eye toward Pennsylvania. She expressly reminded the states 800,000 Polish-Americans of the threat Vladimir Putin poses to their ancestral homeland. Harris also reiterated her opposition to a fracking ban with the state on her mind. Lets talk about fracking because were here in Pennsylvania, she said at another point. I made that very clear in 2020. I will not ban fracking. Pennsylvania is Philadelphia and Pittsburgh with Alabama in between, James Carville, Bill Clintons campaign guru, wisecracked in 1991. Had Gov. Shapiro been on the ticket, navigating that terrain would be much easier. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. You are entitled to your opinion. But you are not entitled to your own facts. That famous line from Sen. Daniel Patrick Moynihan (D-N.Y.) remains a virtual mantra for politicians and pundits. Yet, judging from the presidential debate between former President Donald Trump and Vice President Kamala Harris, we have officially entered the post-truth political era. ABC News has been widely criticized for the bias of the two moderators Linsey Davis and David Muir. Even liberal outlets acknowledged that the two journalists seemed inclined to fact check only Trump. In the meantime, they allowed clearly false statements from Harris go unchallenged. Three of the unchecked claims are being widely disseminated by supporters, including some in the media. Here are three legal facts that are being repeated despite being clearly untrue. Crime is down under the Biden-Harris administration. One of the most notable slap downs by ABC followed Trump commenting that crime rates have drastically risen during the Biden-Harris administration. Muir immediately balked and declared: As you know, the FBI says overall violent crime is coming down in this country. Harris and her allies have been repeating the claim by ABC. But the actual statistics show that Trump was right. The Justice Departments released survey found that, under the Biden administration, there has been a significant increase in crime. Violent crime was up 37 percent from 2020 to 2023, rape is up 42 percent, robbery is up 63 percent and stranger violence is up 61 percent. Other reports had shown startling increases such as a doubling of carjackings in D.C. in 2023. Harris has not supported transgender operations for undocumented migrants. Some of the greatest mocking in the media concerned Trumps statement that Harris has supported transgender conversion treatment for undocumented persons. New Yorker staff writer Susan Glasser immediately wrote What the hell was he talking about? No one knows, which was, of course, exactly Harriss point. On CNN, Wolf Blitzer declared how outlandish it was for Trump to make such a claim. But its true. In 2019, Harris told the ACLU that she not only supported such operations but actively worked for at least one such procedure to take place. When it was reported by Andrew Kaczynski on CNN, host Erin Burnett was gobsmacked by the notion of taxpayer-funded gender transition surgeries for detained migrants. She actually supported that? Burnett exclaimed. Even the New York Times later admitted that the wildest sounding attack line from Trump was basically true. Harris does not support the right to abortion in the final three months of a pregnancy. Trump also hit Harris on her no-limits position on abortion rights, allowing women the right to abort a baby up to the moment of birth. Trump said Harris supports laws allowing abortions in the seventh month, the eighth month, [and] the ninth month, to which Harris retorted: Cmon, no, and thats not true, The hosts again said that Trump was making up his criticism of late-term abortions, including the risk of babies being born but allowed to die. But in fact, many states, including Minnesota under Gov. Tim Walz (D), protect the right of a woman to abort a baby into the ninth month. While it is often said that this is left to the mother and her doctor, the law gives the decision to the mother. Late-term abortions are relatively rare, but they do occur. A Centers for Disease Control and Prevention report estimated in 2019 that about 4,882 abortions were performed that year at least 21 weeks or later into pregnancy. More than a dozen states, in fact, allow on-demand abortions after a baby is viable and can even survive outside of the womb. Nine of those states permit abortions throughout the entirety of pregnancy. Harris has supported these state laws and certainly did not answer the question on what limits she would support, other than saying that she supports Roe v. Wade. To be sure, Trump did not help himself with his wilder claims. These included debunked accounts of Haitian migrants eating peoples pets in Ohio, which Ohios Republican governor, Mike Dewine, has denied. The issue is not fact-checking, but the failure to do so equally and accurately. ABC actually disseminated false information under the mantle of fact-checking, and thats a real problem. Moderator Linsey Davis admitted later that ABC did not want a repeat of what had happened in the last debate, wherein Trump was given free rein and the moderators limited themselves to asking questions and enforcing time limits. CNN was praised in that debate across the political spectrum for being even-handed. What is most striking about this election is that none of this seems to matter. Indeed, even the debate did not matter. While Trump can legitimately object to a three-against-one debate format, Harriss victory was clear not dependent on bad calls by the refs. However, there has been little overall movement in the polls, even though 67 million people were watching. The era of post-truth politics is evident in Harris repeating false claims about Trumps support for Project 2025 and debunked claims regarding his comments about an extreme-right Charlottesville rally in 2017. Leading Democrats continue to make these false claims, in some cases despite knowing that they are false. On the other side, Trump is making promises he has to know can never be fulfilled. For example, he has pledged to make flag-burning a federal crime with a penalty of two years incarceration. The Supreme Court, including conservatives like the late Justice Antonin Scalia, has ruled that flag burning is protected speech under the First Amendment. Neither a president nor Congress can change the meaning of the Constitution without amending it. With the help of the media, we have reduced our election to a political Slurpee. Its all sugar rush and no nutritional value. We now have pundits supporting the idea of no further debates and even arguing that Harris shouldnt give any interviews because its too risky. Rep. James Clyburn (D-S.C.) explained that Harris should avoid one-on-one media interviews because sometimes, you drill down into a question until theres a word thats uttered that can be used in a negative way. I suppose, as president, she will need to insist on meeting foreign leaders only in CNN town hall events. If you do not say anything, there are no facts to check. The election then becomes a vote over whether you are for or against joy. What is clear from the ABC debate is that citizens are on their own in the election to find actual facts and substance in the super-sized Slurpee of the 2024 election. Jonathan Turley is the Shapiro Professor of Public Interest Law at George Washington University. He is the author of The Indispensable Right: Free Speech in an Age of Rage (Simon & Schuster). Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. Most Americans havent heard of the post-liberal right, the small but influential group of conservative, mostly Catholic men who have declared that liberal democracy, the animating principle of Americas founding, has failed and want to bring about a new social order where there is no separation of church and state and men and a hyperconservative Catholicism reign supreme. They are disdainful of secularism and individual liberty. Just like Republican presidential nominee Donald Trump illustrated during Tuesday nights debate against Vice President Kamala Harris, these men idolize the authoritarian Viktor Orban, the prime minister of Hungary. Theyre also nostalgic for Spain as it was run by the dictator Franco and see Orbans government and Francos as potential models for the kind of regime they wish to install in the United States. The groups political priorities which include restricting access to contraception and divorce and banning marriage equality and pornography are wildly unpopular. And yet the Republican nominee for vice president, my former friend JD Vance, is a prominent voice of this fringe movement, as so many of his regrettable podcast interviews have demonstrated. To repeat, I once considered Vance a friend. We were in the same class at Yale Law School, he knew me as an openly trans person, and we remained in communication until 2021. Thats the year that he announced he would be running in the U.S. Senate race in Ohio the next year. Before running in post-liberal and neoreactionary circles, Vance was far less angry and extreme. He was also, as everybody will remember, riding the attention from his memoir Hillbilly Elegy and was a vocal and unambiguous critic of Trump, using words like idiot to describe Trump and reprehensible to describe his views on Immigrants, Muslims, etc. Sofia Nelson. Despite the time we spent as friends, I have no real insights (other than political expediency) into what drew him to post-liberal men like the academic Patrick Deneen, columnist Sohrab Ahmari, legal scholar Adrian Vermeule and expat journalist and author Rod Dreher, who was present for Vances baptism into the Catholic Church in 2019. What I do know is that Vance used to condemn Trumps racism and be empathetic to how such rhetoric made Americans feel unwelcome in their own country. But these men have had an obvious and heartbreaking effect on Vances worldview. The leaders in the post-liberal movement are elites steeped in classical and Catholic philosophy who fancy themselves warriors for the average man. Vermeule, for example, is explicitly against the separation of church and state, and believes the Catholic Church should have ultimate control over all moral questions. (Well, maybe not the church as its run by Pope Francis.) Vance and his intellectual mentors like Deneen are benefiting from the conflation of MAGA and post-liberalism, because if Americans truly understood post-liberalism, theyd realize it seeks to strip them of individual freedom. There is some policy overlap between MAGA and post-liberalism in their shared opposition, for example, to immigration and transgender rights. But the ideological overlap between the groups is a shared affinity for authoritarianism. The post-liberal right, which has goals that even MAGA Republicans would find extreme, is attempting to hijack the MAGA movement to push its own agenda. Consider: Trump, who has been married three times and divorced two times, proudly appeared on the cover of Playboy magazine. He was convicted of 34 counts of falsifying business records in a case that prosecutors successfully argued was about him trying to cover up an adulterous affair he had with an adult film star. He is not exactly an exemplar of Christian morality. More importantly, Trumps positions change with the tides of public opinion. They arent rooted in any religious or ideological convictions. ABC News Linsey Davis, a moderator at Tuesday nights presidential debate, pointed out that, according to Vance, Trump explicitly said hed veto a national abortion ban. Thats after Trump suggested in March that hed support such a ban. But not only did Trump not state a clear position at the debate, he said, I didnt discuss it with JD. This comes on the heels of him first indicating he would vote in favor of an abortion rights ballot initiative in Florida, only to say he would vote against it the next day. Unlike the MAGA movement, which is led by a candidate who is defiantly amoral, post-liberalism is steeped in a revolutionary religiosity. Its goals include replacing our social and political power structures with a new social order rooted in a misogynist understanding of gender, sexuality, marriage and reproduction closely tethered to Catholic social teachings. This is reflected in Vances obsession with birth rates and the way he belittles women without children. Some of the post-liberal rights priorities are reflected in Project 2025, but not even the Heritage Foundation, which is behind that project, has set its sights on trapping people in violent marriages by repealing no-fault divorce, as Vance and the post-liberal right seek to do. Post-liberalism, unlike MAGA, has no grassroots following. Most Americans arent Catholic, and most Catholics support the separation of church and state. But post-liberalism, despite its ideological and moral disdain for Trump, needs MAGA. To accomplish any of its goals, it must leech off of a populist movement. The movement needs to exploit Trumps popularity for its own unpopular aims. This may explain why Vance, who had more integrity when I knew him, abruptly flipped from calling Trump cultural heroin to the greatest president of his lifetime. Prior to MAGA gaining control of the Republican Party, the leaders of this movement, most notably Vance, were staunchly anti-Trump. And while the post-liberal right is excited one of its own has quickly risen through MAGAs ranks most leaders of post-liberalism still arent Trump fans. But they understand that its more feasible for them to co-opt the MAGA base than to organically organize a political base of their own. MAGA is far from a majority of the country, 42% of self-identified Republicans or roughly 14% of the county, but its a larger political force than post-liberalism could ever hope to build on its own given the unpopularity of its policy priorities. Post-liberalism further seeks to confuse the American people through its rhetorical support for labor unions, a definite break from mainstream Republican orthodoxy. However, Vance was booed by a group of union firefighters when he stated he sought to be part of the most pro-worker Republican ticket in history. Nobody whos pro-worker, as Vance claims to be, would team up with Trump, the candidate who laughed with Elon Musk about union busting. Nor would he oppose the Protecting the Right to Organize (PRO) Act, which would expand the right to organize. Hardworking union firefighters recognize Vances support for organized labor for what it is: hollow political rhetoric. A post-liberal devotee like Vance knows how to talk a populist game, but, like Trump, he has no interest in delivering for working people. His real devotion is to the culture wars. Instead of persuading Americans to support their ideas, leaders of the post-liberal right are covertly positioning themselves within MAGA to be the heirs of Trumps political base when hes off the scene. They seek to transform the GOP into a pro-theocracy party willing to ignore the Constitution and democratic norms. Those of us who dont want to live in a theocracy must look beyond just defeating Trump and must also seek to vanquish a post-liberal right. That also means defeating the ambitions of a classmate whom I once considered a friend. This article was originally published on MSNBC.com Four years ago, many Americans were outraged to learn that the U.S. Postal Service was cutting services, even as Donald Trump was making baseless claims about vote-by-mail. Genuine concerns among Democrats over the possibility of mail ballots being rejected for late delivery began to bleed into conspiracy theories about Trump-appointed Postmaster General Louis DeJoy, a North Carolina businessman who donated more than $685,000 to help put on the 2020 Republican National Convention. In the end, the Postal Service performed admirably, despite the surge in mail voting and the difficult circumstances. DeJoy was not, as some feared, a Trump lackey looking to tear down your local post office in order to hand the election to his favored candidate but more of a run-of-the-mill Republican appointee with an obsession for cutting costs and a tin ear for politics. As if to underline the point, he resurfaced in August to reassure voters that the Postal Service is ready to handle mail ballots in this year's presidential election. A reporter with The Associated Press asked whether he had learned anything from overseeing the crush of mail ballots in 2020, and his response was so tone-deaf he'd be kicked off "America's Got Talent" if he were singing it. Echoing every clueless executive trying to explain something away, DeJoy said the Postal Service needed to be bolder in its messaging. We have to be louder than the noise in communicating how well were going to do and that things are going to be OK. Things are going to be good. Were in a better operating position than we ever have been, he said. To be fair, DeJoy has a point. The Postal Service is on more solid financial footing than it's been in years, thanks in part to his helping shepherd an overhaul through Congress. And vote-by-mail remains a great way to cast your ballot, especially if you work long hours or take care of young children and might find it difficult to get to a polling place on Election Day. But a Postal Service watchdog and a bipartisan group of state elections officials have recently raised concerns about how the agency is training workers in handling mail ballots. These are legitimate concerns raised by serious people definitely not "noise" that can be addressed by being "louder." And DeJoy should respond by making an immediate, agencywide push to address them and reassure the public. First, in July, the Postal Service inspector general released an audit of its "election mail readiness" for the November election, which found inconsistent training and handling of mail ballots that could lead to some ballots' being rejected. To be clear, the report found that 97% of election and political mail was processed on time, so we're not talking about undermining democracy here. But this is an area where the goal should be 100%. No one should have their ballots thrown out because the local mail carrier didn't read the employee handbook closely. Then, on Wednesday, the National Association of Secretaries of State, a nonpartisan group of top state elections officials, sent DeJoy a six-page letter saying they had "serious questions" about lost or delayed election mail that could lead to some ballots' not being counted in November. We implore you to take immediate and tangible corrective action to address the ongoing performance issues," they wrote. It's important to understand the broader context here. One of the issues raised by the inspector general's report was that some postal workers didn't know that they were supposed to postmark mail ballots, which are handled differently from regular mail. But a number of states won't accept mail ballots that don't have legible postmarks, which is considered proof that the ballots were sent before Election Day. And in a close election, you can bet that some enterprising Trump supporter will raise conspiracy theories about unpostmarked ballots and sue to try to get them thrown out. The worst-case scenario is that an election is decided by whether or not those ballots are counted. But even in the best-case scenario, the fight needlessly undermines trust in the election and hurts the Postal Service's reputation. The Postal Service is just a piece of this puzzle. American elections would be better served if lawmakers didnt set unnecessarily restrictive rules for perceived political advantage, candidates didn't spread conspiracy theories, lawyers didn't try to get legitimate ballots thrown out for spurious reasons and postal workers were all trained in how to handle mail ballots properly. If you're a voter, you can do your part by casting your mail ballot early (don't wait until the final week before Election Day!) and carefully (make sure to sign the envelope!) or using a ballot drop box where available. But in the absence of all of that, DeJoy should make sure that the Postal Service is doing everything it can to get mail ballots delivered on time. Talking louder isn't enough. This article was originally published on MSNBC.com Tucker Carlson may have reached a disturbingly new low when he hosted a two-hour podcast with Darryl Cooper, a Nazi apologist whom he called the best and most honest popular historian in the United States. Coopers audacious claims that Winston Churchill, not Adolf Hitler, was the chief villain of the Second World War and that the Holocaust was essentially an accident prompted widespread indignation on the establishment right. National Review Executive Editor Mark Antonio Wright declared, No, Winston Churchill was not the chief villain of the Second World War, while Liz Cheney observed, No serious or honorable person would support or endorse this type of garbage. Others, such as Bari Weiss, have pointed to Pat Buchanan and his 2008 book Churchill, Hitler, and The Unnecessary War as the origin of conservatives revisionism about World War II and the Holocaust. Carlsons decision to wade into such murky waters shouldnt be a total shock. Over the past few years, Carlson, like others on the right, have embraced a populist-nationalist streak that has courted some of the darkest forces in American politics. This interview comes only a few months after top MAGA influencer Candace Owens declared that Israel was supplying arms for a Christian Holocaust; and two years ago, Donald Trump had dinner at Mar-a-Lago with the white nationalist and Holocaust denier Nick Fuentes, though he later said he was unaware of Fuentes identity. But the mounting attraction of todays America First movement to antisemitism and Holocaust denial has much deeper roots than the rise of Trump or his ideological predecessor Buchanan. It connects to a strain of the radical right that has been present for many decades, one that has nourished hostility to defending democracy at home and abroad. Throughout, a key element of this strain of thinking has been to depict liberals as communists a tradition many in the GOP continue to follow even if they dont all understand its radical roots. As Trump inveighs against Comrade Kamala Harris, he fits snugly into this tradition. The effort to sanitize the Nazis began in the late 1930s, when many on the right supported the America First movement, opposing not only entry into World War II but also any aid to Great Britain. The famous aviator Charles Lindbergh led the America First movement and was not simply an isolationist but pro-fascist. Politicians such as Herbert Hoover, who addressed the 1940 Republican convention, lauded Hitler as a force for stability in Central Europe. They claimed that Franklin Roosevelts New Deal, not the Third Reich, was the actual totalitarian threat to America. After World War II, leading journalists, businessmen and politicians on the right continued their war on democracy and liberalism by other means. Rather than accept that they had been dead wrong about World War II, they went on to soft-pedal Nazi war crimes, arguing that America should never have battled the Nazis and should have instead focused on combating communism, a left-wing threat. The real fascists, they said, were the treacherous liberals in the Roosevelt and Truman administrations who had handed over Eastern Europe to Soviet dictator Josef Stalin at Yalta in 1945 and were now intent on turning America into a socialist superstate. Only a week after the end of World War II, congressional Republicans such as Wisconsins Frank Bateman Keefe decried a basic fact sheet that the U.S. Army issued in March 1945 to warn soldiers about the dangers of fascism at home and abroad. I am fearful, Keefe said, that a new political gangsterism has been developed in this country which seeks to include as Fascists all individuals or organizations who dare to express dissent from the existing New Deal Order. Liberals, he said, backed true fascism. One target of their ire was the Nuremberg war crimes trials. The isolationist Ohio GOP Sen. Robert A. Taft, who criticized American entry into World War II four months after Pearl Harbor and was known as Mr. Republican, said that Nuremberg was a miscarriage of justice and that the hanging of 11 Nazis was a blot on the American record that we shall soon regret. Lurking behind this persuasion was not simply indignation at meting out retribution against Germans but also the belief that all along liberals not Nazis represented a clear and present danger to the republic. Antisemitism was easy to find amid the rhetoric. Like more than a few conservatives at the time, the influential activist and New York businessman Merwin K. Hart saw Jews and communists as synonymous, particularly those serving in the Roosevelt and Truman administrations. Appearing before the Free Enterprise Society at Harvard in 1948, he denounced the international Jewish group which controls our foreign policy and declared that Americas European allies were simply socialist moochers who refused to fend for themselves. Hart, who was a mentor to the conservative icon William F. Buckley Jr. and met regularly with Republican congressmen and senators, believed Zionists had Mr. Trumans administration in the hollow of their hand. The newspaper baron and staunch isolationist Colonel Robert R. McCormick, too, complained in 1946 about international Bankers in New York who were too influential in politics. He also published essays in the Chicago Tribune by John T. Flynn, who had helped found the American First Committee and who claimed that Roosevelt had lured the Japanese into attacking America at Pearl Harbor in December 1941. Similar themes preoccupied the publisher Henry Regnery, who had spent several years studying in Nazi Germany in the mid-1930s but found nothing amiss even as the Nuremberg Race Laws were passed and universities were purged of Jewish professors and students. In 1947, Regnery founded an eponymous publishing house. There he helped to pioneer Holocaust denial, drawing on the aid of the prominent historian Harry Elmer Barnes whom Deborah Lipstadt, the State Departments special envoy to monitor and combat antisemitism, has called the most direct link between the first generation of World War I revisionists and the Holocaust deniers that followed in their path. Regnery published books with titles such as What Price Israel? and The High Cost of Vengeance. In the latter, Freda Utley, a former British Marxist who had lived in Stalins Soviet Union during the 1930s, rehearsed the dogma that communism, not Nazism, was always the real peril confronting the West. She lamented that in occupying Germany, the Western allies had reduced the defeated enemy country to the status of an African colony. She went on to ask, Were the German gas chambers really a greater crime against humanity than our attacks on such nonmilitary objectives as Dresden? For good measure, she condemned the U.S. Army for its prosecution of members of the 1st SS Panzer Division Leibstandarte SS Adolf Hitler a unit that began as Hitlers personal bodyguard unit which had murdered 84 American POWs at Malmedy, Belgium, in December 1944 during Hitlers last military offensive in the Battle of the Bulge. A crucial figure in purveying these ideas to a wider public was Utleys friend and patron Sen. Joe McCarthy, the demagogic red baiter. In 1945, McCarthy had already expressed his concern about what he called the abuse of the Clearly innocent GI Joes of the German army. Whats more, McCarthys main financial backer as he ran for the Senate for the first time in 1946 was a German American businessman named Walter Harnischfeger who said the Nuremberg war crimes trials were worse than anything Hitler did. It beats Dachau. McCarthy, who was a skeptic of aiding the British before World War II, seized upon the Malmedy case to castigate the American military for defaming the Nazi defendants. In essence, McCarthy accused the U.S. military of conducting a witch hunt. When Maryland Sen. Millard E. Tydings, the chair of the Armed Services Committee, convened subcommittee hearings on Malmedy in March 1949, McCarthy attended them. The hearings, his biographer Ted Morgan wrote, provided a revealing glimpse of what McCarthy would later become in his anti-Red crusade. McCarthy suggested, for one thing, that the interrogators and judges at Malmedy had been Jews thirsting for revenge against the Nazis. Unscrupulous Jewish investigators, he suggested, had extracted confessions of German atrocities from the innocent SS soldiers by beating and torturing them. These non-Aryan refugees, he said, intensely hated the German people as a race. He inquired of one witness, If you were a German would you feel that you would be willing to have a matter of life and death decided by this man Rosenfeld? In the end, the subcommittee, to McCarthys indignation, decided that the verdicts convicting the Nazis of war crimes were indeed justified. Ultimately, the most pro-fascist and antisemitic sentiments were relegated to the conservative fringe, especially after Buckley purged the John Birch Society from the ranks of polite conservative society, though he did periodically have to squash renegades such as Joseph Sobran who wrote about the diabolization of Hitler. Defending Hitler or denying the Holocaust became beyond the pale among mainstream conservatives. Still, conservatives have remained happy to point to instances of what they view as liberal fascism. In 2015, for instance, Kevin Williamson, writing in the National Review, complained that Sen. Bernie Sanders was leading a national-socialist movement. More recently, the libertarian website Fusion published a defense of Joe McCarthy, suggesting that addled liberals have always refused to comprehend his righteous anti-communist crusade. Now, Carlson is taking trolling the libs a step further by attacking the taboos surrounding Nazism that formed the foundation of the postwar era in the West. He and others are reviving the affection for authoritarianism and the worst isolationist sentiments that percolated in the 1930s, including hostility to immigration and contempt for democratic governments. It offers a reminder that such sentiments have long festered on the right and that they are being fostered, or at least sanctioned, by key figures in the Republican Party, including Trumps running mate, JD Vance. The Ohio senator recently refused to criticize Carlsons willingness to reexamine the Holocaust, adding that while he doesnt share the views of Carlsons guest, Republicans want to promote free speech and debate rather than censorship. He will appear with Carlson at a campaign event in Pennsylvania later this month. In 2022, Illinois voters approved what they likely thought was an innocuous ballot measure, the Illinois Workers Rights Amendment, also called Amendment One. Little did Illinoisians know, this constitutional amendment was being tested as a blueprint for unscrupulous union executives to consolidate power nationwide. Passed with 59 percent support, Amendment One codified the fundamental right to organize and to bargain collectively in the Illinois Constitution. Moreover, the amendment explicitly prohibits any new law that interferes with, negates, or diminishes the right of employees to organize and bargain collectively over their wages, hours, and other terms and conditions of employment and work place safety. If you think this language seems broad and easily abused, youre right: The ambiguity is a feature, not a bug. By codifying an expansive fundamental right to collective bargaining, Amendment One gives unions carte blanche to expand and solidify their power and influence. Amendment One constitutionalizes virtually every union activity and allows collective bargaining agreements to override anything passed by the state legislature. Amendment One thus undermines democracy, shielding the right to collective bargaining from lawmakers and the voters who elected them. As a result, unions are, in the euphemistic language of Harvards Center for Labor and a Just Economy, less vulnerable to the political whims of changing legislatures. So without legal guardrails, unaccountable union bosses are now more powerful than elected Illinois lawmakers. And Amendment One also threatens worker protections that keep unions in check, such as the right to refrain from union activities. Though lauded as a victory for all workers, Amendment One offers few genuine benefits, especially to workers in the private sector. The National Labor Relations Act covers most private-sector unions already, and supersedes any conflicting state-level laws or constitutional amendments. So Amendment One is mostly redundant for trade unions. For government unions, however, Amendment One is a gloves-are-off boost. This measure affords them immeasurable power beyond traditional union activities, such as negotiating for increased wages and benefits for their members, to include the right to demand virtually anything in negotiations. And it preserves their right to strike and shut down government services to meet union demands. Illinois government unions have seized the opportunity to demand more than pay increases. The Chicago Teachers Union, described as one of the most powerful and progressive teachers unions in the country, has already made, to paraphrase its president, Stacy Davis Gates, audacious demands. In negotiating a new contract, the union has demanded $50 billion in provisions that have nothing to do with education, the workplace or their members compensation everything from carbon neutrality, installation of solar panels on schools, funding abortion, and building 10,000 affordable housing units. Unfortunately, this absurdity isnt limited to Illinois; union bosses have exported this amendments broad language to other states as well. In 2023, union-friendly Pennsylvania lawmakers introduced a bill proposing to add a right to collective bargaining to their state constitution. A nod to Amendment One, the Pennsylvania initiative was a word-for-word carbon copy of Illinoiss far-reaching measure. Though the Pennsylvania House of Representatives, narrowly controlled by Democrats, passed HB 950, the bill never gained traction in the state Senate. Similar legislation has appeared in California, Iowa, Minnesota and Vermont. Thus far, these copycat amendments have not become law, but more of them will surely surface nationwide because of Amendment Ones relative success. Meanwhile, one lawsuit may reveal the amendments limits. Legislative staffers for Democratic Illinois Speaker of the House Chris Welch, who supported Amendment One, filed suit against him in June, claiming the lawmaker refuses to honor Amendment One by not collectively bargaining with them. Welch has argued that state law prohibits legislative staff from unionizing and prevents collective bargaining for staffers. Welch claims that lawmakers must amend the law before his staff has union rights. This suit could be the first test of how broadly Illinois courts interpret the amendments creation of a fundamental right to unionize. Voters and lawmakers nationwide must remain vigilant to contain the pernicious legal language of Amendment One from spreading and infecting their own state constitutions. If not, this harmful amendment opens a door for union dominance that we cannot easily close. David R. Osborne is senior fellow of labor policy with the Commonwealth Foundation, Pennsylvanias free-market think tank. Mailee Smith is senior director of labor policy and staff attorney at Illinois Policy Institute, Illinois free-market think tank. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. An Orange County teacher who allegedly fathered a child with a teenage student decades ago has been placed on administrative leave as police investigate allegations of sexual abuse against him, authorities said. Reiko Wright, a former student at Loara High School, took to social media on Monday to share her allegations against Steve Graves who she said abused and impregnated her in the late 1980s. Steve Graves' photo on the Lexington Junior High School website. The school is part of the Anaheim Union High School District. (Lexington Junior High School / Anaheim Union High School District) Another of Graves' former students, Helen Lowney, also wrote on social media that she was sexually abused in 1987, when she was 16. Graves, now 61, would have been in his mid-20s at the time. Graves did not immediately respond to emails seeking comment Friday evening. A call to a number listed for him also was not returned. Anaheim police spokesperson Sgt. Matt Sutter said Wright and Lowney were friends at Loara High at the time of the alleged abuse. Both intend to pursue legal action against Graves if possible, he said. "While you saw him as the beacon of guidance and faith, I knew him as the predator who stole my innocence, impregnated me as a teenager, and left scars that run deeper than time," Wright wrote on Instagram. Read more: L.A. Unified to pay $24 million to three elementary students allegedly molested by teacher Wright alleged that Graves groomed her while she was a student at Loara High and used his position as a teacher and church leader in the community to grow closer to her. Wright later transferred to Gilbert High School in Anaheim, where she endured shaming from her classmates as she completed her senior year while pregnant. "He was the teacher who lingered at your child's desk a moment too long, the church leader who offered whispered condolences cloaked in concern," she wrote. Graves, most recently the band director at Lexington Junior High School, was placed on administrative leave by the Anaheim Union High School District on Aug. 29. The district said it is taking steps to fire him and notified Lexington families of the investigation Wednesday, according to a statement. The district's statement also said that the allegations had "revealed that paternity tests concluded that Graves had fathered a child with one of the students." "Keeping our students safe is a high priority on all of our campuses," the district said. "The sexual misconduct revealed in the social media posts is reprehensible. It violates the districts core values and fails to meet the high expectations we have for professional behavior by our staff." Read more: California 'Teacher of the Year' faces 14 charges in child sexual abuse case Graves also previously taught at Ball Junior High School. No other victims have come forward since detectives began investigating, Sutter said. We are working with [the] D.A.s office to see if we can file any charges, he said. At the same time, we are giving time to see if witnesses come forward or additional victims." Graves taught music in the Anaheim Union High School District for more than 20 years and worked as the band director at Lexington Junior High for more than a decade, according to a district webpage. He has received three "Teacher of the Year" awards from the district, the webpage said, as well as multiple honorary service awards from the Parent Teacher Assn. Anyone with additional information is encouraged to contact Anaheim police at (714) 765-1623 or Orange County Crime Stoppers (855) TIP-OCCS. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. PORTLAND, Ore. (KOIN) The Oregon Department of Motor Vehicles is responding to reports saying they erroneously registered more than 300 people to vote despite them not providing the proper proof of citizenship to do so. Young girl dies in NE Portland, fentanyl suspected The errors were first reported in Willamette Week. According to the report, 306 potential non-citizens were registered to vote through the Oregon DMV since 2021. In a statement, Oregon Driver and Motor Vehicle Services Administrator Amy Joyce said in part that of the list of people improperly registered, two have voted. Oregon DMV said that the two people who voted werent necessarily non-citizens when they voted but that they did not show proof of citizenship when they registered. The DMV is working with the Oregon Secretary of States Office to determine whether or not they were citizens when they voted. In Oregon, for those 16 and older, people who apply for or renew a state-issued drivers license, permit, or ID card are automatically registered to vote if they provide the appropriate documentation proving U.S. citizenship. 33K OR, WA Boeing workers begin strike The DMV said the error possibly happened when DMV staff inadvertently selected non-U.S. citizen IDs as proof of citizenship, such as a foreign passport or foreign birth certificate. Because having a U.S. version of either of those documents means a person is a citizen, the system included them in the file DMV sent to the Elections Division for the next step in the voter registration process, Joyce said. The error was spotted when the DMV was proactively analyzing this system in advance of the 2024 election. To prevent this unlikely error from occurring again, DMV immediately instituted a prompt in the data entry screen to ensure front desk staff accurately enter citizenship information and the agency has developed a third step (daily auditing of all transactions, after a two-step verification during the transaction) to verify materials are accurate before forwarding them to the Elections Division, Joyce said. The DMV said they completed an analysis of passport-based registrations, which were identified as being the most at-risk for the error. An effort by the DMV is also underway to verify birth certificate-based registrations, which could take up to two weeks to complete, officials said. UW: Covid lockdowns prematurely aged teen brains U.S. Rep. Andreas Salinas (D-Ore.) released the following statement asking for an investigation into the Oregon DMVs failure in order to protect elections integrity: Todays announcement from the Secretary of State that noncitizens were mistakenly added to Oregons voter rolls is disturbing. This is total malpractice on the part of the Department of Motor Vehicles and is completely unacceptable. I am calling on the state to act quickly to remove these individuals from the rolls, conduct a full and transparent investigation into how this occurred, and provide concrete steps they are taking to remedy this issue and prevent it from happening again. However, I want to emphasize that this was a bureaucratic error by the Oregon DMV and not a systemic attempt to cheat the system by organizations or individuals. The entire statement by Motor Vehicle Services Administrator Amy Joyce is below: In Oregon, people 16 years and older who apply for or renew a state-issued drivers license, permit, or ID card and provide appropriate documentation proving U.S. citizenship have their information sent to Oregons Secretary of State to complete the automatic voter registration process. The Oregon Motor Voter System at DMV includes a two-step review process by officials to verify registrants identity and residence and confirm their eligibility to vote. While proactively analyzing this system in advance of the 2024 election, DMV identified possible, though unlikely, causes for error. One example was DMV staff inadvertently selecting U.S. passport when the document was a foreign passport. The other example was inadvertently selecting U.S. birth certificate when the document was a foreign birth certificate. Because having a U.S. version of either of those documents means a person is a citizen, the system included them in the file DMV sent to the Elections Division for the next step in the voter registration process. DMV has completed an analysis of the passport-based registrations identified above as these appeared to be subject to the biggest risk for error. This analysis shows around .01% of the total universe of registered Oregonians were identified as incorrectly sent by DMV to the Elections Division at Secretary of State for Automatic Voter Registration. Initial analysis by the Oregon Secretary of State has identified that of the people on that list, two have voted. Importantly, this does not mean those individuals were not U.S. citizens at the time they voted just that they failed to provide proof of citizenship to DMV. The SOS office is working through that now. To prevent this unlikely error from occurring again, DMV immediately instituted a prompt in the data entry screen to ensure front desk staff accurately enter citizenship information and the agency has developed a third step (daily auditing of all transactions, after a two-step verification during the transaction) to verify materials are accurate before forwarding them to the Elections Division. Efforts to verify the birth-certificate based registrations remain ongoing and will likely take up to two weeks to complete. This analysis will be completed well before ballots are sent in Oregon. As a partner to Oregons Secretary of State, Oregon DMV is proud of the role it plays in helping U.S. citizens engage in our elections. While we regret this situation occurred, we moved swiftly to correct the issue, and we remain committed to strengthening the accessibility and reliability of our elections. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. After officially taking the helm at Starbucks (NASDAQ: SBUX) earlier this month, new CEO Brian Niccol laid out his plans to help turn around the struggling coffee shop operator in an open letter to customers, employees, and shareholders. Nothing Niccol laid out was particularly groundbreaking, but it is the plan's simplicity that I predict will help Starbucks get back on track and help boost its stock over the long run. Back to its roots In his open letter, Niccol said that under his leadership, Starbucks would focus on four main areas. His initial plan is to first concentrate on its U.S. business and help turn that around. The first area that Niccol said Starbucks will focus on is empowering its baristas to take care of its customers. He said this includes giving them the right tools to consistently make great drinks, while delivering them personally. Next, he said the company must get the morning right by delivering high-quality food and drinks in a timely manner, every time. Third, he wants Starbucks to go back to being a community coffee house by elevating the customer experience. And finally, he wants Starbucks to go back to telling its story. Ultimately, these four things are all aimed at improving both the employee and customer experience. While Starbucks obviously sells coffee and food, it has also long sold an experience. However, the experience part of its business has been lacking in the past few years under its prior leadership. This appears to stem from understaffed stores and overworked and unhappy baristas. Understaffed stores take out the personalized side of the experience, as workers rush to just fill orders as quickly as possible with little customer interaction. It also generally makes workers unhappy, which negatively affects the customer experience as well. This also created long wait times, which caused customer frustration. In past quarters, Starbucks has noted that it saw customers cancel online orders placed in the morning due to wait times. In his letter, Niccol said the company will be making investments in technology and its supply chain to help enhance the employee and customer experience, and improve its app and mobile ordering system. He'll also spend time in stores and customer support centers during his first hundred days. While not directly stated, it wouldn't be surprising if the company will need to also invest in more baristas, as technology alone likely will not completely solve its issues. And if Niccol wants Starbucks to return to the coffee house experience, it needs properly staffed stores. Equipment to help speed up the drink-making process, and better staffing management solutions, can also help, but the company still needs enough workers to give that personalized experience. Story continues After tackling its issues in the U.S. first, Niccol said he then will look toward improving international markets. China, Starbucks' second-largest market, is a priority. He said the company needs to capitalize on its strengths in the market and begin to understand the path to capture growth in the country. Image source: Getty Images. Is it time to buy the stock? Niccol hit on most of the big points of what I think is needed to help fix Starbucks, outside of investing in more baristas. Given that, along with his track record as CEO at Chipotle Mexican Grill, I believe his plan to fix the U.S. business will work and that the stock will benefit nicely from it in the years ahead. Bringing back the Starbucks experience, and fixing its mobile ordering issues, were the top two things needed to help revive the company's struggling U.S. business. China could be trickier given the competitive dynamics in the country, but Niccol needs to fix the U.S. business first. It also wouldn't be surprising to see him spin off the China business, much the way Yum! Brands did with Yum China. SBUX PE Ratio (Forward 1y) Chart While Starbucks' stock has bounced higher following the hiring of Niccol, at a forward price-to-earnings (P/E) ratio of 24 based on next year's analyst estimates, the stock is still trading below where it has often traded over the past few years. With Starbucks now having a solid plan, I would be a buyer at current levels before signs of a turnaround take hold and take this restaurant stock even higher. Should you invest $1,000 in Starbucks right now? Before you buy stock in Starbucks, consider this: The Motley Fool Stock Advisor analyst team just identified what they believe are the 10 best stocks for investors to buy now and Starbucks wasnt one of them. The 10 stocks that made the cut could produce monster returns in the coming years. Consider when Nvidia made this list on April 15, 2005... if you invested $1,000 at the time of our recommendation, youd have $730,103!* Stock Advisor provides investors with an easy-to-follow blueprint for success, including guidance on building a portfolio, regular updates from analysts, and two new stock picks each month. The Stock Advisor service has more than quadrupled the return of S&P 500 since 2002*. See the 10 stocks *Stock Advisor returns as of September 9, 2024 Geoffrey Seiler has no position in any of the stocks mentioned. The Motley Fool has positions in and recommends Chipotle Mexican Grill and Starbucks. The Motley Fool recommends the following options: short September 2024 $52 puts on Chipotle Mexican Grill. The Motley Fool has a disclosure policy. Prediction: The New Starbucks CEO's Turnaround Plan Will Boost the Stock was originally published by The Motley Fool (Getty Images) The Oregon agency that issues drivers licenses erroneously registered more than 300 non-citizens to vote, though state officials say only two people actually cast ballots. State Elections Director Molly Woon told the Capital Chronicle that she received a call late Thursday afternoon from the administrator of the Driver and Motor Vehicle Services division of the Oregon Department of Transportation, telling her that DMV staff caught a data entry error that led to the division wrongly classifying some noncitizens as citizens. State election officials spent Friday reviewing that information and working with county clerks to ensure those people wont receive ballots unless and until they prove theyre eligible to vote. The error is not large enough to impact the outcome of the states elections more than 3 million people are registered to vote in Oregon, and the 306 cases identified by state officials are 0.01% of the states voters. Ben Morris, chief of staff to Secretary of State LaVonne Griffin-Valade, said the DMV is continuing to investigate whether there are any more issues, and there is time to rectify any additional mistakes. Ballots wont be mailed to voters for another month. We can say that this error will not impact the 2024 election, and I want to emphasize that point as much as possible. This error will not impact the 2024 election, he said. The error, first reported by Willamette Week, resulted from DMV staff erroneously marking noncitizens who obtained drivers licenses as citizens, such as by miscategorizing foreign birth certificates as U.S. birth certificates and foreign passports as U.S. passports. Since January 2016, Oregon has automatically registered citizens to vote when they obtain or renew drivers licenses, permits or nonoperating IDs. A 2019 state law, meanwhile, eliminated a requirement that applicants prove theyre citizens or legal residents when obtaining a drivers license. People applying for drivers licenses need to bring proof of their identity like a birth certificate, passport, naturalization documents or visa as well as proof of an Oregon address, such as a utility bill or rental agreement. Only applicants who provide documents that prove citizenship, like a U.S. birth certificate, U.S. passport or certificate of naturalization, are supposed to be registered to vote. DMV administrator Amy Joyce said in a statement that the DMV discovered the error while proactively analyzing its system ahead of the 2024 election. The erroneous registrations it identified were based on foreign passports being entered as U.S. passports. The department is continuing to go through registrations tied to birth certificates, and that may take another two weeks, she said. The DMV added a new prompt on its data entry screen to ensure front desk staff accurately enter citizenship information and has begun daily auditing of all transactions to verify materials are accurate before sending them to the Elections Division, Joyce added. Woon said the secretary of states office is continuing to look into the two people who were not citizens when they were automatically registered to vote and will forward the issue to the start Department of Justice if it appears they violated the law. I want to emphasize that these folks were registered by no fault of their own, she said. I think that will certainly be taken into consideration. The first order of business, however, will be to see if the citizenship status of these people has changed. Because these records date from 2021 to today, its possible that their status has changed, and perhaps they are citizens, and thats why they have a voter record. Well need to do a little bit more digging to sort that out first. Gov. Tina Kotek said in a statement that she has been fully briefed on the situation and will continue to closely monitor it. She noted that it would affect 0.01% of the states registered voters and will not impact the 2024 election in any way. Oregons electoral system is one of the most secure, effective and accessible in the nation, Kotek said. The error in data entry which may have affected the voter eligibility of some Oregonians voter registration was discovered because the Oregon DMV and the secretary of state were doing their due diligence ahead of the 2024 election. Griffin-Valade said safeguarding the integrity of elections is her top priority, while praising automatic voter registration. When my office was made aware of this error, we moved quickly to update the voter rolls, she said. I am also personally calling on the DMV to take immediate action to improve its processes to ensure this doesnt happen again. Automatic voter registration has been hugely beneficial for thousands of eligible Oregon voters to ensure access to our democracy. Im confident the DMV is rectifying this error and improving their process, so it doesnt happen again. State Rep. Janelle Bynum, D-Clackamas and the Democratic nominee for the 5th Congressional District, called for a full-scale investigation into the reports. Any errors in this process are unacceptable, and the office of the Secretary of State must be held responsible for any such lapses. I will relentlessly defend our laws and safeguard the integrity of our elections, she said. This article was first published by the Oregon Capital Chronicle, part of States Newsroom, a nonprofit news network supported by grants and a coalition of donors as a 501c(3) public charity. Oregon Capital Chronicle maintains editorial independence. Contact Editor Lynne Terry for questions: info@oregoncapitalchronicle.com. Follow Oregon Capital Chronicle on Facebook and X. PORTLAND, Ore. (KOIN) With so many state leaders attempting to ditch Daylight Saving Time in the Oregon legislature, many residents are left wondering: Will Oregon still fall back this year? Senate Bill 1548 would have let Oregonians living in the Pacific Time Zone stay on Standard Time for all 12 months of the year by permanently booting Daylight Saving Time, which is already a practice in Hawaii and Arizona. How can we expect La Nina to impact Oregons winter in 2024? After amending the bill to require California and Washingtons agreement, the bill made its way to the Senate and passed. However, when it made its way to the House, it lost momentum. That means Oregon will still set the clocks back an hour on Sunday, Nov. 3 just two days before Election Day. When to expect fall foliage in the Pacific Northwest in 2024 Since March 10, most U.S. residents have had their clocks sprung forward to allow the sun to rise and set later in the day than the rest of the year. However, if SB 1548 were to reach an agreement in the Oregon House in the future, this practice would end. Back in 2019, another attempt to make Oregon switch to a permanent Daylight Saving Time was held up when it required that California and Washington make the same decision by 2029. However, that bill did not hold up when it reached a federal level. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. (KRON) The victim in a fatal shooting in Orinda Thursday has been identified. Scott Decker, a 50-year-old resident or Orinda and Truckee, was shot and killed in the area of Las Vegas Road and La Espiral shortly before 11 a.m. Thursday. Kamala Harris destroyed San Francisco, Trump claims Officers detained another individual at the scene who has only been identified as an Orinda resident. That person has been interviewed and is no longer being detained, according to the Contra Costa County Sheriffs Office. They did not specify whether that person was a suspect or a witness. Shootings of this nature are extremely rare in Orinda. On Thursday, residents told KRON4.com that the neighborhood was typically quiet. Some neighbors said they heard tires squealing, yelling, and something that sounded like gunshots around the time of the incident. The Orinda Police Department and the Contra Costa County Sheriffs Office are continuing to investigate the incident. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. OSWEGO, N.Y. (WSYR-TV) The Oswego County Board of Elections (BOE) wants to remind residents to make sure they are registered to vote ahead of this years November election. To vote in person or by mail in the 2024 General Election or local elections people in New York State must be registered to vote by the October 26, deadline. This year Oswego County BOEs office staff and volunteers will be hosting additional hours to make it easier to sign up to vote. Latest local news The BOE will hold a voter registration day runs from 2 p.m. to 9 p.m. on Saturday, Sept. 28 at the following two locations: Oswego County Board of Elections, 185 E. Seneca St., Oswego Oswego Town Hall, 2320 Co. Rte. 7, Oswego The BOE will also be holding a second voter registration day that runs from 9 a.m. to 1 p.m. on Thursday, Oct. 10 at the same locations. To learn more about voter registration, where to go to vote, or how to vote early by mail, go to the Board of Elections Website. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WSYR. A river otter dragged a child from a marina dock before pulling him underwater and biting him in a rare attack. The boy and his mother were walking along the dock at the Bremerton Marina in Washington State on Thursday when the animal attacked. The young boy was dragged underwater, resurfacing after a few moments. As his mother lifted him out of the water, the otter continued to attack and bit her on the arm. The otter then continued to pursue the family as they left the marina, according to the Washington Department of Fish and Wildlife (WDFW). The child was scratched and bitten on the top of his head, face and legs and was treated for his injuries in hospital. A river otter was trapped at the scene and was due to be taken to the Washington Animal Disease Diagnostic Lab for further evaluation, including testing for rabies. We are grateful the victim only sustained minor injuries, due to the mothers quick actions and childs resiliency, said WDFW Sergeant, Ken Balazs. We would also like to thank the Port of Bremerton for their quick coordination and communication to their marina tenants. River otters can be found in fresh, brackish or saltwater habitats and are common throughout Washington. The animal is classified as a furbearer in the state, meaning they are a game species which can be trapped. The animals can be territorial and unpredictable. The US Department of Agriculture Wildlife Services works to trap and lethally remove river otters from the marina, the fish and wildlife agency said. There have been six documented incidents between humans and river otters in Washington in the last decade, according to WDFW. Three women were attacked in 2023 by an otter while floating down a river in Montana. One of the women had more serious injuries and was airlifted to hospital. While attacks from otters are rare, otters can be protective of themselves and their young, especially at close distances. They give birth to their young in April and can later be seen with their young in the water during the summer, the Montana Department of Fish, Wildlife and Parks said at the time. They may also be protective of food resources, especially when those resources are scarce. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. MARIPOSA, Calif. (KSEE/KGPE) Over 3,800 marijuana plants were found in Mariposa County on Tuesday after law enforcement executed search warrants on eight illegal growing operations, according to the Mariposa County Sheriffs Office. According to the Mariposa County Sheriffs Office, 3,822 plants were discovered and one man was apprehended. That these are not just our local citizens, wrote the Sheriffs Office on social media. These grows are sophisticated cartel drug trafficking organizations bringing criminals to our community and damaging properties. Deputies say one of the properties has been searched three times this year alone, and several of the properties have been visited multiple times over the past several years. These illegal operations are growing year round. They keep us busy, added the Sheriffs Office on social media. Someday these drug trafficking operations will get the hint that theyre not welcome in Mariposa County. Until then, our teams will continue weed whacking. Mariposa County Sheriffs Offices Marijuana Enforcement Team, the Mariposa County Probation Department, and the California Fish and Wildlife Officers worked together on Tuesdays operation. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. This article is made possible through Spotlight PAs collaboration with Votebeat, a nonpartisan news organization covering local election administration and voting. Sign up for Votebeats free newsletters here. HARRISBURG Pennsylvanians who vote by mail must write a proper date on their ballot envelopes for their votes to be counted, the state Supreme Court said in a decision Friday that could affect thousands of voters this November. The decision voids an Aug. 30 Commonwealth Court ruling that enforcing the requirement on ballot dating violated voters rights under the state constitution. The state Supreme Courts 4-3 decision was based on whether the lower court had jurisdiction in the case, not on the merits of the underlying claim, meaning that the constitutional argument could be made again in court. Republicans who appealed the lower courts decision argued to the state Supreme Court last week that the petitioners failed to include all counties as parties to the case, among other claims. The original suit, brought by the ACLU of Pennsylvania and the Public Interest Law Center on behalf of a coalition of voting rights groups, targeted the Pennsylvania Department of State, Allegheny County, and Philadelphia. The Commonwealth Court lacked subject matter jurisdiction to review the matter given the failure to name the county boards of elections of all 67 counties, the state Supreme Court wrote in its order Friday. The inclusion of Secretary of the Commonwealth Al Schmidt as a named party was not enough to give Commonwealth Court jurisdiction in the case, the order said. Adam Bonin, a Philadelphia-based election lawyer who was not part of this case but has represented Democrats in other lawsuits challenging the dating requirement, said that, barring another ruling, voters will need to write a date on their ballot return envelope in order for their ballots to be counted. In a statement to Votebeat and Spotlight PA, Gov. Josh Shapiro said it was unfortunate the court did not side with voters. It should be clear that voters who make an inconsequential dating error deserve to still have their valid vote counted, he said. One of the groups that appealed the Commonwealth Court ruling called the decision a victory for election integrity in a critical swing state. This is a huge win to protect the vote in Pennsylvania that will protect commonsense mail ballot safeguards and help voters cast their ballots with confidence, RNC Chair Michael Whatley said in a statement. Justice David Wecht dissented with the majority, and called for the state Supreme Court to address the constitutional claims directly and quickly, given the fast-approaching Nov. 5 election. The court should exercise its kings bench authority to bypass the normal judicial process and have the parties submit briefs on the issue directly to the high court, Wecht said. He was joined in his dissent by Chief Justice Debra Todd and Justice Christine Donohue. Steve Loney, senior supervising attorney for the ACLU of Pennsylvania, said his group would continue its fight on behalf of the voting rights groups that brought the case. These eligible voters who got their ballots in on time should have their votes counted and voices heard, he said in a statement. The fundamental right to vote is among the most precious rights we enjoy as Pennsylvanians, and it should take more than a trivial paperwork error to take it away. A spokesperson for the ACLU said the groups involved had not decided what their next move would be or whether to seek a direct ruling on the constitutional claim from the state Supreme Court. Federal judges have gone back and forth over whether enforcing the dating requirement violated federal voting law. The current case is the first to directly challenge this requirement under the state constitution. Its the latest of several challenges to the dating requirement since the state implemented its mail voting law, Act 77, in 2020. Act 77 required voters to sign and date the outer return envelope of their mail ballots, and return the ballot in a secrecy envelope, in order for them to be counted. Thousands of ballots are rejected each election for dating issues. During the April primary, counties rejected roughly 8,500 ballots, or 1.22% of those returned, for lacking a signature or date, or for being returned without a secrecy envelope, according to an analysis of Pennsylvania Department of State data. More than 4,400 of those were rejected for dating issues. The ACLU and the Public Interest Law Center initiated the challenge earlier this summer on behalf of a coalition of civil rights groups, arguing that enforcing the date requirement violated the free and equal elections clause of the state constitution. That clause says that no power, civil or military, shall at any time interfere to prevent the free exercise of the right of suffrage. In August, a majority of a five-member panel of Commonwealth Court judges agreed with them. The refusal to count undated or incorrectly dated but timely mail ballots submitted by otherwise eligible voters because of meaningless and inconsequential paperwork errors violates the fundamental right to vote recognized in the free and equal elections clause, Judge Ellen Ceisler wrote for the 4-1 majority. The state Supreme Courts ruling means the requirement is back in place, Bonin said. Bonin noted that the challenges to the date requirement are still active on multiple tracks. An NAACP challenge to the requirement under federal law could be appealed to the U.S. Supreme Court, he said, and he is also representing clients challenging the requirement in a separate case. The Pennsylvania Department of State was named as a defendant in the latest case, but did not defend the date requirement. In a statement, the agency said the decision was disappointing and left an important question unanswered. The Department hopes that this question is answered as soon as possible, for the sake of the voters and our county election administrators preparing for the upcoming presidential election, the statement said. BEFORE YOU GO If you learned something from this article, pay it forward and contribute to Spotlight PA at spotlightpa.org/donate. Spotlight PA is funded by foundations and readers like you who are committed to accountability journalism that gets results. LAS VEGAS (KLAS) A Facebook post from a nonprofit organization connected to a North Carolina pastor arrested in Las Vegas appeared to deny reporting about his arrest on weapons and drug charges, saying Thursday: The truth will all come out in due season. As the 8 News Now Investigators first reported last week, Metro police arrested David McGee, 61, an evangelical pastor, for allegedly having guns and drugs in a hotel room in an incident that initially sparked a counter-terrorism response. McGee faces drug and gun-related charges, all felonies, connected to his Aug. 20 arrest at the Strat Hotel Casino & Tower, records said. McGee was the senior pastor at the closed The Bridge Fellowship, outside Winston-Salem, North Carolina. Though its building shuttered in 2023, the evangelical ministry continues online and through radio programs. A Facebook page connected to McGees work and the church with more than 24,000 followers includes posts that appear to be posted by McGee and others. On Aug. 20, drug and counter-terrorism detectives responded to the hotel after McGee reported a piece of property missing, documents said. McGee told police he was visiting Las Vegas from North Carolina and had arrived in a private jet to find his daughter. When officers asked McGee if he had any weapons in his room, he reportedly replied, Yes, I have a gun in my guitar case, documents said. Hotel employees had previously warned McGee about the policy after he allegedly brought a shotgun to his room days earlier. The discovery of the firearms, including an AR-15 with a scope, prompted responding officers to suspect McGee was planning a 1 October-style shooting, documents said. Since the 2017 mass shooting, Metro police have investigated suspicious situations where multiple firearms are located inside hotels on the Las Vegas Strip, police said. Inside the hotel room, police said they found suspected fentanyl pills and powder, they said. In the days before his trip to Las Vegas, McGee posted on the Facebook page that he was traveling to find his daughter who he believed was living in a flood control tunnel. McGee and his wife have not responded to repeated requests for comment. McGee has not posted since Aug. 20. Records said that he did not appear for his probable cause hearing in Las Vegas Justice Court on Aug. 21 due to medical records. A judge did not set bail in the case as prosecutors had not filed a criminal complaint. McGee was due to return to court on Dec. 19. Metro police did not take a booking photo of McGee when they brought him to the Clark County Detention Center. In the days before his trip to Las Vegas, McGee posted on a public Facebook page that he was traveling to find his daughter who he believed was living in a flood control tunnel. (Facebook) You may have read and/or heard some disturbing news recently, a post on Facebook posted Thursday said. Please understand that there is much incorrect, misleading, and incomplete information and implications in what is being reported. The truth will all come out in due season. Be patient, kind and loving. Love God, love people. Sensational headlines sell newspapers, increase clicks, and drive-up ad revenue. None of the money flowing to those publishing these stories will go towards rescuing Pastor Davids daughter, or any of the other 2,000 people every year that go to Las Vegas and end up dead, not to mention destitute. Such stories, however, will be used to try to tear down the good work of building Gods kingdom. It was not clear who posted the message on the page Thursday. In the days after the 8 News Now Investigators reporting, several current and current church members inquired with 8 News Now about McGees arrest. 8 News Now Investigator David Charns can be reached at dcharns@8newsnow.com. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KLAS. A growing number of liberal states have passed paid sick leave laws in recent years, assuring workers get paid time off to care for themselves or their loved ones when theyre ill. Now some conservative states might be getting in on the act, too. Campaigns in Nebraska, Missouri and Alaska have secured enough signatures to put sick leave measures on their ballots this November. If voters approve them, the laws would let workers start accruing one hour of paid sick time for every 30 they work, capped at 56 hours per year at large employers and 40 at small ones. More than a dozen states have similar mandates on their books, according to A Better Balance, a nonprofit advocate for fair and supportive workplaces. But none of those states are as red as Nebraska, Missouri or Alaska, all of which former President Donald Trump won handily in 2016 and 2020. If the ballot measures succeed, it would demonstrate just how popular paid sick days are among the general public. What weve realized in talking to thousands of Nebraskans is that this is a really commonsense issue, said Jo Giles, director of the Womens Fund of Omaha, one of the advocacy groups backing the initiative in Nebraska. Most people have been sick at some point in their working lives and have needed to take time off. Giles said the typical voter sympathizes with someone who has to choose between a days pay and taking care of a child whos home sick from school. The campaign, called Paid Sick Leave for Nebraskans, includes small-business owners who havent balked at the idea of a new mandate, she added. What weve realized in talking to thousands of Nebraskans is that this is a really commonsense issue.Jo Giles, director of the Womens Fund of Omaha The U.S. is an outlier among wealthy countries in not guaranteeing workers sick leave or other paid time off. The lack of a federal mandate means employers dont have to offer any paid time off unless there is a state or local ordinance dictating otherwise. (The Family and Medical Leave Act of 1993 guarantees extended leave under certain circumstances, but it doesnt have to be compensated.) About 80% of workers have access to paid sick days, meaning 1 in 5 dont, according to estimates from the Bureau of Labor Statistics. And those who lack them fall disproportionately near the low end of the pay scale: Only 40% of workers in the bottom decile of wages can call out sick and still get paid. Richard von Glahn, a spokesperson for the Missouri campaign, called Missourians for Healthy Families and Fair Wages, said the people who need the mandate most are those who were referred to as essential throughout the COVID-19 pandemic: child care workers, restaurant workers, retail workers, etc. Access to paid sick days is definitely something that has a class element to it, said von Glahn, whos also political director at the worker center Missouri Jobs With Justice. For people who are making over $100,000 a year, its very common. But its not as common for frontline workers who really drive the economy. Lower-wage workers, like those in restaurants, are the least likely to have access to paid sick days. Kobus Louw via Getty Images Polling shows that voters tend to really like the idea of requiring employers to provide workers with paid leave and that support for the policy tends to cross party lines, much like boosting the minimum wage. A majority of states, including some red ones, now mandate a higher minimum wage than the federal level of $7.25 per hour, thanks in large part to statewide referendums. This years sick leave initiatives in Missouri and Alaska pair the proposals with minimum wage hikes that would send the state rates to $15 per hour within a few years. The current state rates are $12.30 in Missouri and $11.73 in Alaska. Using ballot measures makes a lot of sense for paid leave advocates since the strategy provides a way around Republican-dominated statehouses that wont advance paid leave legislation. Such proposals have fared well when put directly to voters in other states, though not all states allow referendums. Backers of the Nebraska and Missouri initiatives have been frustrated by how little traction paid-leave bills have gained in their legislatures, typically withering away in committees without debate. Republicans control both statehouses and tend not to put new mandates on businesses, even if the concepts might be popular. Our legislature just turns a blind eye to the bills that really are about peoples day-to-day struggles and what they need, von Glahn said. Thats why weve had to turn to the ballot initiative process. The paid-leave proposals have received backing not just from labor groups but from faith and public-health groups as well. The Missouri campaign says it has received endorsements from the Missouri Psychological Association, the states Episcopal diocese and the March of Dimes, among dozens of other entities. Access to paid sick days is definitely something that has a class element to it.... Its not as common for frontline workers who really drive the economy.Richard von Glahn, spokesperson for Missourians for Healthy Families and Fair Wages So far, there have been no organized public campaigns against the initiatives in Nebraska, Missouri or Alaska. Businesses still have nearly two months to mobilize, but, given the polling, they may find it to be a losing battle not worth spending money on. I do expect once we see the outcome of these elections that there will be more interest in other states, said Jared Make, vice president of A Better Balance, which advocates for paid leave. Democrats have been pushing unsuccessfully for a federal paid leave law for years. Their latest proposal, the Healthy Families Act, would enable most workers to earn one hour of paid sick leave for every 30 worked, capped at 56 hours per year. But the legislation is unlikely to go anywhere so long as the GOP controls the House or can filibuster in the Senate. The Senate version of the bill has 43 Democratic co-sponsors but not a single Republican. Make said so long as such roadblocks exist in Washington, advocates will continue pushing referendums and legislation on the state level. While were continuing to work for nationwide action, we also cant wait, he said. Too many workers dont have this basic right. Related... Palestinians stand in the courtyard of a school after an Israeli attack at the school of United Nations Relief and Works Agency for Palestine Refugees in the Near East (UNRWA) at Nuseirat Refugee Camp. Omar Ashtawy/APA Images via ZUMA Press Wire/dpa Palestinian doctors on Saturday said at least 11 people have been killed in Gaza City after an Israeli airstrike hit a family house. Among the victims were four children and three women, medics in a Gaza hospital said. The strike reportedly hit a house in the Tuffah neighbourhood. The information cannot be independently verified, and the Israeli military is yet to comment on the incident. Palestinian figures suggest more than 41,000 people have been killed in the Gaza Strip since Israel launched its assault on the territory in response to the October 7 attacks by the Palestinian militant group Hamas. By Gleb Bryanski, Darya Korsunskaya and Gleb Stolyarov MOSCOW (Reuters) - Russian officials and managers are perplexed by President Vladimir Putin's surprise threat to limit exports of strategic commodities in response to Western sanctions, and wonder if it can be realised without hurting Russia more than the West. Putin made the suggestion 23 minutes into a routine government meeting on Wednesday, asking Prime Minister Mikhail Mishustin to come up with some ideas that would not harm Russia's interests. Yet many of Russia's commodities such as oil, gas, nickel, titanium, gold and diamonds are already subject to various levels of voluntary or mandatory import restrictions or even bans imposed by the West itself. Russian government officials and company executives were caught off guard. "Everyone is in a state of shock," said a source in one of the affected companies, who spoke on condition of anonymity due to the sensitivity of appearing to criticise the president. "This initiative came really out of the blue." Another company source said: "It's like shooting yourself in the foot." Cutting exports of uranium, nickel and titanium - which Putin mentioned explicitly - would cut the foreign currency earnings of Russia's biggest firms including state-owned industrial conglomerate Rostec, state nuclear monopoly Rosatom and Nornickel, the world's top producer of refined nickel. Together, they employ about a million people, and their revenues have already been affected by Western sanctions. Nornickel's first-half profit fell by 22% as it tries to pivot its exports to Asia. It has described the combination of low nickel prices and Western sanctions as a "perfect storm". The global market price of nickel did blip upwards on Putin's comments - but the current wealth of supply means it is far below historic highs. A Russian government source, who also spoke on condition of anonymity, said any ban should spare so-called "friendly" countries including China, Russia's major trading partner. URANIUM RESTRICTIONS COULD HURT WEST The source stressed that it would take some time for the government to develop the plan - and that an order from the president to do so had yet to reach the government. Another source close to the government added that Putin had "asked for a plan to be worked out, not implemented". Major Russian commodities producers declined to comment. Russia and the West are entering a new level of confrontation over the Ukraine war and Russia is pondering ways to respond to what it says is almost certain Western approval for Ukraine to strike deep into Russia using Western weapons. Story continues Putin said that if Russia went ahead, the measure would not be limited to uranium, nickel and titanium. He noted that Russia has 22% of the world's natural gas reserves, 23% of gold reserves, and 55% of global diamond reserves. Uranium may be the one commodity where restrictions could genuinely hurt the West. Russia accounted for 27% of the enriched uranium supplied to U.S. commercial nuclear reactors last year. While the U.S. has in theory banned imports of the fuel from Russia, it also provided for waivers through 2027 as it expands its own enrichment facilities. Yet Europe has largely weaned itself off the Russian gas it used to depend on. Group of Seven and European Union bans on imports of Russian-origin diamonds forced Moscow to buy up diamonds from its sanctions-hit producer, Alrosa. And all Russia's major gold producers are already under Western sanctions. Meanwhile, Russia's largest titanium sponge maker VSMPO-AVISMA, partly owned by Rostec, has been struggling to find replacements for supplies of titanium concentrate from Ukraine, with which Russia is at war. Putin himself emphasised that restrictions would not happen "tomorrow", and that they must not harm Russia's interests. On Friday, Kremlin spokesman Dmitry Peskov stressed the as-yet hypothetical nature of the idea. "There are no specifics yet, it was a proposal to think about it without harming ourselves, without damaging our own interests," he said. "They (the government) will consider it." (This story has been refiled to remove extraneous text, in paragraph 2) (Reporting by Gleb Bryanski, Dasha Korsunskaya, Gleb Stolyarov; writing by Gleb Bryanski; editing by Guy Faulconbridge and Kevin Liffey) A paramedic who was convicted in the 2019 death of 23-year-old Elijah McClain has been released from prison after a judge reduced his sentence on Friday. Peter Cichuniec, 51, was accused of administering an excessive amount of ketamine to sedate McClain after an encounter with police in August 2019 in Aurora, Colorado. He was found guilty in December 2023 of assault in the second degree for the unlawful administration of drugs and criminally negligent homicide. Judge Mark Warner sentenced Cichuniec in March to five years in prison for the assault conviction, the minimum possible, and one year on the criminally negligent homicide conviction, to run concurrently. He had faced upward of 16 years behind bars. On Friday, Warner reduced the sentence, converting it to four years of probation, according to The Associated Press. PHOTO: Lawyers console paramedic Peter Cichuniec after his sentence is read, March 1, 2024, in Brighton, Colo. (Colorado State Court via AP) A spokesperson for the Colorado Department of Corrections confirmed to ABC News Friday evening that Cichuniec is no longer in their custody. Warner said during a hearing Friday that there are "unusual and extenuating circumstances and they are truly exceptional in this particular case," according to The Denver Post. ABC News has reached out to Cichuniec's defense attorneys for comment. The ruling comes after the defense filed a motion to modify Cichuniec's sentence under a provision in state law that allows a court to modify a mandatory sentence after the defendant has served at least 119 days in prison. At his sentencing, Cichuniec was granted 70 days of confinement credit for time already served. MORE: Paramedic sentenced to 5 years in prison in connection with Elijah McClain's death Colorado Attorney General Philip Weiser, whose office prosecuted the case, had opposed the modification, arguing in part in court filings that a sentence modification would undermine the jury's verdict and that Cichuniec had admitted in court to knowingly overdosing McClain. The state noted in its response to the defense's motion that McClain's mother objected to any modification of the sentence, which Weiser previously said, "sends a strong message that no profession, whether a paramedic, a nurse, a police officer, an elected official, or a CEO should be immune from criminal prosecution for actions that violate the law and harm people." The Colorado Attorney General's Office said Friday that they are "disappointed" in the reduced sentence "but we respect the court's decision." The head of the International Association of Fire Fighters, which had spoken out in support of Cichuniec throughout the case, said he was "relieved" by the judge's decision on Friday. "Peter Cichuniec did not belong behind bars," General President Edward Kelly said in a statement. "The IAFF will always prioritize and advocate for the public's safety and our members' ability to do their jobs without fear of ill-conceived criminal prosecution." McClain's case gained national attention months following his death amid protests in the wake of the police killing of George Floyd. Cichuniec was one of five people charged in connection with McClain's death. He received the longest sentence among the three convicted. His co-defendant, paramedic Jeremy Cooper, was found guilty of criminally negligent homicide and sentenced to four years of probation. Former police officer Randy Roedema was found guilty of criminally negligent homicide and assault in the third degree in McClain's death and sentenced to 14 months in the county jail. Two other officers, Jason Rosenblatt and Nathan Woodyard, were found not guilty on charges of reckless manslaughter and criminally negligent homicide. Rosenblatt was also acquitted on charges of assault in the second degree. McClain was confronted by police while walking home from a convenience store on Aug. 24, 2019, after a 911 caller told authorities they had seen someone "sketchy" in the area. McClain was unarmed and wearing a ski mask at the time. His family says he had anemia, a blood condition that can make people feel cold more easily. When officers arrived on the scene, they told McClain they had a right to stop him because he was "being suspicious." PHOTO: Elijah McClain in an undated photo. (McClain family photo) Woodyard placed McClain in a carotid hold and he and the other two officers on the scene moved McClain by force to the grass and restrained him, according to investigators. When EMTs arrived at the scene, McClain was given a shot of 500 milligrams of ketamine for "rapid tranquilization in order to minimize time struggling," according to department policy, and was loaded into an ambulance where he had a heart attack, according to investigators. McClain died on Aug. 30, 2019, three days after doctors pronounced him brain dead and he was removed from life support, officials said. MORE: What happened to Elijah McClain? Protests help bring new attention to his death McClain's cause of death, which was previously listed as "undetermined," was listed in an amended autopsy report as "complications of ketamine administration following forcible restraint." The manner of death remained listed as "undetermined" as it was in the initial report. McClain weighed 143 pounds but was given a higher dose of ketamine than recommended for someone his size and overdosed, according to Adams County coroner's office pathologist Stephen Cina. The prosecution argued during the trial that Cichuniec and Cooper failed to give McClain adequate medical assessments before administering the ketamine when they arrived at the scene. Prosecutors also criticized the paramedics for waiting six minutes before checking McClain for a pulse after administering the ketamine. Cichuniec's attorney, Michael Lowe, told the jury that the reason the paramedics didn't check his vitals again until he was on the gurney was because of protocol. ABC News' Kiara Alfonseca and Tesfaye Negussie contributed to this report. Paramedic convicted in Elijah McClain's death freed after judge reduces sentence originally appeared on abcnews.go.com SPRINGFIELD, Mo. Lex Leonard is the proud parent of two students at Truman Elementary. She says Thursday afternoon was stressful, to say the least. I work just down the street and from my job I watched an officer fly by, had no idea, did not assume it was my kids school. About 10 minutes later, Im pulling up to the school to get my kids and I have a few different friends who have kids there who are messaging me about some things happening that there are cops there, but no one knows whats going on, but someone read something about a gun, Leonard said. So we are all absolutely panicked. We are terrified that every parents worst nightmare is what we were about to live through. It was revealed later that a person in the school pick-up lane had accidentally shot themselves in the leg that afternoon. Its just unfathomable that one, someone had a gun on school premises and two, that it was anywhere accessible or that there was any chance that something could have gone wrong, Leonard said. Honestly, it is a blessing that it only got him in the leg and it didnt go through the car and get a child or a teacher or anybody else. She says she and other parents have frustrations about the schools response. I think the way that the school handled it was so inappropriate. I am grateful for our teachers. They were amazing, but I am very upset with the principal, the superintendent, and whoever made the judgment call to not lock our children down the moment a gun that the firearm was discharged, Leonard said. [When the gun went off, that] very moment should have been when they locked down our kids. I am so frustrated that the teachers were not made aware until the man was taken away by ambulance. Our teachers should have been on the front line. They should have known first. They should have been able to be fully aware and protect our children. Leonard says she wont name the teachers who allegedly told her they werent made aware. I know that there are several [parents] who are furious with the way its handled. I know theres tons of parents who also feel this way, and it takes a lot of trust to send your children to other people to care for them. I assumed that my children and all the other childrens safety would be the first priority in an instance like this, and it wasnt. Truman dropped the ball, Leonard said. I feel like its standard for kids to go on lockdown, even if theres mention of a gun, but the fact that there was one and it had been discharged, theres no reason that they werent put down on lockdown. OzarksFirst reached out to Springfield Schools with questions about the decisions made Thursday. They responded with a statement saying, In follow-up to your question about a lockdown status, it is important to remind the public that we keep all exterior doors locked at Truman with a secure front entrance just as we do at all SPS facilities. When a situation arises like yesterday, we have emergency protocols that are put into place. Immediately upon notification of the incident yesterday, we received direction and guidance from emergency personnel and law enforcement. Additional measures that are in place to make our school safe include: Teachers and staff have received training that they follow in the event of an emergency, which includes various responses depending upon the specifics of the situation. Security film has been installed on all ground floor windows and doors of our school to help prevent anyone from gaining unauthorized entry into the building. While SPS says any firearm is not allowed on school grounds, Greene County Prosecutor Dan Patterson says the man may not face any criminal action. Missouri law, specifically provides that while you typically cant take a firearm into a school without violating the unlawful use of a weapon statute, if you have it in your vehicle while youre picking up the child at a school, as long as it is not brandished and doesnt leave the vehicle, that is not a criminal offense by itself, Patterson said. I think this incident is a great reminder of the great responsibility that comes with gun ownership and possession in terms of security and handling of a firearm, in terms of the law. Leonard says she hopes the situation never happens again, but if it does, she wants it handled differently. You dont play with guns are not toys, you know, and the fact that it could have easily gone through the car and gotten someone that wasnt himself is not okay. Something should absolutely be done, Leonard said. I know several parents who chose not to send their kids today and I dont blame them. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOLR - OzarksFirst.com. Parents ask Supreme Court to take up case to restore opt-outs for instruction on gender and sexuality A group of Muslim, Jewish and Christian parents from a Maryland school district are asking the United States Supreme Court to protect their right to opt their kids out of a gender and sexuality curriculum that they believe is not age-appropriate, according to an appeal filed Thursday. The Montgomery County Board of Education removed parental notices and opt-outs for instruction on books that discuss gender transitions, pride parades and pronoun preferences with kids as young as three and four last year. Now, some of the district's parents are continuing their legal fight, asking the Supreme Court to restore the curriculum opt-out after the Fourth Circuit Court of Appeals declined to do so in Mahmoud v. Taylor. Becket which represents the parents, announced the news Friday, arguing that the parents' inability to opt-out is a violation of Maryland law, the Boards policies and the advice of its own elementary school principals. MONTGOMERY COUNTRY PUBLIC SCHOOLS CHARGES PARENTAL RIGHTS GROUP $18K TO FULFILL PUBLIC RECORDS REQUEST Montgomery County Public Schools William Haun, senior counsel at Becket, told Fox News Digital that Montgomery County has a "long tradition" of letting parents, not the government, introduce their kids to sensitive issues about gender and sexuality. READ ON THE FOX NEWS APP "The School Boards decision to cut parents out of these discussions flouts that enduring tradition and common sense," he said. "We're asking the Justices to restore notice and opt-outs, and allow parents to raise their children according to their beliefs." In 2022, Montgomery County Public Schools (MCPS) introduced the new "inclusivity" books to the pre-K through fifth grade student curriculum. For example, one book titled "Pride Puppy" asks three and four-year-olds to find images based on a word bank that includes "intersex flag," "drag queen," "underwear," "leather," as well as the name of a celebrated LGBTQ activist and sex worker, as Fox News Digital previously reported. In another book, teachers are instructed to say doctors only "guess" when identifying a newborns sex. MONTGOMERY COUNTRY SCHOOLS AWARDED $450,000 CONTRACT TO DIVERSITY CONSULTANCY FOR ANTI-RACISM SYSTEM AUDIT One book titled, "Born Ready: The True Story of a Boy Named Penelope," promotes what Becket calls "a child-knows-best approach" to gender transitioning. In one part, a mother tells her children that the decision to transition doesnt have to "make sense." Later in the book, when a child explains their chosen gender to the teacher, the teacher describes herself as the student, learning from the child's experience. Montgomery County Public Schools (MCPS) has unveiled its new LGBTQ-inclusive book list for elementary schools, which is mandatory reading and teaches words like "intersex" and "drag queen" to children as young as 4. MCPS told Fox News Digital that since the case is still pending litigation, they did not have a statement to share on the matter. Grace Morrison, board member of Kids First, an association of parents and teachers advocating for notice and opt-outs in Montgomery County Schools previously told Fox News Digital that she is fighting against the district's policy that no longer gives parents the choice to opt their child out of the curriculum because the content would rob her 10-year-old daughter of her innocence. MARYLAND COUNTY TO TRANSFORM SOCIAL STUDIES CURRICULUM TO STRENGTHEN KIDS' SENSE OF RACIAL IDENTITY "The School Board is pushing a controversial ideology that has been rejected by governments around the world and has even been criticized by the Boards own principals as inappropriate for the intended age group," Morrison said Friday of the Supreme Court appeal. "Children deserve a period of innocence. The Supreme Court should take this case, restore the opt-out, and let parents decide how and when to introduce their own elementary school kids to these sensitive topics." Eric Baxter, vice president and senior counsel at Becket, said parents shouldnt have to take a back seat when it comes to introducing their children to complex and sensitive issues regarding gender and sexuality. "Nearly every state requires parental consent before high schoolers can attend sex-ed," he said. "Parents should have the right to excuse their elementary school children when related instruction is introduced during story hour." The Supreme Court will decide whether to hear the case this fall. Original article source: Parents ask Supreme Court to take up case to restore opt-outs for instruction on gender and sexuality Parents said some children were not allowed to attend lessons if their uniforms did not comply [Supplied] Parents were "disgusted" with how staff treated pupils with incorrect uniform on their first day back to school. The Sir Harry Smith Community College in Whittlesey, Cambridgeshire, sent pupils who did not comply with the uniform policy to the hall, rather than lessons. Some parents said their children felt "humiliated and singled out" with one mum "brought to tears" over the situation. The school said it had tightened its rules and had reminded parents and carers during the summer term. A parent meeting has been organised for 12:00 BST on Saturday at The George Hotel to discuss how the situation was handled. On her grandsons first day of school, Emma said he was sent to the hall as his shoes "looked too much like trainers". She requested the school let him attend lessons so he was not "treated differently on his first day" and they would find more appropriate shoes over the weekend. "My daughter had already spent 500 on logo uniform over the summer and said she had no spare money for new shoes right now," she said. "She was very upset and brought to tears...she felt poor shamed in front of other children her son and staff, she felt she had let her son down. "We felt he was humiliated and singled out in front of his friends as they went into school without him on his first day." Emma said while she got the need for uniform policies, she didn't like how it was being implemented. "It is not the application of their policies I have a problem with - I think the uniform certainly needed to be addressed, it was the attitude and how we were treated that was the problem. "And how children and parents have continued to be treated - I am disgusted with the lack of education because of the fabric of a skirt or that it is 1 inch above the knee." Other parents said they had difficulty finding the 'correct' uniform, while another added only one of her two sons was sent to the hall for inappropriate shoes, even though they both wore the same footwear. "Some of us cant afford to replace uniform again and again because they do not have the correct uniform for us to purchase," said Hannah. "My daughter is being refused education because she has a nose stud in or because her school shoes have a logo on them." Some children, who did not attend regular classes because of their uniform, were sent to the hall and given worksheets. Emilia said it took her daughter less than half an hour to complete the work "despite school assurance she will be provided with appropriate school work". School principal, Dawn White, said they had high expectations of their students. "Our uniform policy has been tightened up and parents/carers were regularly reminded of this during the summer term in preparation for our return in September," she said. "The consequences for attending school in incorrect uniform were also clearly communicated to parents/ carers. "The vast majority of students have returned to school in the correct uniform and prepared for the new academic year." Follow East of England news on X, Instagram and Facebook: BBC Beds, Herts & Bucks, BBC Cambridgeshire, BBC Essex, BBC Norfolk, BBC Northamptonshire or BBC Suffolk. More like this story Related internet links PARKERSBURG, W.Va. (WBOY) A student from Parkersburg High School was arrested Friday in connection to a threat made toward the school. According to a release from the Parkersburg Police Department, at about 2 p.m., officers were contacted by the Wood County Board of Education in regard to a threat against Parkersburg High School received on the countys See Something Send Something app. Officers said the threat alluded to an act of significant school violence at the school, leading law enforcement to take immediate action to secure the safety of the schools students and faculty. Juvenile suspect in Preston school threat case could face adult charges The suspect in the threat is a 15-year-old student at Parkersburg High School who was not in attendance Friday, officers said. Through an investigation, officers with the Parkersburg and Vienna Police Departments were able to locate the juvenile suspect at a home in Vienna, W.Va. The juvenile was then detained and taken to the Parkersburg Police Department for an interview. The juvenile was then placed at the Lorri Yeager Juvenile Detention Center and is awaiting a detention hearing in Wood County Circuit Court, which will be held at a later date. The Parkersburg Police Department said there are no other suspects, persons of interest or further threats involved in this incident, and no evidence was discovered that would have allowed the juvenile to carry out the threat insinuated. This juvenile joins several others across the state who have been arrested for allegedly issuing threats of violence toward schools and school districts across West Virginia, including Preston High School and Preston County Schools. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WBOY.com. Paso Robles police called for high school student chasing person with gun. It was bear mace A high school student was arrested in Paso Robles after reportedly chasing another juvenile with bear mace Friday afternoon though witnesses at first thought the spray was a handgun. Around 1:14 p.m., officers responded to a report of one juvenile chasing another with a small black handgun int he area of Niblick Road and Country Club Drive, Paso Robles Police Department said in a news release. A school resource officer located the juvenile and identified them as a Liberty High School student, the agency said. The juvenile was cooperative with the investigation, according to the release. It turned out what was first thought to be a gun was actually a large canister of bear mace, police said. The student was arrested on suspicion of minor in possession of OC spray, also known as pepper spray, the agency said. The other fled the scene prior to officer arrival, police said. Anyone with information is asked to call the agency at 805-237-6464 or call Crime Stoppers to remain anonymous at 805-549-STOP (7867) or text SLOTIPS with your message to CRIMES (274637). Paul Lisnek on campaign stops, presidential endorsements & more Paul Lisnek on campaign stops, presidential endorsements & more CHICAGO WGN Political Analyst Paul Lisnek joined WGN Evening News to discuss several big stories from the week. The topics include Vice President Kamala Harris and former President Trumps campaign stops in the days after the debate, Taylor Swifts endorsement of Harris, Chicago Mayor Brandon Johnsons administration, and more. Tune in on Sundays for WGN-TV Political Report with Paul Lisnek. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WGN-TV. UNIVERSITY PARK, Pa. (WTAJ) With the new school year in full swing, Penn State is seeing some big changes across its campuses thanks to a new initiative and the Voluntary Separation Incentive Program (VSIP). PSU President Neeli Bendapudi says she wants to focus on a new regional leadership model, saying it will help the campuses work together and better suit the needs of their areas. Penn State completes West Campus expansion with new building What we are trying to do is make sure that we are extremely sensitive to the ambitions, the aspirations, the goals of our student body, Bendapudi said. Another big change comes from the VSIP, where around 10% of PSUs workforce chose to leave with the promise of a years salary and other benefits while they search for another job. Get the latest news, weather forecasts and sports stories delivered straight to your inbox! Sign up for our newsletters. The long-term effects of this change are still not known, but Bendapudi says that they do not anticipate another round of the VSIP or layoffs in the future. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WTAJ - www.wtaj.com. From Pensacola to Oklahoma: How one family reunited with their missing dog of 2 years PENSACOLA, Fla. (WKRG) Reunited at last. One Pensacola couple traveled nearly 900 miles to pick up their dog who was missing for over two years. Brenna Denmark and her husband received a call Friday night that their 4-year-old pitbull, Andora, had been found roughly 890 miles away in Enid, Oklahoma. WATCH: Carnival cruise ship, from Mobile, hits chunk of ice during Alaskan trek They were like, Great, were so glad we found the owner, and I asked them where they were, and they told me Enid, and I had never heard of that before, Denmark said. And they told me they were in Oklahoma, and our hearts sank because we were like, Theres no way we can make that trip.' Yet Denmark knew her family had to once again be with Andora. The couple pooled what they could to rent a car Saturday morning and made the 13-hour drive to Enid, OK, in one day where they were reunited with Andora before bringing her back home to Pensacola on Sunday. Denmark and her husband reunited with Andora on Saturday night in Enid, Oklahoma. (Courtesy of Brenna Denmark) Victim addresses man who terrorized her in Pensacola Beach: Im strong. You didnt break me Denmark shared how exciting Andoras reunion was with her three children and their seven other dogs. I mean, I really loved it, Denmark said. I thought she wouldnt remember us, and that made me really upset. But as soon as we saw her, she jumped into, like my arms, and wanted me to hold her. Denmark now laughs at their initial search for their family dog years ago, knowing just how far she would end up from her home. I mean searching for her was, I guess, pointless because we couldnt find her, but it was heartbreaking to know that she was gone, and I couldnt find her, Denmark recalled. Baldwin County Public Schools superintendent: false information going around on Foley High School lockdown Although shes friendly as ever, the family said Andora is still reacclimating to her life in Pensacola and becoming comfortable again around other dogs. The couple has started a GoFundMe page to help with the expenses of the trip and for Andoras future vet visit. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKRG News 5. People complained, so this Pierce County city took down artwork that promotes diversity Bonney Lake city leaders took down artwork from the Justice and Municipal Center this week that showed hands spelling out the word diversity in American Sign Language, following complaints. The copy of the painting, called D I V E R S I T Y, was offered to courts across the state and across the United States. More than 100 copies are on public display artist Anthony David Gipe told The News Tribune last month. Gipe is a Kent Municipal Court judge and Washington State Minority and Justice Commission member. Bonney Lake isnt one of those places as of this week, City Administrator John Vodopich told The News Tribune via email Thursday. He said the city removed the artwork from the lobby of the citys Justice and Municipal Center on Tuesday. Asked who made the decision, Vodopich later clarified that he and Mayor Terry Carter are responsible for administration of the citys art-display policy. The Mayor asked that I respond to your inquiry, Vodopich wrote. The city rotates various art displays in city hall. This art piece in question was displayed in our lobby for a short period of time, and during that time the city received several complaints about the print. The citys goal in choosing art displays is to bring the community together to share beauty. Displays that create division are contrary to the goals of the city art program. Therefore, the city opted to remove the artwork from public display. D I V E R S I T Y by Anthony David Gipe Courtesy of the Washington State Minority & Justice Commission Vodopich said the city returned the artwork to Bonney Lake Municipal Court Judge Joanna Daniels. Initially, the artwork was a gift from the Minority and Justice Commission, and Daniels hung it in her courtroom, which doubles as the City Council chambers. Then the city moved it to the lobby of the Justice and Municipal Center, and Carter told The News Tribune Aug. 29 that conversations about the citys art policy were ongoing. Public controversy about the painting started when Council member Gwendolyn Fullerton raised concerns during the councils Aug. 27 meeting. One of the hands in the painting has a ring that says BLM. Fullerton said the artwork was biased and political. Gipe told The News Tribune last month that the painting is meant to bring people together, not divide them. Ive never heard anyone express that belief about it, Gipe said about Fullertons take on the painting. Inclusion is for everyone, even if we dont agree with them. Its disappointing Now the print is hanging in Daniels office, the judge told The News Tribune on Thursday. Asked if she thinks that will be its permanent home, she said: If theres no place else for it to hang, yes, Ill hang it in there. I certainly enjoy looking at it. Does she think the city will find a new public space to display it? Based on the general attitude, I dont foresee that, Daniels said. At least not in the foreseeable future. It just doesnt seem like thats something that they want to do. Thats my impression. She learned of the decision to remove it from public display Tuesday afternoon, she said. The mayor came down to my office to let me know that it had been decided that the picture was going to come down from the lobby, and basically he said it was his decision, she told The News Tribune. The two discussed it, Daniels said, adding: I understand the position, and so be it. Asked about her takeaway from what happened, Daniels said: I guess maybe I didnt have as much of a feel for the community as I thought. ... Its disappointing. She said what happened doesnt change her approach to her role as the citys judge. It doesnt affect my ability to do my job, Daniels said. It doesnt affect my ability to be an effective municipal court judge and to represent the community appropriately in the courtroom and with regard to justice. She said theres no artwork on the walls of the courtroom. There are logos hanging for Bonney Lakes Community Court and Sumners Community Court. Those programs take people accused of crimes and try to address the underlying reasons that they got involved in the justice system, such as substance abuse, unemployment and mental health challenges. The goal is to help them overcome those challenges and ultimately become productive members of the community, Daniels said. Asked about the role art plays in courtrooms, Daniels said shes seen many courtrooms with images of nature, such as mountains or birds. Those who have to go to court are very nervous, she said. Its a stressful experience, and she said art can make the setting a bit more tranquil. She said shes also seen many courtrooms with images such as the Gettysburg Address, George Washington, Abraham Lincoln and the U.S. Constitution. I think it just sets the tone for the courtroom that this is a place where people come to be heard and to be treated fairly, she said. She thought Gipes piece would do that. Clearly other people didnt feel that way, she said. Americans on average save 6% or less of their income for retirement, MassMutual found. - Getty Images There are a lot of numbers when it comes to retirement, and many of them are daunting or confusing. The overcomplication of retirement savings rates and target investing amounts leaves many people overwhelmed and less likely to save. Almost half (48%) of Americans who are not yet retired say they dont have enough saved to allow them to retire when they want to, according to a new study by insurance company MassMutual. Among working Americans who are contributing to retirement accounts, half are putting 6% or less of their income toward retirement, MassMutual found. Most Read from MarketWatch Why are people stuck at this number? And how much should they actually be saving? If you ask different financial experts, youll get different answers which only adds to the confusion. The optimal amount will vary from person to person. It varies on how old you are, when you want to retire, how youre investing, how long you think you may live, what lifestyle you live. There are a lot of unique factors, said Katherine Tierney, senior strategist for retirement at Edward Jones. The minimum amount you should be investing is to set aside [enough] to earn at least the full employer match. MassMutual found that 55% of nonretired Americans say they contribute enough to their employer retirement plan to maximize their employer match. And while thats a good start, its probably not nearly as much as they should be saving. All the complicated factors aside, Tierney said investors should set aside 10% to 15% of gross income, including their employer match. Other experts had slightly different numbers. Theyre going to be falling short. People need to save at least 15% of their income for retirement, or theyll be significantly underfunding their later years., said Bruce Tannahill, director of estate and business planning at MassMutual. It will impact what kind of retirement you can have and what you can do in retirement. A lot of people will almost surely be behind the eight ball. But its understandable that many people arent in a great position, he said: Younger people dont save enough for retirement because many are dealing with massive student loans, housing costs, the cost of living. Even squeezing out 6% is quite difficult. Meanwhile, Fidelity Investments recommends saving 15% of annual income, including an employer match. That assumes a person saves for retirement from age 25 through age 67. About 40% of America is going to run out of money before they die. The No. 1 fear in America is running out of money feared more than dying, said Joshua Gotbaum, a guest scholar in the economic studies program at the Brookings Institution. The ideal percentage savings should be higher than it is right now. But the thing about financial advice is most people never get it and never take it, Gotbaum said. Every firm has a savings calculator, and theyre all well intentioned and theyre all ignored by 90% of the population. Gotbaum has an easy solution to the overcomplication of retirement-industry advice: Count your figures and invest that much. Ten fingers, 10% savings rate. The trick to this is that if its not simple, its not done. Unless you have a rule thats simple enough to use, theyre not going to do it, he said. Read: The new math of saving for retirement may boil down to this one, absurdly simple rule Gotbaum explained why so many people are stuck at the seemingly random 6% savings rate. Theres a reason for that rate. The typical employer match says well match 50% up to 6%, so thats the number thats in peoples minds 6%, Gotbaum said. If you do a 50% match for 6%, your total is 9%. Everyone agrees thats too low. So the count your fingers and set aside that effort is to get people to aim higher for 10%. A simple rule is better than a complicated rule. A simple rule has a good chance of being followed, and a complicated rule has no chance of being followed, Gotbaum said. But the reasons people dont contribute as much as they should toward their retirement are varied, Tierney said. Lack of access to a 401(k) plan plays a huge role for many, Tierney said. Nearly 57 million working Americans do not have access to a retirement plan at work, according to AARP. Inertia plays a part. It takes time and work to set aside money for retirement, and many people are not comfortable with investing and making those choices, Tierney said. And then theres the reality that a lot of people are balancing a lot of financial goals. She added: Getting started is really the most important thing, and getting that time in the market. Whether its $25 or $50 a month to start, just get started. The minimum amount needed to receive the employer match is a good place to start, and then workers can increase the amount they save by 1% or 2% a year, throughout their career, in step with pay raises. Once people get to a certain point in their personal life and career, a lot of people save more money, but theyve lost the benefit of starting early and compound interest, Tannahill said. People see that theyre falling short and take more risk take too much risk with their retirement investments. And thats not good either. Most Read from MarketWatch Sharon Cony shared her experiences of poverty and social housing to help form the plans [Jon Wright/BBC] People with lived experienced of poverty have been using their stories to help a council form new policies. Suffolk County Council commissioned the Tackling Poverty Together report in September 2022. The initiative is based on the Poverty Truth Commission model, which brings people with experience of financial hardship together with decision-makers in areas of civic life, such as councils, health professionals and police. The authority said it hoped to use the recommendations in the report, which was produced by Healthwatch Suffolk, to shape future policy. Sharon Cony, from Felixstowe, who contributed to the report, said: "This project is crucial for the future of people with needs." The Reverend Sally Gaze and Romanian community worker Romeo Mustata spoke about the importance of volunteering [Jon Wright/BBC] She added: "I've been through quite a lot of different situations. I've been a single mum, I've suffered [with] mental health, suffered physical disabilities, had problems with housing," she said. The report, which was presented on Thursday at an event at Copdock Village Hall in Copdock, Ipswich, has made recommendations in three key areas: Housing : It highlighted issues with the online system for applying for social housing, authorities removing carpets at the end of a tenancy so the new occupants have to buy new ones and inconsistency across different housing providers Volunteering : It recommended the promotion of volunteering opportunities in the area and greater awareness that being a volunteer does not impact any benefits an individual might receive. Also the need to engage further with different communities, such as the Romanian community in Ipswich Community hub: It said a priority was the creation of a new community hub to support the area holistically. The report said: "This space should be inclusive, approachable, and safe, offering the public a central location to access various services" Jay Hornsby was asked to be part of the initiative after he experienced homelessness and now runs his own outreach project [Jon Wright/BBC] Jay Hornsby, who contributed to the report, was previously homeless and lived with addiction and mental health problems. He now runs Adventures in Outreach, which helps people who are sleeping on the streets around Suffolk. "[Homelessness] is something that we can tackle together," he said. "We've got to get information [about the support available] out there, so it's not just coming from services, but friends, neighbours and strangers. "It's making sure that everyone has the knowledge, knows where to go, and everyone can access services fairly." Community and civic partners involved in the project gathered at Copdock Village Hall for the launch of the report [Jon Wright/BBC] Andy Yacoub, the CEO of Healthwatch Suffolk, said: "This is a milestone event, the next steps are really key. "Gavin Talbot, [from Suffolk County Council] is going to be involved in the tackling poverty group which pre-existed before us, and they're going to be responsible for taking on the recommendations and making the change happen. "We'll run another event [next year] to look back and see what has happened, what's changed, what has not changed, and then we take it on further." Follow Suffolk news on BBC Sounds, Facebook, Instagram and X. More on this story Elsewhere on the internet One person drowned in Salmon Bay in Seattles Ballard neighborhood, Seattle Fire confirmed. Seattle Fire received reports of a person struggling in water who needed help just after 2 a.m. Friday. A water rescue team was dispatched, with rescue swimmers entering the water near the 24th Avenue Public Dock. The team found the person and brought them to shore. More local drownings: 68-year-old man sucked into drain pipe, drowns in Tacoma lagoon That person was declared dead at the scene by paramedics. Unfortunately, the person was declared deceased. Turning scene over to Seattle Police. All units are returning to service, Seattle Fire wrote on Twitter. More WA drownings: 26-year-old man drowns in Renton beach, body found by friends Seattle Police are continuing the investigation. No information has been released about the victim, as of this reporting. This is a developing story, check back for updates Contributing: KIRO 7 Frank Sumrall is a content editor at MyNorthwest. You can read his stories here and you can email him here. The coffin of former president Alberto Fujimori receives state honors in front of the Presidential Palace in Lima on September 14, 2024 (ANDRES VALLE) (ANDRES VALLE/Peruvian Presidency/AFP) Peru bid an emotional farewell on Saturday to its divisive ex-president Alberto Fujimori after three days of national mourning marked by expressions of nostalgia for his iron-fisted rule. Fujimori was revered by many in Peru for crushing a bloody leftist insurgency and helping shore up the economy while in office from 1990 to 2000. He "had the guts to fight terrorism," said Edgar Grados, a 43-year-old businessman, who traveled more than 100 kilometers to attend the funeral. "Fujimorism never dies," he told AFP. But for others Fujimori was a power-hungry autocrat, who signed off on gross human rights abuses, for which he spent 16 years in prison. The 86-year-old died Wednesday after a long battle with cancer. "You are finally free from hatred and revenge," his daughter Keiko told his packed funeral mass in Lima's 1,500-capacity National Theatre, denouncing "16 years of unjust imprisonment." Mourners clapped and chanted "Chino, Chino," Fujimori's nickname which was a nod to his Asian heritage, although his family was originally from Japan. A large portrait of the late leader wearing his presidential sash stood on the altar, next to his coffin, which was draped in the Peruvian flag. Outside, hundreds of people, many carrying Fujimori dolls and pictures, followed the proceedings on a giant screen. After the funeral his coffin was received with state honors at the presidential palace in a ceremony led by President Dina Boluarte. He was buried afterwards at Huachipa ceremony east of Lima. While nearly a quarter of a century has passed since he dramatically faxed in his resignation from Japan in the midst of a corruption scandal, Fujimori loomed large over public life in Peru right up until his death. Thousands of people queued for hours on Thursday and Friday to view him lying in state in an open casket at the culture ministry. "We're very nostalgic," 30-year-old Jesus Neyra told AFP on Friday night as he waited in line. "A president who brought peace, economic stability, freedom and democracy to the country is gone. He left a great legacy." But relatives of the victims of army massacres carried out on his watch lamented that he went to the grave without showing remorse for their deaths. "He left without asking forgiveness from their families, he made a mockery of us," Gladys Rubina, the sister of one of the civilian victims, told AFP. - Crimes against humanity - An engineer by training, Fujimori worked as a university math professor before entering politics. In 1990, he defeated writer Mario Vargas Llosa to win the presidency -- a surprise result. His neoliberal economic policies won him the support of the ruling class and international financial institutions. He also won praise for crushing a brutal insurgency by Shining Path and Tupac Amaru leftist rebels in a conflict that left more than 69,000 people dead and 21,000 missing between 1980 and 2000, according to a government truth commission. But the brutal tactics employed by the military saw him spend his twilight years in jail. In 2009, he was sentenced to 25 years in prison over two massacres of civilians by an army death squad tasked with fighting the Shining Path -- one at a house party, the other in a university dormitory. In all 25 people were killed. As recently as July, Fujimori had been considering a comeback attempt in the 2026 election, according to his daughter Keiko, also a politician. But his health took a turn for the worse as he battled tongue cancer. - Hostage crisis - One of the most dramatic episodes of his presidency was a four-month hostage ordeal at the Japanese embassy in Lima in late 1996 and early 1997. It ended with him sending in special forces, who saved nearly all 72 hostages and killed the 14 rebel hostage-takers. His downfall began in 2000 after his spy chief was exposed for corruption. Fujimori fled to Japan and sent a fax announcing his resignation. Congress voted to sack him instead. He was eventually arrested when he set foot in Chile and was extradited to Peru, where he was put on trial and jailed. In December 2017, then-president Pedro Pablo Kuczynski pardoned him on health grounds. The Supreme Court later annulled the pardon and in 2019 he was returned to jail before finally being released nearly five years later. cm/cb/acb Remains of Perus former President Alberto Fujimori are exhibited for a posthumous tribute, in Lima By Marco Aquino LIMA (Reuters) - Hero? Murderer? Savior? Villain? As Peru buries former president Alberto Fujimori on Saturday, the Andean country is grappling with the complex legacy of its most powerful - and most divisive - political leader in recent decades, who died this week at the age of 86. Many Peruvians still revere Fujimori for pulling the country out of a severe economic crisis in the 1990s and defeating the Shining Path terrorist group. Others say his authoritarian rule was to blame for clandestine military killings. He spent some 16 years behind bars for human rights abuses. "Thanks to him, terrorism is over," said Felicita Ruiz, who came from the Andean region of Ayacucho, birthplace of the Maoist Shining Path, to pay respects to the former president. The conflict with the rebel group left 69,000 civilians and military dead or missing, according to a Truth Commission. The shadow of that conflict casts a pall over Peru to this day. But while thousands like Ruiz lined up to give the son of Japanese immigrants to Peru a hero's sendoff, carrying photos and figurines of the former leader who gained the nickname "Chino", others protested against him and criticized his human rights record. Killings committed by secret military groups during his government in the 1990s, and allegations of corruption, hurt Fujimori badly. He fled to Japan in 2000 after the release of videos showing advisors giving bundles of money to legislators, businessmen and judges to support his government. Fujimori was sentenced in 2009 to 25 years in prison for human rights abuses as the "indirect author" in the killing of 25 people, including a child. He was released from prison last December after a controversial pardon. "This tribute is an insult," said Maria Carbajal, who said she was one of thousands of women sterilized as part of a Fujimori government program to reduce poverty in poor, rural regions of Peru. Some 300,000 women were sterilized in the national campaign. Human rights groups and thousands of the women allege they were coerced. Fujimori always said the operations were consensual. 'I HOPED HE WOULD BE PRESIDENT AGAIN' Peru has been in national mourning for three days since Fujimori's death on Wednesday, his body lying in state. Fujimori is credited - in a similar way to former military dictator Augusto Pinochet in Chile - with setting Peru on a free-market economic course, which did help make the copper-rich country one of the most stable economies in Latin America. But Peru's reputation has come under pressure recently, with six presidents in seven years and political unrest weighing on investment in copper mining, the country's main economic driver. That has in some ways burnished the memory of Fujimori further. "I hoped he would become president again," said a sobbing Yusi Canchari, after she traveled for hours from Peru's interior to see his body. Fujimori's politician daughter Keiko had in July said he could run for election again. "I just want to thank President Fujimori for everything he did for our country," Canchari added. "He achieved peace. I remember he built my little school, built roads, gave us uniforms, shoes and food." Keiko, herself a defeated presidential candidate, and current unpopular President Dina Boluarte, both attended the wake on Thursday. "It's a shame because they're recognizing someone who was convicted and sentenced by the state itself for serious crimes," Gisela Ortiz, sister of a student killed during the Fujimori era, told local radio station Exitosa. Fujimori's death, in an odd coincidence, came exactly three years to the day after his fierce enemy, Shining Path leader Abimael Guzman, who died in prison also aged 86. Lima resident Angel Taboada felt divided about Fujimori, and unsure how to remember him. "The former president did good things; he fought terrorism. But he also did bad things, like the massacres at La Cantuta, Barrios Altos and Pativilca," he said, referring to three of the most notorious military killings in the 1990s. Fujimori supporter Mabel Rojas had no such doubts. "I feel devastated," she said. "I met him once and asked him, 'What would you do with all this (current) crisis? What would you do?' And he had all the solutions. He had them because his mind was brilliant." (Reporting by Marco Aquino; Additional reporting by Carlos Valdez; Editing by Adam Jourdan and Daniel Wallis) During an appearance on CNN Thursday night, Secretary of Transportation Pete Buttigieg , appearing in his personal capacity, condemned recent false claims by former President Donald Trump and his running mate, Ohio U.S. Sen. J.D. Vance, regarding Haitian immigrants allegedly abducting pets for food in the Ohio town of Springfield. The bizarre accusation, first amplified by Trump during Tuesdays debate and repeated on the campaign trail in Arizona , has been widely debunked by officials. In a conversation with CNNs Kaitlan Collins, Buttigieg dismissed Trumps allegations as part of a larger strategy to distract the public from his administrations failures. This is a strategy to get us talking about the latest crazy thing that he did, Buttigieg said. The last thing he wants us to do is to talk about his record or his agenda. So what he wants us talking about is whatever crazy nonsense he can thrust into the center of the internet and the media conversation, which this week happens to be this stuff about eating cats or dogs or geese or whatever. (@) Trumps inflammatory claim suggested that Haitian immigrants in Springfield were stealing pets, including geese, from local parks, a story officials have repeatedly said has no basis in reality. Nonetheless, Trump escalated the rhetoric by claiming the immigrants were also eating the animals. According to Buttigieg, this narrative serves a dual purposestoking fear of immigrants while diverting attention from issues like the loss of jobs under Trumps leadership. He doesnt want us talking about the fact that we lost manufacturing jobs on his watch even before Covid, which is why the United Auto Workers are against him. He doesnt want us talking about the fact that his main economic policy promise he actually kept was to cut taxes for the rich. He doesnt want us talking about how he demolished the right to choose in this country, that hes the reason that even IVF could be banned in many places in this country, Buttigieg said. Collins noted the real-world consequences of Trumps baseless rhetoric, pointing out that the city hall in Springfield had to be evacuated due to a bomb threat related to the false claims. Buttigieg agreed that these tactics have a dangerous impact, particularly on immigrant communities, and contribute to an ongoing pattern of demonization. Buttigieg also referenced Vances remarks following the debate, in which the Ohio senator doubled down on the unsubstantiated claims, saying his office had received numerous reports of immigrants stealing pets. Vance defended the falsehood, even acknowledging that the story might not be true, but argued that it still brought attention to immigration issues in Springfield. This is a country of 300 million people, Buttigieg said, adding, Theyll probably sooner or later find some case where somebody somewhere did something weird to a cat and try to make that into a reason to prolong this so that we could be talking about anything but Donald Trumps track record of destroying jobs in this country and destroying the right to choose, and the fact that if given the chance to do so again, thats what he would double down on. He also touched on the strategic manipulation of immigration fears, noting that Trump blocked a bipartisan border bill earlier this year, a measure Harris has pledged to sign if it reaches her desk. Most Americans disagree with Donald Trumps decision to kill the bipartisan border bill, Buttigieg said. When Collins pressed Buttigieg on whether Harris needs to provide more details about her own immigration policies, Buttigieg argued that the vice president has been clear about what she would do differently. He added that Harriss record on issues like lowering the cost of insulin and advancing climate legislation speaks to her commitment to practical solutions, as opposed to Trumps focus on inflammatory distractions. Every election is about the future, Buttigieg said. Kamala Harris [is] talking about the future, [while] Donald Trumps talking about the past. Buttigieg encouraged voters to stay focused on the facts and avoid being misled by Trumps provocative tactics. He wants us talking about people allegedly eating cats, which is not happening, Buttigieg said. Pfizer researchers say they are developing a drug that might counteract a condition that leads to the death of cancer patients within a year of its diagnosis. Photo by Stephanie Lecocq/EPA-EFE Sept. 14 (UPI) -- A drug that could reduce the risk of death in cancer patients is showing good promise during its second phase of clinical tests, drugmaker Pfizer announced Saturday. The experimental drug ponsegromab is a monoclonal antibody that treats the growth factor that leads to the growth of cancer cells, which is called cachexia, Pfizer said in a news release Saturday. "Cachexia is a common condition in cancer patients associated with weight loss, functional decline and, ultimately, poor outcomes," said Dr. Jeffrey Crawford, principal investigator and George Barth Geller professor for research at the Duke Cancer Institute. "This study showed us those who received ponsegromab had improvement in body weight, muscle mass, quality of life and physical function," Crawford said. "These findings offer hope that a breakthrough in targeted treatment is potentially on the horizon for our patients." Cachexia is a common cancer complication that increases the risk of death, according to the Pfizer study published Saturday in the New England Journal of Medicine. Cachexia commonly causes growth of cancer cells while causing weight loss, appetite suppression and energy loss in people stricken with cancer. It affects about 9 million people globally and causes the death of about 80% of cancer patients within a year of the diagnosis of cachexia. The second phase of clinical studies involved 187 patients, 74 with non-small-cell lung cancer, 59 with pancreatic cancer and 54 with colorectal cancer. Patients were given ponsegromab or a placebo, and those given the experimental drug showed significantly better weight gain, increased appetite and improved physical activity. Patients given the drug had three different doses at four-week intervals. In all doses, they gained weight. "These results provide strong evidence that we have unlocked a mechanism to interrupt a critical driver of cachexia," Charlotte Allerton, head of Discovery and Early Development at Pfizer, said. Allerton said ponsegromab "has the potential to impact patients with [the] cancer cachexia and other life-threatening conditions." Given the promising results of the second phase ponsegromab testing, Pfizer officials said they intend to start registration-enabling studies in 2025. They also are looking into a second-phase study of the drug for possibly treating patients with heart failure and elevated concentrations of the growth factor that causes cachexia and other health complications. By Michael Erman NEW YORK (Reuters) - Pfizer is trying to increase doctor awareness of and testing for a rare lung cancer mutation to help boost use of its drug Braftovi, which the pharmaceutical maker anticipates could grow to become the standard of care. The company presented three-year follow-up data from a Phase 2 study on Saturday looking at patients with BRAF V600E-mutant metastatic non-small cell lung cancer who received Braftovi and another Pfizer drug, Mektovi, as a first treatment. The study showed they had a median of over two-and-a-half years of progression-free survival, a measure of how long treated patients live before their cancer gets worse. Chris Boshoff, Pfizer's Chief Oncology Officer, said the new data supports the use of the drug as standard of care for that group and said it expects to get market penetration of up to 60% in lung cancer patients with the mutation. He said approximately 2% to 3% of lung cancers have the mutation in question. Standard of care for such patients is currently an immunotherapy paired with chemotherapy, Boshoff said. The combination of Braftovi and Mektovi has been approved for patients with non-small cell lung cancer with the mutation since last year. "All patients with lung cancer should be tested for BRAF mutations, and that could be done with a simple blood test," he said. "It's a relatively easy test to identify these patients who clearly would benefit significantly from having a targeted therapy." He said that fewer than 50% of lung cancer patients in the U.S. are currently tested for the mutation. That number is even lower globally. "This is a space where Pfizer is particularly well equipped, not just in the US, but globally, to encourage testing and to help educate physicians, pathologists, patients, and patient advocate groups," Boshoff said. He said the test is covered by insurance in the U.S. Pfizer sold close to $400 million of Braftovi and Mektovi last year, but analysts are not currently forecasting significant growth for the drugs, according to LSEG data. One area where Boshoff said Braftovi could expand its market is in colorectal cancer, where BRAF-mutated cancers make up 10% of the cancers. Data from the company's late-stage study in colorectal cancer is expected to be announced by the end of the year, he said. (Reporting by Michael Erman, Editing by Rosalba O'Brien) Schools across the Valley saw a surge in threats of violence this week, not long after the Sept. 5 shooting at a Georgia high school that claimed four lives. Many of the calls stemmed from a Snapchat post listing several Arizona schools that would be shot up, according to education officials. Police dont believe the threat to be credible but are continuing to investigate the posts origin. Threats targeted schools in Buckeye Union, Chandler, Dysart, Kyrene, Osborn, Phoenix Union and Tempe Union, among other districts, according to the Arizona Education Association, which is the state's largest teachers union. Some parents opted to keep their kids home from school to be safe. "The constant threat of gun violence is a huge disruption to learning and creates so much fear and anxiety," said Marisol Garcia, the association's president. "For way too many Arizona students, families and educators, this whole week was overshadowed by worries about possible gun violence at school." Heres what to know. Buckeye police make arrest: 2 teens apprehended in connection with school threats School shooting threats not believed to be credible, police say The Mesa Police Department received a number of reports about online threats this week and has investigated each one with heads of security at local districts, according to spokesperson Brandi Myers. Out of caution, patrol officers have dropped by the schools in their areas at random to walk the campuses and provide extra security. But so far, police dont believe any of the threats are credible, Myers said. Families and staff at Mesa Unified School District have had a challenging few days as theyve grappled with a variety of threats and unusual behaviors, Superintendent Andi Fourlis said in a message to families on Friday. As you can imagine, this is disrupting our schools and causing fear. As a result, we have experienced increased student absences in some schools, Fourlis said. I want to make it clear that we take every threat seriously, even those that appear to be pranks. Scottsdale and Phoenix police said they also received multiple calls this week. Sgt. Allison Sempsis with the Scottsdale Police Department said they received four calls relating to school threats. All were investigated and found not credible. In central Phoenix, Osborn School District Superintendent Michael Robert emailed parents on Thursday saying there were threats against Phoenix-area schools every day this week. Twice, that involved an Osborn school. It is very sad what the state of affairs is when it comes to individuals who would realistically or falsely make threats towards any campus. Yet that is the reality we are currently facing, Robert said. Unfortunately, it stokes a lot of unnecessary fear. I wish so much there was more I could do to make the fear go away. Report, dont repost threats Authorities ask students, staff and parents to report all threats to police. When those threats happen online, report them, dont repost them. A letter from the Phoenix Elementary School District encouraged parents on Thursday to talk to their children about the importance of sharing with a trusted adult if they see something concerning on social media. We also ask our families to please help us by talking to your students about the seriousness when it comes to threats and sharing them, the letter reads. Fourlis, the Mesa superintendent, said her districts investigations found an uptick in students making illegitimate threats as a joke. Students who make these threats will face discipline and could be charged by law enforcement, she told parents. In the West Valley, a 14-year-old boy was arrested for making online threats against his high school, Surprise police said on Monday. Police said he admitted to making threats against Valley Vista High School as part of a dare and was booked into the Durango Juvenile Detention Center on one count of making a terroristic threat, which is a felony, and on disorderly conduct. Then, on Thursday, Buckeye police arrested two teens for posting threats against Buckeye-area schools and making false reports of a student in possession of a gun, police said. Neither threat was credible. Both teenagers were booked into the Durango Juvenile Detention Center and faced criminal charges along with possible discipline from their respective schools, according to a Thursday news release. Buckeye police received more than 300 reports of shooting threats at local schools since Monday, according to the news release. Reach the reporter at nicholas.sullivan@gannett.com. This article originally appeared on Arizona Republic: 'Challenging few days': Phoenix-area schools roiled by threats PORTLAND, Ore. (KOIN) A World War II soldier from Oregon who was missing for over 80 years was officially laid to rest in a memorial service in Hillsboro Friday afternoon. With full military honors by the Oregon Army National Guard Funeral Honor Guard team, the remains of U.S. Army Pvt. William Billy Calkins were buried near his mothers grave at Fir Lawn Memorial Park after 82 years since his death in November 1942. Calkins was captured by Japanese forces and died in a prisoner of war camp in the Philippines at the age of 20 after surviving the Bataan Death March earlier that year, the Department of Defense said last month. Framed family photos, Military Awards and tributes rest on a remembrance table for U.S. Army Private Billy E. Calkins at the Fir Lawn Memorial Cemetery, Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) Oregon Army National Guard Funeral Honors members carry the remains of U.S. Army Private Billy E. Calkins during his memorial service at Fir Lawn Cemetery, in Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) Oregon Army National Guard Chaplain (Col). Jacob Scott, Oregon National Guard State Chaplain officiates the memorial service for U.S. Army Private Billy E. Calkins at the Fir Lawn Memorial Cemetery, Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) Oregon Army National Guard Funeral Honors members conduct a flag folding ceremony to honor U.S. Army Private Billy E. Calkins during his memorial service at Fir Lawn Cemetery, in Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) Oregon Army National Guard Funeral Honors members carry the remains of U.S. Army Private Billy E. Calkins during his memorial service at Fir Lawn Cemetery, in Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) An Oregon Army National Guard Funeral Honor Guard member presents the American Flag to family members of U.S. Army Private Billy E. Calkins during his memorial service at Fir Lawn Cemetery, in Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) An Oregon Army National Guard Funeral Honor Guard member presents the American Flag to family members of U.S. Army Private Billy E. Calkins during his memorial service at Fir Lawn Cemetery, in Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) Family members and others in the Hillsboro, Oregon community attend the memorial service for U.S. Army Private Billy E. Calkins at the Fir Lawn Memorial Cemetery, Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) A funeral procession for U.S. Army Private Billy E. Calkins at the Fir Lawn Memorial Cemetery, Hillsboro, Oregon on Sept. 13, 2024. Calkins remains were return to Oregon 82 years after his death in the Philippines where he served with the 31st Infantry Regiment, Company B, and died at the Cabanatuan Prison Camp on Nov. 1, 1942 when he as 17 years old. (National Guard photo by John Hughel, Oregon Military Department Public Affairs) His remains were identified earlier this year after being exhumed along with other unknown soldiers buried at the Manila American Cemetery in the Philippines. The effort to identify the remains of the unknown soldiers associated with Common Grave 704 began in 2018 when the Department of Defenses POW/MIA Accounting Agency sent them to a lab for DNA testing. Immediately after the war, the remains were exhumed from the camp, along with the other unknown soldiers, and stored at the Manila American Cemetery at the Philippine capital as unknown. On Sept. 6, Calkins remains were brought home to his Oregon relatives with planeside honors at the Portland International Airport, the Oregon Military Department said. While family and community members gathered to honor Calkins on Friday, Oregon Army National Guard Chaplain Col. Jacob Scott, who officiated the memorial, offered up some words of remembrance. The Manila American Cemetery and Memorial in the Philippines occupies 152 acres on a prominent plateau in the capital city. In the center of those peaceful grounds stands a beautiful white marble memorial chapel. A limestone hemisphere monument features the tablets of the missing, and contains 36,286 names, Scott said. Billys name is permanently inscribed on those tablets. But from now on, his name will forever be adorned with a Brass Rosette, signifying that Billys remains will now rest in a known grave. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOIN.com. Pittsburgh police find missing woman last seen in Homewood UPDATE: Police say Michelle Walker has been found safe. Pittsburgh police are asking for help from the public in the search for a missing woman. Officials say Special Victims Unit Detectives are searching for Michelle Walker, 53, who was last seen walking from her home in Homewood towards Brushton Avenue around 3 p.m. on Sept. 13. Walker stands 5 feet tall and weighs around 130 pounds. She has black hair and brown eyes. She was last seen wearing a black spaghetti-strap tank top covered with a black shawl, blue jeans, and black high heels. Her hair was in a bun wrapped in a black scarf, and she wore a nose ring chain connecting to her ear. Walker may be driving a white Chrysler Pacifica with Pennsylvania plates LCK-9590 and could be in the Murrysville area. Anyone with information on Walkers location should contact police by calling 412-323-7141 or 911. Download the FREE WPXI News app for breaking news alerts. Follow Channel 11 News on Facebook and Twitter. | Watch WPXI NOW TRENDING NOW: Woman accused of killing and eating a cat in Ohio is not an immigrant, was born in Canton SKYLIGHTS 2024: Week 3 high school football final scores We just want our stuff back: Thousands of dollars of merchandise stolen from Pittsburgh business VIDEO: Parents still have questions after Carmalt Elementary School evacuated due to masked man in building DOWNLOAD the Channel 11 News app for breaking news alerts We recently compiled a list of the 10 Unstoppable Dividend Stocks to Buy. In this article, we will have a look at where Targa Resources Corp. (NYSE:TRGP) ranks among other unstoppable dividend stocks to buy. Its undeniable that dividends have played a key role in the markets returns over the past year. While they hit a rough patch for a bit, these stocks still have plenty of room to grow. Their rising significance is tied to the fact that US companies are boosting their dividend payouts, thanks to strong cash flow. Many US firms, particularly in the tech sector, have substantial cash reserves on their balance sheets. Due to this, several major tech companies have introduced dividend policies this year, sparking renewed interest in dividend stocks. In addition, with the market shifting away from top-performing stocks and the Federal Reserve likely to reduce interest rates, dividend stocks remain a valuable option for investors seeking solid returns. Dan Lefkovitz, a strategist for Morningstar Indexes, also supported investing in dividend stocks this year. Here are some comments from the analyst: Investing in dividend-paying stocks is a good way to participate in equities over the long term. There have been long stretches when the dividend-paying section of the market has outperformed. Eventually, theyll come back into favor. When it comes to dividend stock investing, the attention is often split between high yields and dividend growth. Analysts tend to favor dividend growth, as it offers a more reliable income stream. In contrast, high yields can sometimes be misleading, hinting at potential financial difficulties. A report from RBC Wealth Management highlights that high-yield stocks have been lagging behind those with lower yields this year. By July 2024, stocks yielding less than 1% delivered an average return of 18%, significantly outperforming the 0.9% average return of stocks yielding over 3%. The report also mentioned that the Dividend Aristocrats, companies that have raised their payouts for at least 25 consecutive years, have historically performed well both during and after economic downturns. Their success is built on appealing valuations relative to the broader market and business models that have proven durable in the face of economic uncertainty. Currently, these equities are trading at a trailing twelve-month P/E of 24.95, which indicates confidence in the stability and growth of these companies. Several reports have highlighted that while dividend growth companies might not deliver instant gratification, they provide significant long-term advantages. Nuveen, an Illinois-based financial planning firm, also expressed a positive view on dividend growth strategies this year, noting their strong historical track record. The report emphasized that companies focused on growing their dividends possess qualities that pave the way for solid performance in the future. Over the long haul, companies that consistently boost or introduce dividends have outpaced other market segments, achieving higher annualized returns with less volatility. While they may not always shine in every market condition, their steady, risk-adjusted returns over time make them a cornerstone for any equity portfoliotruly a case of slow and steady wins the race. With that, we will take a look at unstoppable stocks that pay dividends. Our Methodology: For this article, we first used a stock screener to identify stocks that have reported positive returns in 2024 so far. From this selection, we chose dividend stocks with year-to-date (YTD) gains of at least 30%, as of the close of September 9. The stocks were then arranged in ascending order of their YTD gains. We also measured hedge fund sentiment around each stock according to Insider Monkeys database of 912 funds as of Q2 2024. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points. (see more details here). 5 Countries That Produce the Most Natural Gas Targa Resources Corp. (NYSE:TRGP) Year-to-Date Return as of September 9: 67.8% Targa Resources Corp. (NYSE:TRGP) is a Texas-based midstream energy infrastructure company that mainly delivers natural gas and natural gas liquids in the US. The company saw gains from increased NGL supplies, both from its gathering and processing systems and from third-party sources. Additionally, the recent expansion of its LPG system and better market conditions contributed to its performance. The stock has delivered a 67.8% return to shareholders year-to-date. Targa Resources Corp. (NYSE:TRGP) expects a substantial increase in free cash flow for 2025, driven by the completion of upcoming expansion projects. As a result, the pipeline stock may have the momentum to sustain its upward trend. In the second quarter of 2024, the company posted an operating cash flow of $808.5 million, up from $622 million in the prior year period. Targa Resources Corp. (NYSE:TRGP) has consistently maintained its dividend performance. The company has raised its dividend significantly in the past years. In the most recent quarter, it returned $164 million to investors through dividends. The company pays a quarterly dividend of $0.75 per share and has a dividend yield of 2.09%, as of September 9. Its dividend is likely to keep increasing rapidly in the years ahead. The companys free cash flow is expected to receive a significant boost in 2025, as multiple expansion projects currently underway start operating and capital expenditures decrease. At the end of June 2024, 39 hedge funds tracked by Insider Monkey owned stakes in Targa Resources Corp. (NYSE:TRGP), up from 38 in the previous quarter. These stakes have a total value of $702 million. Overall, TRGP ranks 2nd on our list. While we acknowledge the potential for TRGP to grow, our conviction lies in the belief that some AI stocks hold greater promise for delivering higher returns and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than NVDA but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. Plaques that commemorate royal ribbon cutting are a feature of many British institutions. Now, however, a Belfast hospital has been left with an empty space on its wall after a tablet marking Prince Andrews role in formally opening Belfast City Hospital in 1986 was ripped off the wall by disgruntled staff. Despite an internal investigation, which not only recovered the plaque but also identified and disciplined the staff responsible, the plaque has been left in storage rather than being reinstated. The plaque was removed in reaction to accusations of sexual assault made against Andrew in a U.S. courtroom. Belfast lawmaker Colin McGrath told the BBC it was outrageous that staff had been disciplined for removing the plaque, saying they had done the hospital a favor adding, Either back your staff or back a commemorative display for Prince Andrew. I know which side I would be on. One group of people who will be looking enviously at Belfast City Hospitals simple solution to their Prince Andrew problem are those citizens of the British city of York who have said they would prefer their towns good name not to be connected with an alleged sexual predator. In 2022, York lawmaker Rachael Maskell sought to have Andrew stripped of the title Duke of York after polls showed that 80 percent of the citys citizens wanted to be freed of their link with the shamed royal. Andrew was given the title as a wedding present from the queen in 1986, and has retained it. Andrew paid a reputed $14 million to settle with Jeffrey Epstein victim Virginia Giuffre, who claimed Andrew raped her three times when she was a teenager. A new Amazon film about Andrew, A Very Royal Scandal, is due to be released next week. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Three people, including two Arlington students, were arrested in connection to school threats made in the past two days, according to Arlington police. Authorities said threats had been circulating on social media. We want to assure parents that we take every threat that gets reported very seriously, we thoroughly investigate each one, and well always take decisive and appropriate action to ensure the safety of our school campuses, said Arlington police in a Facebook post. The first arrest came Thursday after Arlington High School administrators notified school resource officers of a potential threat posted online, according to police. Authorities believe this threat was inspired by other threatening posts that were widely shared in the past week, the post says. Investigators determined 18-year-old Kevin Martinez-Molina, who is not a student, created the post. When apprehended by officers, he said he created the post as a prank, according to police. He was taken to the Arlington City Jail. That same day, Arlington police also took a Gunn Junior High student into custody after they made a verbal threat against the school. The threat was reported by multiple people who were extremely concerned about what they heard, Arlington police wrote in the Facebook post. On Saturday morning, officers arrested a Lamar High School student who sent a message threatening violence against a staff member, according to police. Both students were transported to the Tarrant County Juvenile Detention Center. Their names are being withheld due to their age. All three each face a charge of terroristic threat. The Arlington Police Department will not tolerate any behavior that disrupts students ability to learn or places them in fear, authorities said in the post. New police commissioner Tom Donlon meets with NYPD brass as he takes over for Edward Caban NEW YORK New York Police Department brass welcomed the citys new top cop Tom Donlon at 1 Police Plaza on Friday. Interim Police Commissioner Donlon entered the departments headquarters in Lower Manhattan around 4:30 p.m. for a meeting with more than a dozen police executives, including Chief of Department Jeffrey Maddrey, Chief of Patrol John Chell and Chief of Detectives Joseph Kenny. Donlon succeeds Edward Caban, who resigned Thursday amid a federal corruption investigation. Donlon, an FBI agent who investigated numerous high-profile terrorist attacks, including the 1998 bombings of the U.S. Embassies in Kenya and Tanzania, will be sworn in by NYPD Chief of Personnel John Benoit before leaving 1PP Friday. Once the new commissioner is sworn in, the department will remove images of former commissioner Edward Caban, which are hung at 1 Police Plaza and every precinct station house in the city. Its unclear if Mayor Eric Adams, sick with COVID-19, spoke with Donlon on Friday before his meeting with police brass. Rank-and-file patrolmen and women of the department are taking the change in leadership in stride, according to Assistant Commissioner Carlos Nieves Although there may be a change in leadership, the cops and detectives will be out there protecting the citizens of New York and our visitors, said Nieves. Donlons appointment as interim police commissioner comes amid multiple federal corruption probes that have involved raids on members of the NYPD and the upper echelons of Adams administration. Cabans twin brother, former NYPD Officer James Caban, also had his phones confiscated, as did several senior police officials, with sources saying the feds are investigating whether the commissioners sibling sold security favors to nightclubs. The former commissioner will stay on the citys payroll for another 30 days before officially stepping down next month. TWO RIVERS, Wis. (WFRV) In a news conference on Friday evening, police confirmed the skeletal remains found on Sept. 7 belong to Elijah Vue, a 3-year-old Wisconsin boy who was reported missing in February. Vues remains were found on private property by a person getting his land ready for the hunting season. The location was not on Manitou Girl Scout Camp property in Two Rivers, about 37 miles southeast of Green Bay, but rather a thick-wooded area with heavy underbrush. WATCH: Full news conference to update community on the search for Elijah Vue The remains were found three miles northwest of where Vue was initially reported missing, and authorities have searched the area several times. After the remains were discovered, they were taken to the Wisconsin Crime Lab for DNA testing, where they eventually came back with an identification of Elijah Vue. It is with a heavy heart that I announce to you today those remains were identified as Elijah Vue, said Two Rivers Police Chief Ben Meinnert. With that news and following this briefing, the AMBER Alert will be canceled. Police Chief Meinnert, visibly shaken up by the discovery, continued to say he never personally met Elijah, but he watched him bring out the best in the Two Rivers community. I cant thank the public and our businesses enough, Meinnert said before pausing to take a moment. Thank you to DCI for continuing to lead this investigation, the FBI for their support, and our local, state, and federal law enforcement and fire departments, as well as numerous neighboring agencies. Authorities have confirmed that what started as a missing person investigation has now transitioned to a death investigation. The Two Rivers Police Department says theyll continue to provide updates as they can but are asking the public to remain patient as the investigation will take significant time. Now that we know the location where Elijah was located off of Christy Bob Lane and Manitou Drive, we ask that anybody with any information, if you havent previously provided it or you think it may be useful in this investigation, to please contact us, concluded Police Chief Meinnert. Vue, who was 3 years old when he went missing on Feb. 20, was last seen in the 3900 block of Mishicot Rd. in Two Rivers by Jesse Vang, the boyfriend of Elijah Vues mother, Katrina Bauer. Vang, who was taking care of Elijah on the day he disappeared, told authorities that he fell asleep at one point and woke up to find the toddler gone. A criminal complaint obtained by Nexstars WFRV says that Bauer left Elijah with Vang, who she allegedly described as the enforcer of rules, to correct some of the boys bad behaviors and teach him to be a man. Vang and Baur have been formally charged in the Wisconsin Circuit Court system. Vang faces one count of chronic neglect of a child as a party to a crime. Baur faces one count of chronic neglect of a child as a party to a crime, as well as two counts of resisting or obstructing an officer and one count of neglecting a child. With the death of Vue confirmed, Baur and Vang could face additional charges. Baur initially told law enforcement that she was not in Manitowoc County from Feb. 12 to the 20th, but the criminal complaint states that she returned on February 16. According to phone data and witness accounts, Vang and Baur drove around Manitowoc together in the early hours of February 17. Vang entered a bar while Baur went to a Kwik Trip convenience store. On March 4, officers with the Two Rivers Police Department revealed a vehicle of interest and asked the public to check any surveillance footage captured between 2 and 9 p.m. on Feb. 19. The vehicle of interest, currently in law enforcements possession, is described as a 1997, four-door Nissan Altima, beige in color, bearing a Wisconsin license plate beginning with A and ending with 0. Officers also clarified that Jesse Vang and Katrina Baur are not the vehicle owners. Universities of Wisconsin adopt viewpoint-neutral policy for college leaders On March 18, authorities confirmed a blanket found earlier in the investigation belonged to Vue. The blanket was located 3.7 miles from where he was last seen. Local, state and federal law enforcement searched Manitowoc County and the surrounding areas for months, only finding small clues. As of Friday afternoon, no charges have been filed in the disappearance and death of Elijah Vue. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Queen City News. SPRINGFIELD, Ohio (WDTN) One person was shot in Springfield on Saturday morning. According to a sergeant with Springfield Police Division, crews are on scene of a shooting that took place in the 1200 block of W. Cedarview Drive. The initial call came in just before 10 a.m. Springfield hospitals lock down due to bomb threats Police say at least one person was shot in the incident. That person was taken to the hospital for their injuries. Their condition is unknown at this time. Officials on scene couldnt confirm if a suspect was in custody, but assured there is no threat to the public currently. Police on scene confirmed to 2 NEWS that this incident is unrelated to the unrest going on throughout the city. Police are asking that anyone with information on this incident call 937-328-2560 and ask to speak with a Springfield officer. 2 NEWS is working to learn more information. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WDTN.com. Police looking into allegations made against volunteer in McKeesport band booster club A new investigation is underway in the McKeesport Area School District. According to the district solicitor, police are looking into allegations made against a volunteer in the marching band booster club. The volunteer has not been named and, so far, has not been charged. This follows a sex scandal in the school district involving a school security guard who is facing charges after allegedly having a sexual relationship with a student. >> Security guard at local school accused of having relationship with student resulting in a child Investigative documents show it took employees days to report the alleged relationship. The superintendent ended up resigning. >> McKeesport Area school board meets after superintendent resigns amid security guard sex scandal Thursday night, Channel 11 learned three other employees are on unpaid leave. >> 3 McKeesport Area School District employees placed on unpaid leave amid security guard sex scandal Another employee has been reinstated after a disciplinary hearing. Download the FREE WPXI News app for breaking news alerts. Follow Channel 11 News on Facebook and Twitter. | Watch WPXI NOW TRENDING NOW: Woman accused of killing and eating a cat in Ohio is not an immigrant, was born in Canton Boars Head plant at center of deadly listeria outbreak to close We just want our stuff back: Thousands of dollars of merchandise stolen from Pittsburgh business VIDEO: $2.3M worth of heroin found in Pittsburghs Fineview neighborhood DOWNLOAD the Channel 11 News app for breaking news alerts DEKALB, Ill. (WTVO) DeKalb Police arrested Ezequiel Hernandez, 28, for allegedly stabbing a male victim in the stomach. On September 13th, around 2 a.m., officials responded to the 100 block of South 1st Street for a call that a person had been stabbed. Police said upon arrival, the victim had a laceration to his abdomen and was bleeding. The victim was taken to an area hospital for emergency medical care and needed surgery for his injuries. A witness told authorities that the victim and several offenders engaged in an altercation, which led to the victim being stabbed. The witness said the suspects fled the scene in a red vehicle, according to reports. Authorities stopped the red vehicle and learned Hernandez stabbed the victim, according to a police statement. Hernandez is charged with first-degree murder and is being held at the DeKalb County Sheriffs Office. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to MyStateline | WTVO News, Weather and Sports. Police search for man who attempted to kidnap 6-year-old girl near park on Far South Side Police search for man who attempted to kidnap 6-year-old girl near park on Far South Side CHICAGO Authorities in Chicago are asking for help identifying a man wanted in connection with an attempted abduction of a six-year-old girl on the citys Far South Side. According to Chicago police, the incident happened near Ada Park, in the 11200 block of South Ada Street, on Friday. Officers said the individual allegedly followed and approached a group of children in the area before he touched a 6-year-old girl and attempted to abduct her. Read more: Latest Chicago news and headlines Officers said the individual also allegedly invited the children to his home. On Saturday morning, officers shared photos of the person believed to have been responsible for the incident. Authorities in Chicago are asking for help identifying a man wanted in connection with an attempted abduction of a six-year-old girl on the city's Far South Side. Authorities in Chicago are asking for help identifying a man wanted in connection with an attempted abduction of a six-year-old girl on the city's Far South Side. Officers described him as a a man who is believed to be between 25 and 35 years of age, who stands between 5-foot-7 and 5-foot-10 and weighs between 160 and 180 pounds. Police said he also had close-shaven black hair, a beard and brown eyes. According to officers, the man was spotted wearing a light-colored long-sleeved shirt and green pants that were pulled up to his knees, and black Crocs-style shoes. Police said he also had close-shaven black hair, a beard and brown eyes. Officers warn the public to maintain an awareness of their surroundings and urge anyone who may witness a similar event not to approach the subjects and to instead note any unique details or characteristics about the offenders to provide the information to the police. LATEST CASES: Missing people in Chicagoland Those who witness any suspicious people or activity are advised to call 911. Anyone with information on the incident is asked to contact the CPD Special Investigations Unit at 312-492-3810 or dial 911. Those with information that could help detectives in their investigation can also leave a tip at CPDtip.com. Tips can be filed anonymously. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WGN-TV. Police searching for missing 18-year-old girl out of Kansas City KANSAS CITY, Mo. The Kansas City Police Department is searching for a missing 18-year-old girl who disappeared on foot early Saturday morning. According to KCPD, Gabrielle Staples was last seen at about 5 a.m. on Saturday leaving the area of Independence and Topping Avenues, which are located near a Price Chopper. Two juveniles with airsoft guns arrested outside Pleasant Hill school Police said Staples was wearing a grey sweatshirt and black shorts. She weighs approximately 115 pounds and stands at about 5-foot-2. Her family is concerned for her well-being, and police ask that if you see Staples or hear anything about her whereabouts, you call 911 immediately. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. EMERY COUNTY, Utah (ABC4) Officers seized a large amount of marijuana and cash during a traffic stop in Emery County on Friday, according to Helper Police. On Sept. 13, officers with Helper Police, Wellington Police, and the Emery County Sheriffs Office were assisting Utah Highway Patrol with a checkpoint on SR-6 at mile marker 266 in Emery County. During the checkpoint, an officer approached a vehicle with two individuals inside, identified as Taylor Tarazon, 40, of Texas, and Annette Bonner, of Texas. The officer told them that there was an odor of marijuana coming from the vehicle, and that officers were going to conduct a search. Family of Utahn sentenced to death in Congo speaks out Tarazon and Bonner adamantly stated that the officer was not allowed to search the vehicle, according to a probable cause statement. Police conducted a search and found a trash bag full of marijuana in the back seat. Due to the amount that was found, police placed Tarazon and Bonner into custody and had the vehicle towed for a more thorough search. Upon searching further, police found $10,000 cash, 84 pounds of a green leafy substance suspected to be marijuana, 2.5 pounds of green bricks suspected to be Hash, several smaller bags of a green leafy substance suspected to be marijuana, empty vacuum seal bags, a vacuum seal machine, and a red grinder with a green residue suspected to be marijuana in it. There was reportedly a total of 84 vacuum sealed bags, each containing about one pound of marijuana. Both Tarazon and Bonner were booked into Emery County Jail on charges of money laundering (second-degree felony), distribution of a controlled substance (third-degree felony), possession of marijuana (class B misdemeanor), and possession of drug paraphernalia (class B misdemeanor). Neither Tarazon nor Bonner have criminal histories, according to the affidavit. Charges are allegations only. All arrested persons are presumed innocent unless and until proven guilty beyond a reasonable doubt. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. We recently compiled a list of the 15 Best Humanoid Robot Stocks That Will Ride A $30 Trillion Opportunity According To Morgan Stanley. In this article, we are going to take a look at where Tenaris S.A. (NYSE:TS) stands against the other humanoid robot stocks. Over the course of the last century, the makeup of modern day society and the world as we know it has significantly changed. Humans living in the first quarter of the 20th century were just starting to get used to automobiles and long distance air travel was still a distant dream for most people. Now, with the age of artificial intelligence seemingly upon us, the world has changed. AI, a technology exclusive to science fiction in just the past decade, is now a reality even though its highest firm, i.e. artificial general intelligence, is far from being a reality. Similarly, while factories in the 20th century had to rely on workers for most of their production, now, automation is in full swing. No where is the impact of this clearer than in the factories of Elon Musk's car company. The electric vehicle billionaire has often described his attempts at establishing a viable production base for electric vehicles as "hell," and now, he believes that the future of the world lies in the hands of humanoid robots. So much so that Musk believes that by selling $1 trillion of humanoid robots annually, his company can reach an unbelievable market value of $25 trillion. Right now, it's valued at $708.7 billion after having lost 8.96% year to date, and the combined value of the S&P 500 is $45.7 trillion. Musk aims to have the first version of his firm's Optimus humanoid robot in small scale production early next year and start selling the humanoid robot to other companies in 2026. A simple humanoid isn't what one of the world's richest men is talking about though, since the key to his plan of selling robots is autonomy. This is the key valuation driver, believes Musk, as he shared during the Q2 2024 earnings call: And it takes the valuation, I think, to some pretty crazy number. ARK Invest thinks, on the order of $5 trillion, I think they are probably not wrong. And long-term Optimus, I think, it achieves a valuation several times that number. Ark Invest, Cathie Wood's hedge fund which filed $11.2 billion of investments with the SEC for Q2 2024, is also quite bullish on humanoid robots. Calling humanoid robots as generalizable robots, Wood's firm believes that they represent a $24 trillion revenue opportunity. This opportunity is split even between household and manufacturing robots, with the investment firm outlining that even a 50% take rate coupled with a 50% productivity boost could lead to a $7.1 trillion revenue opportunity for humanoid robots. Looking ahead, Ark Invest believes that humanoid robots will "have grown to 10% of the number of humans in the manufacturing workforce" by 2030 - in an era where cheap "robots in human form-factors have begun to populate households" to "address a third of household chores" and be an attractive purchase because of the time that they help people save. Story continues Cathie Wood and Elon Musk aren't the only ones who are convinced about the potential that humanoid robots offer. Another big believer is the investment bank, Morgan Stanley. It believes that the biggest potential of humanoid robots is the cost savings that they can offer. As per analyst Adam Jonas' estimates, these robots can "bring about cost savings of roughly $500,000 to $1 million per human worker over 20 years." These savings will come at a hairline of a fraction of the cost, with the bank's estimates sharing that they could cost anywhere between $10,000 to $30,000 to manufacture. The investment bank's estimates also believe that by 2030, America could have as many as 40,000 humanoid robots helping humans. Talking about overall usage, the industrial and other uses of humanoids could lead to a wage impact of $1 billion. These wage impacts grow as we move further down the future, and peak in 2050 when the bank believes that the humanoid population will be at an unbelievable 68 million for a wage impact of a whopping $3 trillion. Of course, the robots that the bank has envisioned aren't your everyday run of the mill equipment. These will use artificial intelligence, which naturally expands the investment options for investors looking to profit from this potential growth. As per analyst Ed Stanley, the list of potential contenders for investment as humanoid robot stocks includes "companies making the generative AI that will power the robots brains, the mechanics that make their bodies run, and the battery storage needed to power them. Further development in those three areas will be key to achieving humanoid commercialization.." If you thought that we were done with trillion dollar estimates for the humanoid robot industry, you'd be wrong. Another such estimate comes from the management consulting firm Roland Berger. It believes that if the sector scales according to optimistic projections, then by 2050, 50 million humanoid robots could generate $1.5 trillion in revenue. However, the firm does caution that this optimism is contingent on "heavily dependent on technological progress and the regulatory environment" as regulatory scrutiny of humanoids is benign right now as the majority of them are currently prototypes. Our Methodology We used Morgan Stanleys Humanoid 66 stock list. for our list of the biggest beneficiaries of the humanoid robot race. This list is divided into three categories, humanoid enablers and beneficiaries, enablers, and beneficiaries. From these three, we selected the top stocks from the beneficiaries list. If you're interested in the other two categories, be sure to check out $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley. For these stocks, we also mentioned the number of hedge fund investors. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points (see more details here). A close-up of an oil rig showing the precision engineering required to extract oil and gas. Tenaris S.A. (NYSE:TS) Number of Hedge Fund Investors in Q1 2024: 22 Tenaris S.A. (NYSE:TS) is a Luxembourg based specialty steel company that sells heavy duty products such as steel pipes and casings to the oil drilling industry. It also sells pipes used in car manufacturing and other industries. The nature of its products and manufacturing operations means that Tenaris S.A. (NYSE:TS) is particularly suited to benefit from humanoids due to the robots' weight lifting strengths and no susceptibility to injury when compared to humans. On the business front, Tenaris S.A. (NYSE:TS)'s exclusive focus on the oil industry means that its fortunes are also tied to the health of the sector. Additionally, any competition from cheap steel products can hurt its market share, and for investors, the key way to gain visibility into Tenaris S.A. (NYSE:TS)'s business is to check its backlogs. Its industrial products necessitate long lead times, and the resulting backlogs mean that the firm benefits from cash flow visibility. Tenaris S.A. (NYSE:TS) also benefits from long term contracts. On this front, here's what management shared during the Q2 2024 earnings call: "This quarter we renewed our long-term contract for Shell operation in the Gulf of Mexico, and have been selected by ExxonMobil for their upcoming operation in Angola. We were also awarded the supply of casing and offshore line pipe and coatings by Woodside for Trion project in May. In the second half, we will begin deliveries of coated line pipe for Equinor Orion [ph] project in Brazil and we have an extensive backlog of order for offshore projects going into 2025. Today however, as we look towards the second half we see that our sale will be lower than the sale in the first semester, affected mainly by three factors in the United States a record level of oil and gas production are being sustained even if drilling activity decreased, reduced overall demand for pipes." Overall TS ranks 12th on our list of the best humanoid robot stocks to buy. While we acknowledge the potential of TS as an investment, our conviction lies in the belief that some AI stocks hold greater promise for delivering higher returns and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than TS but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. By Olena Harmash KYIV (Reuters) - European governments should halt welfare benefits to Ukrainian men of military age who are living in their countries, Poland's foreign minister said, a measure he said would help Ukraine call up more troops to fight Russian forces. Following a meeting in Kyiv with his Ukrainian counterpart, Poland's Radoslaw Sikorski said ending social benefits for Ukrainian male refugees would also benefit state finances in host countries in Western Europe. More than 4.1 million Ukrainians had temporary protection status in European Union countries as of July this year, and about 22% of them were adult men, according to data from the EU statistics office, Eurostat. "Stop paying those social security payments for people who are eligible for the Ukrainian draft. There should be no financial incentives for avoiding the draft in Ukraine," Sikorski said at a conference of international leaders in Kyiv. "It's not a human right to be paid to avoid the draft, to defend your country. We in Poland don't do it." Ukrainian Foreign Minister Andrii Sybiha welcomed Sikorski's call. "It's time really to raise the question of the European Union developing programmes to return Ukrainians home. Certainly, appropriate conditions should be created for this. But this should be on the agenda. And I support the idea of Minister Sikorski," Sybiha said. Nearly 31 months into the war against Russia and with Moscow's forces slowly but steadily advancing in eastern Ukraine, Kyiv needs more soldiers to maintain its defence lines, rotate out exhausted troops and make up for losses. Russia has a significant advantage in staff numbers and weapons on the battlefield. Earlier this year, Ukraine adopted new legislation and implemented other measures, including lowering the call-up age for combat duty to 25 from 27 to increase the pace of mobilisation into the army. Under the new law, Kyiv ordered Ukrainian men living abroad to renew their military draft information online and encouraged them to return to Ukraine and join the fight. Ukraine imposed martial law at the start of Russia's invasion in February 2022, banning men aged 18 to 60 from travelling abroad without special permission and beginning a rolling mobilisation of civilian men into the armed forces. But many men of military age have still fled abroad to avoid the draft amid reports of corruption in the army recruitment system, allowing some men to bribe their way out of army service. (Reporting by Olena Harmash; Editing by Helen Popper) Poll asking which school to shoot up circulates in Fresno County Poll asking which school to shoot up circulates in Fresno County FRESNO COUNTY, Calif. (KSEE/KGPE) An elementary school student in Fresno County was identified as the source of a poll that circulated on social media Thursday night asking people to vote on which school to shoot up, according to the Fowler Police Department. Police say the social media poll had various schools on it, including schools in Selma, Fowler, and elsewhere in Fresno County. According to Fowler Police, no specific school site in Fowler was identified in the post. Officers began coordinating with other law enforcement agencies to find out the source of the post. Officials say a student at a rural elementary school in Fresno County was identified Friday morning as the person responsible. Officers say it is believed that this student posted the poll from his phone as a joke. According to officials, it did not appear that the student had access to weapons and that the threat was not a credible threat of violence. This is an ongoing investigation. No other information was released by law enforcement. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. Pope Francis says U.S. voters must choose between "evils": Harris and Trump Pope Francis is warning American Catholic voters that they will have to make a choice between two evils in Vice President Kamala Harris and former President Donald Trump, arguing that each of their platforms stand against life. The Catholic leader, speaking from the papal plane on Friday, framed the choice as between lesser of two evils, but conceded that you have to vote. One must choose the lesser of two evils. Who is the lesser of two evils? That lady or that gentleman? I dont know, Pope Francis said. The Holy See went on to attack Trumps anti-immigrant rhetoric and plans as sinful, as consistently argued since Trump first ran for office. Earlier this year, Francis denounced efforts to close the southern border, saying Catholic doctrine rejected anti-immigrant sentiments. To send migrants away, to leave them wherever you want, to leave them its something terrible, there is evil there, the Pope said about Trumps plan for the bloody mass deportation of tens of millions of people. Pope Francis, who was called out earlier this year for his repeated use of homophobic slurs, denounced Harriss plan to restore abortion access to Americans, too. To send away a child from the womb of the mother is an assassination, because there is life. We must speak about these things clearly, Francis said. American Catholics in 2020 voted 50% for Catholic Biden and 49% for Trump, per Pew Research. FILE-Pope Francis attends his weekly General Audience at the Paul VI Hall on August 09, 2023 in Vatican City, Vatican. (Photo by Vatican Media via Vatican Pool/Getty Images) Pope Francis criticized Vice President Kamala Harris and Donald Trump for what he called anti-life policies on abortion and immigration. He is also advising American Catholics to choose between the presidential candidate they believe is the "lesser evil" in the November election. According to the Associated Press, Francis was asked to offer counsel to American Catholic voters on a flight home from Asia Friday as he emphasized that he is not an American and will not vote. RELATED: Immigration and Border Security: Harris vs. Trump Francis shared his thoughts on abortion and immigration, two of the key issues in the U.S. election, and topics that are concerns of the Catholic Church. He has made the struggle of migrants a priority in his speeches, while supporting church stances forbidding abortion. Here's where Harris and Trump stand on the issues of abortion and immigration. Abortion What Harris has said Kamala Harris is pushing Congress to pass legislation guaranteeing in federal law abortion access, a right that stood for almost 50 years before it was overturned by the Supreme Court. The vice president has criticized abortion bans in Republican-controlled states and promised as president to block any potential U.S. ban should one clear a future GOP-run Congress. RELATED: Debate recap: How Trump, Harris addressed key issues Harris has promoted the Biden administration's efforts short of federal law including steps to protect women who travel to access the procedure and limit how law enforcement collects medical records. What Trump has said Donald Trump has talked about appointing the Supreme Court justices who overturned Roe v. Wade. After avoiding questions about when, in pregnancy, he believes the procedure should be restricted, the former president announced in 2023 that decisions on access and cutoffs should be left to the states. Trump said he would not sign a national abortion ban into law and recently said he would not attempt to block access to abortion medication. The Republican presidential candidate told Time magazine that it should also be left up to states to determine whether to prosecute women for abortions or to monitor their pregnancies. Trump added that if he wins, he wants to make IVF treatment free for women. Immigration What Trump has said Trump has promised to launch the largest domestic deportation in U.S. history an operation that could involve detention camps and the National Guard. According to the AP, he has discussed bringing back policies he put in place during his first term as president, including the Remain in Mexico program and Title 42, which placed curbs on migrants on public health grounds. Trump would also restore and expand the travel ban that targeted citizens from seven Muslim-majority countries. Trump would also attempt to deport individuals in the U.S. legally but harbor "jihadist sympathies." The AP noted that he would seek to end birthright citizenship for people born in the U.S. whose parents are both in the country illegally. What Harris has said Donald Trump and top Republicans are blaming Kamala Harris for a situation at the U.S.-Mexico border that they claim is out of control due to policies by the Biden administration that were too lenient. The vice president contends that Trump made the situation worse by stopping a bipartisan Senate compromise that would have included tougher asylum standards and hiring more border agents, immigration judges and asylum officers. Harris said she would bring back that bill and sign that law, saying that Trump "talks the talk, but doesn't walk the walk" on immigration. She has also supported comprehensive immigration reform, seeking pathways to citizenship for immigrants in the U.S. without legal status, with a faster track for young immigrants living in the country illegally who arrived as children. MADERA COUNTY, Calif. (KSEE/KGPE) A lockdown was in effect at Minarets High School in Madera County after deputies were made aware of a potential threat on campus Friday afternoon, the Madera County Sheriffs Office said. According to the Sheriffs Office, deputies responded to the Minarets High School for a potential threat to staff and students at about 2:30 p.m. on Friday. Upon arrival, deputies say they did a thorough sweep of the campus and did not locate evidence of any potential threat. At about 4 p.m. Friday, deputies announced the lockdown at the school was lifted. This is at least the sixth threat reported in Central Valley schools in the past week: The Sheriffs Office says they will continue to patrol in the area through Friday evening. They also wanted to reassure parents and residents of the area that there was no threat to public safety. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. CHAMPAIGN, Ill. (WCIA) The contract for building service workers at University of Illinois Urbana-Champaign was not approved. SEIU Local 73 announced in a Friday afternoon release that food service and building service workers voted against the latest contract proposal. The union and university have been negotiating for eight months. Melody Decker, the chapter president, said in a release management is unwilling to offer 30 more cents in raises over three years. We are severely short staffed and the wages UIUC is offering do not go far enough to recruit and retain workers, said Dena Gary, a head cook and a member of the unions bargaining team. Decatur custodians approve union agreement with school district The union can strike as soon later this month, but union officials said they hope to continue negotiations for an equitable agreement. However, since we have already delivered the legally required strike notification, our members are prepared to strike at any time if necessary to secure a fair contract, Joseph Richert, SEIU Local 73s Secretary-Treasurer said. A University of Illinois spokesperson provided the following statement. The university values our building and food service workers and their contributions to our mission, Robin Kaler, the Associate Chancellor for Strategic Communication and Marketing, said. We reached a good-faith agreement with the SEIU Local 73 bargaining team on two separate occasions. The agreement is consistent with the market, and we are disappointed union members chose not to ratify it. We are preparing for a possible strike that could happen as early as September 22. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WCIA.com. FILE - Comoros' President Azali Assoumani waves as he is escorted by Chinese officials upon arrival at the Beijing Capital International Airport, in Beijing, on Sept. 1, 2024. (AP Photo/Andy Wong, Pool, File) MORONI, Comoros (AP) The president of the Indian Ocean island nation of Comoros was "slightly injured" in a knife attack while attending the funeral of a religious leader on Friday, his office said. The suspect, who was identified as a 24-year-old male soldier, was then found dead in a police cell on Saturday. President Azali Assoumani's injuries were not serious and he had returned to his home, his office said in a statement. It said the attacker was arrested by security forces and was taken into custody, but authorities said he had been discovered a day later unresponsive in a cell where he was being held and was declared dead. The suspect was identified as Ahmed Abdou. Public prosecutor Ali Mohamed Djounaid said Abdou had attacked Assoumani with a kitchen knife at the funeral. A civilian was also injured during the attack while attempting to protect the president, government minister Aboubacar Said Anli said. The attack happened in the town of Salimani on the outskirts of the capital Moroni. "The presidents security agents immediately subdued the young man and handed him over to investigators, public prosecutor Djounaid told reporters. He said an investigation was underway into the attacker's motive and the circumstances of his death while in custody. Assoumani was reelected as president of Comoros in January in a vote denounced by opposition parties as fraudulent. At least one person died in unrest following the election. The country, which is made up of an archipelago of islands off the east coast of Africa, has experienced more than a dozen coups or attempted coups since independence from France in 1975. Assoumani, 65, is a former military officer who first came to power in a coup in 1999. He served a first term as president from 2002 - 2006 and was elected again in 2016. He has been the leader since and extended his presidency into a fourth term this year after changing the constitution to remove term limits and abolishing a system that saw the presidency rotated between Comoros' three main islands. He has been accused of cracking down on dissent and banning peaceful protests. ___ AP Africa news: https://apnews.com/hub/africa "Pages of history" features excerpts from The News Journal archives including the Wilmington Morning News and the Evening Journal. Sept. 17, 2003, The News Journal Aid sought for New Castle County flooding victims Gov. Ruth Ann Minner will declare flood-damaged communities of New Castle County disaster areas today, the first step to getting federal disaster assistance. ... Glenville was the area hardest hit by the floods on Monday. New Castle County building inspectors declared 149 of 195 homes in the community uninhabitable. Residents of the Stanton-area community spent Tuesday evening cleaning up the damage. ... The front page of The News Journal from Sept. 17, 2003. Other areas cleaning up Tuesday included Yorklyn and an area near Hockessin where the historic Wooddale Covered Bridge was destroyed by floodwaters, cutting off 15 families from their homes on Foxhill Lane and Wooddale Road. ... Employees at the NVF plant on Yorklyn Road were digging through debris and waiting for the electricity to be turned on. Bill Campbell, executive vice president of the company, said windows of the plant were destroyed by the force of the currents and the first floor was washed out. ... The torrential rains that lingered over areas of Delaware and Pennsylvania caused a dramatic surge in all four of the states major streams, especially along Red Clay Creek. ... Mondays sudden jump in creek levels caught residents and forecasters by surprise. Federal monitors show the Red Clay rose nearly 7 feet in two hours Monday morning at Kennett Square, Pa., just north of the Delaware line. ... Near-record flooding was reported along Brandywine Creek, White Clay Creek and the Christina River near Newark. ... Recent flooding news: After 2 ocean breaches, state uses riprap to fortify dune by Indian River Inlet bridge Sept. 18, 1893, Evening Journal All Washington alive with processions, music and singing; Capitol centennial celebrated One hundred years ago today, with all the ceremonies which the period would allow, the corner stone of the Capitol building was laid by President George Washington, and today the citizens of the magnificent city that has grown about the site selected for the structure, together with the highest officials of the nation and the public men from all sections of the land, celebrated the centennial anniversary of the event with exercises so impressive in character as to fitly mark an epoch in the history of this splendid building. Front page of the Evening Journal from Sept. 18, 1893. First with a military and civic pageant, then with oratory and music, the event was commemorated. The participants were the representatives of all the branches of the government, including President Cleveland and his Cabinet and the members of both houses of Congress and the highest judicial officers of the country, together with thousands of the people themselves. ... Recent news about U.S. Capitol: Wilmington man pleads guilty to felony for participation in Jan. 6, 2021, Capitol riot Sept. 19, 1975, The Morning News Patty Hearst, SLA trio nabbed in San Francisco Newspaper heiress Patricia Hearst and her three fugitive companions were captured without a fight in San Francisco yesterday, ending one of the longest and most bizarre manhunts in American history. ... The sudden and unspectacular apprehension by FBI agents and San Francisco police provided a whimper ending to the violent history of the Symbionese Liberation Army. Miss Hearst, the 21-year-old daughter of Randolph A. Hearst, president of the San Francisco Examiner, was held on a total of $500,000 bail on criminal charges in San Francisco and Los Angeles. Her attorney, Terence Hallinan, said her family would seek to provide the money. ... Front page of The Morning News from Sept. 19, 1975. Among the others arrested, William Harris and his wife, Emily, are avowed members of the SLA, the small terrorist band that claimed credit for the assassination of Marcus Foster, the black school superintendent in Oakland, Calif., and later kidnapped Miss Hearst from her Berkeley apartment Feb. 4, 1974. ... The Hearst case caught the nations fancy from the beginning. Miss Hearst, scion of a publishing fortune, had been taken from her Berkeley apartment in the dead of night. A nation of television viewers watched her parents ordeal, their pleas that she be set free and later, after the bombshell of her claimed conversion to the terrorism of the SLA their pleadings that she give herself up. Miss Hearst, in one of many tape-recorded messages sent to a radio station, announced April 3, 1974 two months after her abduction that she was renouncing her family. ... Sept. 20, 1881, Every Evening President Garfield dead President Garfield died at 10:35 last night, thus ending his long sufferings. ... Mrs. Garfield bore the trying ordeal with great fortitude and exhibited unprecedented courage after her husband was shot more than two months ago. ... Front page of the Every Evening from Sept. 20, 1881. Soon after 9 oclock on the morning of Saturday, July 2, the President entered the station of the Baltimore & Potomac Railroad at Washington, in company with Secretary of State Blaine, being about to join his wife at Long Branch for an extended trip through the Northern States. The President was well advanced into the room when suddenly two pistol shots were heard and Mr. Garfield was seen to stagger. At the same moment the unknown assassin attempted to escape. Mr. Blaine rushed out to grapple with him but in an instant the miscreant was seized by half a dozen men, and soon after, amid the threats of the excited and bewildered crowd, conveyed to jail. Meanwhile the wounded President sank upon the floor and several physicians were called. A hasty examination showed that one shot had taken effect, that entered upon the right side, striking the 11th rib, and burying itself. Reach reporter Ben Mace at rmace@gannett.com. This article originally appeared on Delaware News Journal: News Journal archives Sept. 15-21: Garfield dies, New Castle flooding PRINCE WILLIAM COUNTY, Va. (DC News Now) The Prince William County Police Department (PWCPD) said a person was shot on Saturday afternoon. In a post on X just after 4 p.m., the department said officers were dispatched to the 14100 block of Richmond Highway to investigate a shooting. Small plane with 3 people on board crashes off runway at BWI Airport Officers said one person was injured. The extent of their injuries is unknown. In an update at 4:53 p.m., the PWCPD said one person is in custody. Police said residents should expect a heavy police presence in the area as the investigation continues. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to DC News Now | Washington, DC. Prince William and Princess Kate have stepped in to help a London food bank recently targeted by thieves. Last Sunday, a Southwark food bank in Peckham was raided completely, leaving their shelves empty and volunteers heartbroken. The impact of the raid left dozens of families without supplies, and a hefty bill for the food bank to cover damages done to the property in addition to the 3,000 ($3,325) worth of products stolen. Chris Jackson/Getty Images Luckily, the Prince and Princess have come to the rescue. According to BBC, the royal couple privately made a donation to the center to fully replace the items stolen. Pecan chief executive Peter Edward told the publication: "This surprise donation by the Prince and Princess of Walesfollowing such a difficult spell for their familyunderlines their kindness and decency. After serious ill health, their first thought was of how to help others." Chris Jackson/Getty Images Noting the couple pledged 3,500 ($3,879) he added: "Their generosity will inspire staff and volunteers to redouble Pecan's efforts to alleviate poverty in London." The food bank had already experienced a surge in donations following the event, and now with the contribution of the Prince and Princess, their shelves are fully restocked. Edwards took the opportunity to express gratitude for the generosity of all who donated. Samir Hussein/WireImage "We thank them all for their support at the end of a very tough week. We are delighted that after such a difficult time for their own family, the Waleses' thought of us and the people of the community in need across Southwark, and that's testament to their decency and compassion." This is definitely a sign for all of us to go out and do something this weekend that makes somebodys day a little brighter. Stay up-to-date on every breaking royal family story by subscribing here. Prince William Captured in Striking New Black-and-White Photo as He Stands Alone in Military Uniform Why You Should Trust Us PureWow's editors and writers have spent more than a decade shopping online, digging through sales and putting our home goods, beauty finds, wellness picks and more through the wringerall to help you determine which are actually worth your hard-earned cash. From our PureWow100 series (where we rank items on a 100-point scale) to our painstakingly curated lists of fashion, beauty, cooking, home and family picks, you can trust that our recommendations have been thoroughly vetted for function, aesthetics and innovation. Whether you're looking for travel-size hair dryers you can take on-the-go or womens walking shoes that wont hurt your feet, weve got you covered. We recently compiled a list of the 10 Unstoppable Dividend Stocks to Buy. In this article, we will have a look at where Vertiv Holdings Co (NYSE:VRT) ranks among other unstoppable dividend stocks to buy. Its undeniable that dividends have played a key role in the markets returns over the past year. While they hit a rough patch for a bit, these stocks still have plenty of room to grow. Their rising significance is tied to the fact that US companies are boosting their dividend payouts, thanks to strong cash flow. Many US firms, particularly in the tech sector, have substantial cash reserves on their balance sheets. Due to this, several major tech companies have introduced dividend policies this year, sparking renewed interest in dividend stocks. In addition, with the market shifting away from top-performing stocks and the Federal Reserve likely to reduce interest rates, dividend stocks remain a valuable option for investors seeking solid returns. Dan Lefkovitz, a strategist for Morningstar Indexes, also supported investing in dividend stocks this year. Here are some comments from the analyst: Investing in dividend-paying stocks is a good way to participate in equities over the long term. There have been long stretches when the dividend-paying section of the market has outperformed. Eventually, theyll come back into favor. When it comes to dividend stock investing, the attention is often split between high yields and dividend growth. Analysts tend to favor dividend growth, as it offers a more reliable income stream. In contrast, high yields can sometimes be misleading, hinting at potential financial difficulties. A report from RBC Wealth Management highlights that high-yield stocks have been lagging behind those with lower yields this year. By July 2024, stocks yielding less than 1% delivered an average return of 18%, significantly outperforming the 0.9% average return of stocks yielding over 3%. The report also mentioned that the Dividend Aristocrats, companies that have raised their payouts for at least 25 consecutive years, have historically performed well both during and after economic downturns. Their success is built on appealing valuations relative to the broader market and business models that have proven durable in the face of economic uncertainty. Currently, these equities are trading at a trailing twelve-month P/E of 24.95, which indicates confidence in the stability and growth of these companies. Several reports have highlighted that while dividend growth companies might not deliver instant gratification, they provide significant long-term advantages. Nuveen, an Illinois-based financial planning firm, also expressed a positive view on dividend growth strategies this year, noting their strong historical track record. The report emphasized that companies focused on growing their dividends possess qualities that pave the way for solid performance in the future. Over the long haul, companies that consistently boost or introduce dividends have outpaced other market segments, achieving higher annualized returns with less volatility. While they may not always shine in every market condition, their steady, risk-adjusted returns over time make them a cornerstone for any equity portfoliotruly a case of slow and steady wins the race. With that, we will take a look at unstoppable stocks that pay dividends. Our Methodology: For this article, we first used a stock screener to identify stocks that have reported positive returns in 2024 so far. From this selection, we chose dividend stocks with year-to-date (YTD) gains of at least 30%, as of the close of September 9. The stocks were then arranged in ascending order of their YTD gains. We also measured hedge fund sentiment around each stock according to Insider Monkeys database of 912 funds as of Q2 2024. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletters strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points. (see more details here). Vertiv Holdings Co (NYSE:VRT) Year-to-Date Return as of September 9: 61.4% Vertiv Holdings Co (NYSE:VRT) ranks fourth on our list of the best unstoppable stocks that pay dividends. The Ohio-based company provides critical digital infrastructure and continuity solutions. It is reaping the rewards from the swift growth of data centers specifically designed for artificial intelligence. The stock has surged by over 61% in 2024 so far. Vertiv Holdings Co (NYSE:VRT) continues to witness the growing expansion of AI deployment and has the necessary capacity to capitalize on this crucial opportunity while maintaining its focus on future investments. The company acts as the link between IT and facilities in data centers and is only starting to unlock the vast potential of its unique industry position. By utilizing the most comprehensive portfolio of critical digital infrastructure solutions across the entire range of thermal and power technologies, and backed by a global team of over 3,750 field service engineers, it is well-positioned to assist customers in navigating this increasingly complex landscape. This was also mentioned by Baron Funds in its Q2 2024 investor letter. Here is what the firm has to say: Vertiv Holdings Co (NYSE:VRT) a leading provider of critical digital infrastructure for data centers, contributed during the quarter. As an industry leader in data center cooling and power management, Vertiv is poised to benefit from AI-driven growth in data center spend. The NVIDIA partner network, strong industry relationships, and broad product portfolio that Vertiv maintains enables its participation in the creation of the technology roadmap for the future of the data center. In addition, Vertiv is investing in its capacity to serve this growing end market more effectively. The company also has an extensive global service network to aid customers as they grow. We believe the company has durable competitive advantages and a flexible balance sheet to benefit from the expected significant capital investment in data centers for years to come. Vertiv reported very strong results for the March quarter, with orders up 60%, which highlighted the strong demand it is seeing for its products. We sold some of our position into strength after the runup from the positive report, but still hold a major position in the Fund as we see considerable upside in the shares over time. In the second quarter of 2024, Vertiv Holdings Co (NYSE:VRT) reported revenue of $1.9 billion, up 13% from the same period last year. The companys cash position was also strong as it generated $378 million in operating cash flow during the quarter, showing an increase of $125 million from the same period last year. Its adjusted free cash flow was $333 million, an increase of $106 million from Q2 2023. The cash flow was the result of increased adjusted operating profit and better management of working capital. Vertiv Holdings Co (NYSE:VRT) started paying dividends in 2020 and has paid regular dividends since then. The company currently offers a quarterly dividend of $0.025 per share and has a dividend yield of 0.13%, as of September 9. According to Insider Monkeys database of Q2 2024, 92 hedge funds owned stakes in Vertiv Holdings Co (NYSE:VRT), growing from 85 in the previous quarter. These stakes have a total value of over $3 billion. Among these hedge funds, Coatue Management was the companys leading stakeholder in Q2. Overall, VRT ranks 4th on our list. While we acknowledge the potential for VRT to grow, our conviction lies in the belief that some AI stocks hold greater promise for delivering higher returns and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than NVDA but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. This article is originally published at Insider Monkey. The Prince of Wales has revealed he wants a return to flying - Julian Simmonds/Julian Simmonds The Prince of Wales made a private visit to a helicopter base, just days after revealing he would love to make a return to the skies. Prince William, 42, surprised staff when he turned up at the official opening of the new Airbus Helicopters headquarters at Oxford Airport on Friday. His low-key appearance was not announced in advance and Kensington Palace said he was there in a private capacity. It is understood that he was invited by Airbus because of his connection with London Air Ambulance, of which he is patron. Dressed down in a blue jumper, the Prince chatted informally with staff and was seen studying the tail rotor of a new H160 helicopter. He was also shown around a newly delivered Airbus H135, bound for London Air Ambulance, which was on display in the hangar and of particular personal interest. The helicopter is an updated version of the model the Prince flew during his two years as a pilot with the East Anglian Air Ambulance between March 2015 until July 2017. Prince William meets Airbus workers during his private trip - Julian Simmonds/Julian Simmonds The visit came as it emerged that Prince George looks set to follow in his fathers footsteps, having taken his first flying lesson. Watched by his parents earlier this month, the 11 year-old flew in a single-engine Piper PA-28 with dual controls an aircraft specifically designed for flight training at the White Waltham Airfield near Maidenhead, Berks. The Prince of Wales is believed to have flown to the new 50 million Airbus HQ from Windsor by helicopter, and slipped into the facility with no fanfare. He appeared to enjoy talking shop with Bruno Even, CEO of Airbus, as well as a handful of engineers with the base largely operating as a servicing and maintenance facility for helicopters flown by the emergency services. On a visit to the Wales Air Ambulance headquarters In Llanelli, South Wales, he said: Id love to fly again, I could volunteer for a weekend to make a comeback. The heir to the throne chatted with the pilots and crew of the 8.5 million Airbus H145S chopper, which he is still qualified to fly, revealing that there were plans in the pipeline for him to fly with the team from its base in Cardiff Bay. The Prince completed an intensive four-month flying course at RAF Cranwell in Lincolnshire in April 2008, receiving his wings from his father. He faced criticism that month after he was allowed to practise landing a Chinook helicopter in a field behind the Middleton family home in Bucklebury, Berks, and also fly himself and his brother, Prince Harry, to a stag do on the Isle of Wight. The Prince of Wales dressed down for the event in a blue jumper and shirt - JULIAN SIMMONDS FOR THE TELEGRAPH The Ministry of Defence defended the move, insisting that the flights formed part of his training. The Prince, known as Flt Lt Wales. went on to complete a one-year advanced helicopter training course at RAF Shawbury in Shropshire, before training as a search and rescue helicopter pilot at RAF Valley in Anglesey, North Wales. He qualified in September 2010 and immediately began operational service as co-pilot of a Sea King Mk3 helicopter, working as part of a four-person crew. His active service ended three years later, having conducted 156 search and rescue operations and helped rescue 149 people. The Prince has made no secret of how much he relished his time flying helicopters, both with the air ambulance and the RAF, and has maintained a close interest in the industry. In 2016, he said: Its rewarding when I come here to do this job and I really look forward to coming here every day, whether its at 5.30am or going to bed at two in the morning. The shift work is still exciting and challenging for its variety more than anything and the fact that I love working in a team. And thats something that my other job doesnt necessarily do. Youre more out there on your own a little bit. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Editors note: This story was updated to correct the month of Peter Cichuniecs initial sentencing. DENVER (KDVR) Peter Cichuniec, one of the paramedics convicted in the 2019 death of Elijah McClain, has had his sentence reduced. Cichuniec, along with fellow former Aurora Fire Rescue paramedic Jeremy Cooper, was found guilty in December 2023 of criminally negligent homicide. Cichuniec was also found guilty of second-degree assault for unlawfully administering ketamine without consent. In March, he received a 5-year sentence in the Colorado Department of Corrections for the second-degree assault charge, to be followed by a three-year parole term. He was also given a one-year concurrent sentence for the criminally negligent homicide charge. FOX31 obtained court documents showing that on Aug. 22, Cichuniec requested that the court modify and reduce his sentences to concurrent probationary sentences with no further punitive sanctions. On Friday, Colorado Attorney General Phil Weiser told FOX31 in a statement that Cichuniecs sentence has been reduced. After considering the evidence, a statewide grand jury indicted Cichuniec, and a jury of his peers found him guilty of his criminal acts that led to the death of Elijah McClain. We are disappointed the court reduced his sentence today, but we respect the courts decision, Weiser said. The Colorado Department of Corrections told FOX31 that Cichuniec was released on Friday. FOX31 has reached out to Cichuniecs attorney for comment. This article will be updated. FOX31s Kasia Kerridge checked in with a civil rights activist who worked to bring justice to McClains family. The judge gave this guy five years, and now all of a sudden they overturned the five years That is wrong, Alvertis Simmons said. What you said to us is a Black life doesnt matter. Black life doesnt matter. Thats not right. All around the world is watching Aurora, Colorado. Look what you do. What are you going to do, Aurora? You going to keep on letting these guys get away with murder? Because thats what youre doing. Youre letting them get away with murder. What happened to Elijah McClain? McClain, a 23-year-old Black man, was walking home from a convenience store the night of Aug. 24, 2019, when someone reported him as suspicious and police stopped him. Officers put him in a neck hold and pinned him down while the paramedics injected the sedative ketamine. He died six days after the incident, and the coroner later determined he died due to ketamine administration after forcible restraint. Others also convicted in McClains death Cooper, the paramedic who administered the ketamine, was not found guilty on second-degree assault charges and was sentenced to four years of probation for criminally negligent homicide. Three officers also stood trial for their alleged roles in McClains death. One officer was found guilty of criminally negligent homicide and third-degree assault. The two other officers were acquitted of all charges, and one has returned to work as a police officer. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX31 Denver. Dave Ratcliffe said it was a privilege to be a part of the commemorate event [Emma Baugh/BBC] A pilot said it was a "privilege" to be a part of the biggest air show of the year at an imperial war museum. The Duxford Battle of Britain Air Show event in Cambridgeshire will take place from 08:00 BST to 18:00 on Saturday and Sunday to commemorate the World War Two military campaign. Spitfires, Hurricanes and other warbirds will take to the air as music, dance, living history groups and activities from the era take place back on the ground. Pilot, Dave Ratcliffe, known as Rats, said: "You have to pinch yourself, it's a fantastic thing to do...a huge privilege to fly these." Tickets for the event must be bought in advance, entry is free to children under 15 [Emma Baugh/BBC] IWM Duxford celebrated its 50th anniversary of hosting air shows last year. Head of commercial services for the museum, Adam Kendall, said he had been involved for about 21 years and never tired of seeing the aircrafts fly. He said many World War Two veterans were "unfortunately no longer with us" so it was important to "tell their stories now that they can't". "It's a really good opportunity to understand more about what took place during World War Two, and what people went through...the whole site is just such a historic treasure trove," he said. "It is an experience to see these incredible aircrafts being flown expertly around the site." The Duxford Battle of Britain Air Show will take place at the former RAF site that played a leading role in some dramatic days in 20th century history. It served as a base for many of the Spitfire and Hurricane pilots during World War Two. Mr Ratcliffe said: "It's absolutely fabulous, a great privilege and they are great to fly, full of history. "The Battle of Britain was a momentous turning point in history...we have to commemorate it every year. We need to remember." Follow Cambridgeshire news on BBC Sounds, Facebook, Instagram and X. Related internet links Related internet links Public encouraged to weigh in on property tax estimates at local level The North Dakota Capitol looms over a sea of new residential developments in north Bismarck. (Mary Steurer/North Dakota Monitor) North Dakota residents with questions or concerns about their property tax estimates have a few weeks to say their piece before local governments finalize their budgets. County treasurers are required by law to send the tax estimates to property owners with anticipated property taxes of $100 or more by the end of August each year. The treasurers prepare those estimates based on local governments budget proposals. Local governments hold public hearings on their proposed budgets and tax plans between Sept. 7 and Oct. 7. Each property tax estimate includes information for residents on when and where their local public hearings are happening, so taxpayers have an opportunity to weigh in. Rep. Mike Motschenbacher, R-Bismarck, said the local level is usually the best place for residents to direct concerns about property tax increases. I tell people all the time, You got to go to your county commission meetings, you got to go to your city commission meetings, you got to go to your park district meetings, he said. Those are the ones that actually levy the taxes. The Forum of Fargo-Moorhead reported that only one speaker attended the Sept. 10 public hearing for Fargo Public Schools proposed property tax levy. The property tax estimates include basic information like the propertys assessed value, as well as listing the mill levy applied to the property. The mill levy is part of the equation that assessors use to determine property taxes. While its sometimes criticized as a confusing way of calculating property taxes, it can help property owners identify how their taxes have changed. The tax estimates also include a line item of how much legislative tax relief a taxpayer has received a part of the notice thats often overlooked, said Motschenbacher, a member of the Legislatures interim Taxation Committee. Motschenbacher said he started carrying his property tax statement with him everywhere he goes so he can help constituents better understand how their property taxes are calculated and which governing bodies their taxes support. While most property tax decisions are made locally, residents should also feel free to talk to lawmakers, too. Dont be afraid to call and talk to your legislators, he said. But also, dont be afraid to go sit in front of a city commissioner or county commission meeting and let them know your grievances. Theyre gonna listen. North Dakota voters will soon decide on a proposal that, if approved, would drastically change the states property tax system. The November ballot includes a measure to eliminate property taxes based on property valuation. It would require the state to reimburse local governments by an amount equal to the property taxes they levy for the 2024 tax year. Lawmakers recently approved a cost estimate that ballparked the financial impact of the measure at roughly $3.15 billion for the 2025-2027 biennium. Native Vote 2024. During the monthly meeting, the All Pueblo Council of Governors (APCG), formally endorsed Vice President Kamala Harris for president and Minnesota Governor Tim Walz for vice president of the United States through Resolution on August 22. The APCG represents the 20 Pueblos of New Mexico and Texas. The Pueblo Governors believe a Harris-Walz administration will put forth a responsive, equitable approach that supports tribal sovereignty, addresses tribal administrative needs, sustains, and supports self-determination and preserves Indigenous languages and cultures, all vital to the future of Pueblo Nations and Indigenous communities across the country. Never miss Indian Countrys biggest stories and breaking news. Click here to sign up to get our reporting sent straight to your inbox every weekday morning. Governor Arden Kucate of Zuni Pueblo and Secretary of APCG explained that protecting sacred sites is one of the big issues facing the Pueblos. Our cultural heritage, our traditions, and our languages must be preserved, along with protecting our tribal homelands and sacred sites. These contribute to our unique place in American history. Kucate said. Vice President Harris has consistently supported our vision for the futureone which upholds our rights as sovereign nations. Her leadership will ensure that the voices of our people will be heard and respected at the highest levels of government. According to the APCG, the Biden-Harris Administration has made great strides for Indian Country. President Biden appointed the first Native woman as Secretary of Interior where significant strides were made in promoting Indigenous rights, safeguarding sacred lands, such as the protection of Chaco Canyon. Both Vice President Harris and Governor Walz respect and understand the importance of cultural preservation, added Governor Randall Vicente, Pueblo of Acoma. Preserving our languages and cultural practices is directly tied to the strength of our survival as Americas First People. We believe that under a Harris-Walz administration our vision for the future will be one where our children grow up speaking their ancestral languages and practicing their cultural beliefs and traditions, free from the threat of assimilation. APCG has issued a formal invitation to Vice President Harris, Governor Walz, and the campaign to engage with leadership, and meet with Pueblo elders, parents, and children ahead of Election Day, November 5, 2024. Pueblo of Jemez Governor, Peter Madalena urged tribal communities to make their voices heard. Our identity as Pueblo People and our connection to the land will be severely threatened if Vice President Harris and Governor Walz do not get elected. We need our Pueblo People and all tribal citizens to vote in this coming General Election. It is critical that we exercise this important right because its not just about preserving the past, but its also about securing our future so our cultural way of life will continue to thrive for generations to come. About the Author: "Neely Bardwell (descendant of the Little Traverse Bay Bands of Odawa Indian) is a staff reporter for Native News Online. Bardwell is also a student at Michigan State University where she is majoring in policy and minoring in Native American studies. " Contact: neely@nativenewsonline.net There is a pawsitive update to a story KIRO 7 News first reported in August. A missing cat found in Monroe, Washington has returned home to Minnesota. This week, Finn flew home with the help of his newfound friends. Stephanie Miotke, who found Finn this summer, says a man named Hal Henning saw Finns story and offered to transport him on his next visit to see his daughter. Unfortunately a flight delay pushed things back, but it did give Finn a lot of time to hang out with Hals fellow flight attendant friends and put some smiles on a few other passengers faces, Miotke told KIRO 7. Once landed, a rental car of a fancy nature was acquired, and Finn was able to ride in the literal lap of luxury to Hals daughters house, where he spent the night until Lea could meet up with them this morning. Finn the cat disappeared from Middle River in early July. Finn was found in a very industrial part of Monroe where we were not really sure how he ended up there, Miotke told KIRO 7. A scan of his microchip helped Miotke get in touch with his owner, Lea Hasbargen. I was just so happy he was alive, and you know, someone found him until she was like, Oh, hes in Washington. I was like, wait what, Hasbargen said. Miotke says she doted on Finn regularly until they could arrange him a safe flight home. I apologize if hes a little spoiled, Miotke joked. Editors note: A version of this story appeared in CNNs Wonder Theory science newsletter. To get it in your inbox, sign up for free here. Some chapters of human history are more poignant to revisit than others. The Battle of Waterloo ended a 23-year war, but thousands were killed on June 18, 1815, when allied armies led by the Duke of Wellington and Gebhard Leberecht von Blucher defeated Napoleon Bonaparte and his forces south of Brussels. Paintings, books and eyewitness accounts have preserved details of the conflict more than two centuries later. Until recently, only two complete skeletons from the battle had been found, leaving gaps in the somber tale of what horrors unfolded during and after the clash. Now, archaeologists and military veterans have found the remains of amputated limbs and horses at the site that help tell the story of what happened in the battles aftermath. But other chapters, like those describing the loss of our ancient ancestors, are harder to recover as time passes. A chance finding of bones in a cave is revealing clues of a much older tragic mystery. We are family Archaeologists discovered the remains of a Neanderthal, nicknamed Thorin, in Grotte Mandrin in 2015. - Courtesy Ludovic Slimak Five teeth uncovered in a rock shelter in Frances Rhone Valley in 2015 could explain why Neanderthals disappeared from the face of Earth 40,000 years ago. The once-in-a-lifetime find, nicknamed Thorin after a character in The Hobbit, has puzzled researchers for nearly a decade. While genetics suggested the Neanderthal was 105,000 years old, archaeological context indicated he lived 40,000 to 50,000 years ago. New research found that Thorin belonged to a Neanderthal lineage isolated from other groups that unexpectedly lived nearby for 50,000 years, which made his DNA seem older than it was. That isolation put Neanderthals at an evolutionary disadvantage and could have led to their demise. Fantastic creatures When scientists observed dark sleeper fish gulping down young Japanese eels, some of the eels were able to hatch an escape through the predators gills in a matter of minutes. To get an inside look, researchers used an X-ray video system and observed the eels breaking free from within the fishs stomach. Before capturing the first X-ray footage, we never imagined that eels could escape from the stomach of a predatory fish, said Yuha Hasegawa, an assistant professor at Japans Nagasaki University. Witnessing the eels desperate escape from the predators stomach to the gills was truly astonishing for us. Across the universe The Astronomy Photographer of the Year competition named US photographer Ryan Imperio overall winner for his photo depicting distorted shadows of the moons surface created by an eclipse. - Ryan Imperio Colorful auroras over the mountains of New Zealand, the glittering Dolphin Head nebula and a sunlit silhouette of the International Space Station are just some of the winners of the Astronomy Photographer of the Year competition. The overall winner of the competition was photographer Ryan Imperio for his photo taken during the October 2023 annular solar eclipse. The image captured the progression of Bailys beads. The phenomenon is visible for brief moments during an eclipse when sunlight shines through the moons valleys and craters, creating glowing drops of light. Separately, SpaceXs Polaris Dawn crew made history this week after acing the first commercial spacewalk and setting other space exploration records ahead of its expected return in the coming days. Force of nature The worlds most devastating mass extinction wiped out more than 90% of all life on the planet about 252 million years ago and now, scientists think they have discovered a climate phenomenon that played a pivotal role. Previously, scientists thought carbon dioxide belched out by volcanic activity triggered a sudden warming of the planet, acid rain and ocean acidification. But an intense, prolonged El Nino event that lasted years and originated from an ancient body of water much larger than todays Pacific Ocean would have enhanced the effects of the volcanism. Together, the two phenomena could have caused the Great Dying, and the catastrophic extinctions began on land before occurring in the ocean. A long time ago Rapa Nui, located in the southeastern Pacific Ocean, is known for its hundreds of carved moai, or giant stone head sculptures. - Zhu Yubo/Xinhua/Sipa USA Some scientists have long believed that the population of Rapa Nui faced a ruinous decline hundreds of years ago. Also called Easter Island, its known for its hundreds of carved stone statues. But a new analysis of ancient DNA from 15 of the islands former residents who lived there within the past 400 years tells a different story. The genetic analysis suggests that the islands small population actually increased in size until the 1860s and that the islands inhabitants reached the Americas in the 1300s, long before Christopher Columbus in 1492. Meanwhile, the search for the origin of Stonehenges mysterious central Altar Stone is intensifying, and researchers have ruled out a seemingly likely ancient site as the source of the monolith. Explorations Take a closer look at these new findings: A physics breakthrough means that scientists are closer than ever to creating a nuclear clock, which ticks so steadily that it wont lose a second even if it functions for 1 billion years. Lab-grown cocoa and fermented fava beans could be used to create the guilt-free chocolate of the future to avoid rising cocoa prices and the detrimental effects of cocoa farms. Rare, newly discovered fossils revealed that some species of massive ancient flying reptiles called pterosaurs soared like vultures, while others had a different flight style. NASA astronaut Butch Wilmore believes he and fellow astronaut Suni Williams could have returned to Earth aboard Boeings Starliner capsule, which returned empty last week, but we just simply ran out of time, he said. Like what youve read? Oh, but theres more. Sign up here to receive in your inbox the next edition of Wonder Theory, brought to you by CNN Space and Science writers Ashley Strickland and Katie Hunt. They find wonder in planets beyond our solar system and discoveries from the ancient world. For more CNN news and newsletters create an account at CNN.com Racial tensions in Springfield have simmered for months. Trumps rhetoric now has Haitians there in a full-blown panic After Republican vice presidential candidate JD Vance helped supercharge a false, racist rumor that Haitian refugees in small-town Ohio were stealing and consuming peoples household pets, the fiction was duly parrotted by running mate Donald Trump during a nationally televised debate against Democratic opponent Kamala Harris. In Springfield, theyre eating the dogs, the former president insisted , wildly, at the Tuesday evening event. The people that came in. Theyre eating the cats. Theyre eating, theyre eating the pets of the people that live there. And this is whats happening in our country, and its a shame. A day earlier, the Trump-Vance campaign issued a press release baselessly accusing unvetted Haitians of consuming not only domestic animals, but hunting and eating local wildlife, such as ducks and geese, as well. The compounding myths, which the leader of notorious neo-Nazi group Blood Tribe gleefully took credit for having helped popularize , were swiftly debunked by, among others, the Springfield mayor, city manager, and police department. But the claims have not only inflamed existing tensions in Springfield, they have also managed to further traumatize a group of people who fled civil war and ceaseless gang violence for the sleepy Rust Belt town of 58,000. Its creating so much panic in the community, Springfield resident Viles Dorsainvil told The Independent. ... The words that come out of their mouths matter. They are looking for the highest office in America. They have the obligation to do better, because words are powerful. In Springfield, theyre eating the dogs, Trump angrily and falsely claimed at this weeks presidential debate, painting Haitian refugees as unwelcome invaders (AP) Dorsainvil, 38, emigrated to the US from Haiti in 2020 and moved to Springfield in 2021. He works for the county, processing peoples applications for public assistance, and last year founded the Haitian Community Help and Support Center, a tiny all-volunteer nonprofit, as a resource for new arrivals. In the days since Vance and Trump seized upon the false narrative about Haitians feasting on cats and dogs, Dorsainvil said he has heard from parents afraid to send their children to school, new homeowners who want to sell and move out of state, and people too frightened to leave their residences. One Haitian-born business owner in Springfield told a local reporter that her landlord was now trying to evict her from her commercial space, chalking the effort up to anti-Haitian sentiment. They call the center to know how it is out there, if it is safe for them to come out, Dorsainvil said. And we let them know, when they are going out, to be careful. Simmering hostilities toward Springfields Haitians boiled over last August, when a Haitian man driving without a valid license crashed into a school bus, killing 11-year-old Aiden Clark. The driver, who was not under the influence of alcohol or drugs at the time of the accident, was subsequently sentenced to a minimum of nine years in prison, on charges of involuntary manslaughter and vehicular homicide. In December 2023, 22-year-old Springfield resident Izaye Eubanks, who is Black, was sentenced to 20 years in federal prison for hate crimes against at least eight Haitians in the area. Trumps running mate JD Vance touched off the anti-Haitian vitriol with inflammatory remarks on social media (REUTERS) In August, a dozen masked neo-Nazis from Blood Tribe marched through Springfields downtown, carrying assault-style rifles and swastika flags. Angry residents appeared at a town council meeting two weeks later to loudly decry the new stresses the rapid addition of up to 20,000 Haitians over the past three to four years very quickly boosting the citys population by as much as one-third has placed upon schools, healthcare providers, and social services. They aired grievances about the federal government dumping immigrants on them, claiming, without any evidence, that Haitians are bringing crime and scaring the females here in town. One man, who the mayor had removed from the premises following his remarks, said he was a Blood Tribe member and that he had come to bring a word of warning. Stop what youre doing, before its too late, said the man, who identified himself using a pseudonym. Crime and savagery will only increase with every Haitian you bring in. On Thursday morning, Springfield City Hall and other locations in the area were evacuated following a bomb threat sent to multiple city agencies and media outlets. On Friday, a pair of emailed bomb threats forced evacuations at two elementary schools and a middle school, which shut down for the day. No explosives were found at the schools or at any of the other locations listed in the threat, which included City Hall, the Bureau of Motor Vehicles, and a third elementary school, Springfield authorities said in a news release. All of this rhetoric needs to stop immediately Springfields Haitian residents, almost all of whom are in Springfield legally, in various immigration categories, feel a mixture of sadness and surprise about having become targets of the outrage , according to Springfield NAACP President Denise Williams. I want JD Vance to hear my voice I need him to apologize to the city of Springfield, Ohio, Williams told The Independent. It is absolutely racist, up close and personal. That comment should have never been made at that level, without investigating it first Please put this in bold letters, with quotation marks around it: All of this rhetoric needs to stop immediately. Ohio Lt. Gov. John Husted, who in January endorsed Trumps bid to retake the White House, squarely blamed the Biden-Harris administration for the overwhelming influx of immigrants into the Buckeye State over the past four years. In 2019, Ohio governor Mike DeWine wrote to Mike Pompeo, Donald Trumps secretary of state, asking him to send more refugees (Copyright 2023 The Associated Press. All rights reserved.) How did they get here? Husted mused earlier this week in a series of posts on X. In fact, it was his boss, Gov. Mike DeWine his own 2022 re-election endorsed personally by Trump who invited them. Dear Secretary Pompeo: The State of Ohio has a long and successful history of welcoming and assimilating refugees from all corners of the globe, DeWine wrote in a December 2019 letter to Mike Pompeo, Trumps then-secretary of state, as he and Husted wrapped up their first year in office. Ohio also has a well-developed support network to welcome and assimilate refugees, primarily lead [sic] by our faith-based communities. Given our ability to successfully welcome and assimilate legitimate refugees, and the administrations stringent vetting process, I consent to the placement and/or resettlement of refugees within the State of Ohio, DeWines letter concluded. Five years prior, Welcome Springfield, a program helmed by an evangelical pastor and lifelong conservative Republican named Carl Ruby , was launched. It was an attempt to breathe new life into the city, and included a resolution declaring Springfield a community welcoming of immigrants, and immigrant-owned businesses. In the aftermath of Trumps wild claims, Springfield has endured bomb threats, targeting schools, city buildings, and other local institutions (REUTERS) Initially, the new residents were mostly South Americans, Ruby told The Independent. Haitians began arriving in significant numbers around 2019 or 2020, he said. The population of Clark County peaked in 1971, and has been on the decline ever since. Businesses in Springfield closed, factories shuttered, and home prices cratered. The opioid epidemic hit the region particularly hard. Young adults moved elsewhere for opportunity, further depressing Springfields economy, according to Kathleen Kersh, an attorney at the nonprofit Advocates for Basic Legal Equality (ABLE) in nearby Dayton. According to the most recent figures available, immigrants make up 5.1 percent of Ohios Congressional District 8, which includes Springfield, as compared to the national average of 13.6 percent . When you hear rhetoric that the people who are coming here are coming from mental institutions and jails, the people I meet with on literally a weekly, if not semi-weekly basis, are electricians, are doctors, are attorneys, are teachers, are human rights activists, are successful businesspeople, Kersh, who provides free legal services to Springfields Haitian community, told The Independent. Springfield city manager Bryan Heck (left), seen here with mayor Rob Rue, promised this week to oversee construction of 2,000 new residential housing units (REUTERS) There are also many blue-collar Haitian immigrants equally important to Springfield, according to Kersh, who pointed to a number of farms that have gone under in recent years because of the difficulty in attracting workers to do these really difficult, strenuous jobs. To support the population increase, and to counterbalance the commensurate increase in the cost of housing, Springfield is now working with developers to increase its supply of residential stock, a trend not seen in decades, Springfield City Manager Bryan Heck said in a video address on Wednesday . Over the next few years, Heck went on, Springfield plans to add some 2,000 new residential units. While we are experiencing challenges related to the rapid growth of our immigrant population, these challenges are primarily due to the pace of the growth, rather than the rumors being reported, Heck contended. The city has appealed to the Biden administration for financial aid. At the same time, Ohio Attorney General Dave Yost announced he has directed his office to research legal avenues to stop the federal government from sending an unlimited number of migrants to Ohio communities. Immigration has helped spur revitalization in Springfield, which had been withering for years (AP) A coalition of community providers have monthly brainstorming sessions about how best to coordinate their efforts, Kersh said. Faith-based groups, as DeWine noted in his 2019 letter to Mike Pompeo, and as evidenced by Rubys efforts, are a large piece of the puzzle. Orchard Alliance, a Christian nonprofit headquartered in Colorado Springs, last year helped finance a move to a new facility for a Haitian church in Springfield. These Haitian immigrants face an uphill battle, often receiving public criticism for their presence in the city and accusations of criminal behavior despite employers and city leaders acknowledging them as kind-hearted, hard-working members of the community, Orchard Alliance exec Peter Burgo told The Independent. A spokesman for the Springfield Police Department said he found it sad that opportunists had seized upon outright falsities to spread hate and spread fear. We get these reports the Haitians are killing ducks in a lot of our parks or the Haitians are eating vegetables right out of the aisle at the grocery store, Officer Jason Via told NPR . And we havent really seen any of that. Its really frustrating. As a community, its not helpful as we try to move forward. Nathan Clark, whose 11-year-old son died when a Haitian driver crashed into his school bus, spoke out following Vances and Trumps comments, telling local politicians that the intense hatred now aimed at Haitians made him wish the driver involved had instead been a 60-year-old white man. (AP) Basil Fett, who retired last year as choral director for the Springfield Symphony Orchestra, has lived in town since 1977 and said he felt Republicans were exploiting the Haitian community for political gain. If they can get these people whipped up and all fearful, they can maybe get people to vote for them, Fett told Cleveland.com . Instead of, Ive got answers for your problems, no, all theyre offering is fear, fear, fear. And Nathan Clark, whose son died in last years bus crash, spoke out following Vances and Trumps comments, telling local politicians that the intense hatred now aimed at Haitians made him wish the driver involved had instead been a 60-year-old white man. Using Aiden as a political tool is, to say the least, reprehensible for any political purpose, Clark said at a recent City Commission meeting. They can vomit all the hate they want about illegal immigrants, the border crisis and even untrue claims about fluffy pets being ravaged and eaten by community members. However, they are not allowed, nor have they ever been allowed, to mention Aiden Clark from Springfield, Ohio. On Thursday, Carl Ruby and a group of fellow pastors held a press conference to call for unity and to denounce racism and bigotry, which Dorsainvil said he found heartening. All that we can do is, lets stay in solidarity, Dorsainvil told The Independent. Lets work together. Lets pray for each other. Lets walk with each other. Lets listen to each other. Lets have good and meaningful conversation. Lets raise our voice on behalf of the minority and the vulnerable. If we conjugate our force, our strength, and keep moving forward, that would be very great. RAFAH, Gaza Strip It was once home to an estimated 1.4 million people, over half of the population of Gaza, many of them displaced from the north of the enclave after Israel launched its military offensive following Hamas' Oct. 7 terror attacks. Today, Rafah is uninhabitable, its buildings crumbled and blackened. Mounds of concrete and bent metal are all that remain. The destruction is absolute. When NBC News was invited into Gaza's southernmost city by the Israel Defense Forces on Friday, there were no civilians to be seen, just a few cats. Sporadic gunfire and the odd drone overhead punctuated the eerie silence. Israeli soldiers inspect Rafah's destroyed buildings. The Israeli military says the reason for so much destruction is that all of Rafah is honeycombed with Hamas tunnels, some of which sit on top of each other and some are more than 160 feet deep. The drills they use to find open spaces beneath the earth's surface lie several feet apart. Calling the destruction a tragedy, IDF spokesperson Rear Adm. Daniel Hagari said Hamas created a very, very sophisticated tunnel system underneath the city and the military has tried to demolish it with minimum damage to the city. He added that some of the buildings had been booby-trapped with explosives and some of the destruction had been caused in battles with Hamas. In the Tal Al Sultan neighborhood lies the tunnel where the bodies of six hostages including American Hersh Goldberg-Polin, 23, were found late last month. The IDF made some videos of the structure public on Tuesday. They said it showed how the tunnel was dug below a childs bedroom that had paintings of Mickey Mouse and Snow White on the walls. An Israeli soldier stands near the entrance to a tunnel in Rafah. Citing postmortem examinations of the bodies, the IDF added that Goldberg-Polin, Carmel Gat, Eden Yerushalmi, Alexander Lobanov, Almog Sarusi and Master Sgt. Ori Danino were killed on the evening of Aug. 29. Its believed separate guns were used to kill them, the IDF said. Hagari said Friday the investigation into their deaths was ongoing. Before they died he said the conditions had been very, very hard in a tunnel where there is humidity, in a very narrow tunnel where you cannot stand, where you have no toilets. To the south of Rafah, another tunnel lay near the border area with Egypt, known as the Philadelphi corridor, a narrow strip of land just under 9 miles in length and around 100 yards wide that runs along the Gaza side of the coastal enclaves border with Egypt. Wide enough for a car, it had been used for smuggling and to fire rockets into Israel, the IDF said. Hamas placed it there, believing that Israel would not strike back for fear of hitting Egyptian territory. The Philadelphi corridor has emerged as a sticking point in the cease-fire deal that would end the monthslong war in Gaza and secure the release of the remaining Israeli hostages still held in the enclave. Israeli soldiers near the entrance to a tunnel near the Philadelphi corridor. Israeli Prime Minister Benjamin Netanyahu has insisted that Israel must maintain a military presence in the corridor, also known as the Salah al-Din axis, which Hamas has rejected. Set up as a buffer zone in accordance with the 1978 Camp David Accords between Egypt and Israel, it aimed to control movement in and out of Gaza and prevent arms smuggling between the Egyptian Sinai and the Palestinian enclave. Israel controlled the area until it withdrew from Gaza in 2005, prior to which Israel and Egypt signed the Philadelphi Accord, which allowed Egypt to send hundreds of border guards to patrol the corridors borders. It still includes the key Rafah border crossing, long considered a lifeline for Palestinians in Gaza as it allows crucial supplies of food, medicine and other aid to get into the strip and enables people to move in and out of the enclave. But Egypt shuttered the crossing to most shortly after the start of the war last year, and since Israel seized control of the Gaza side in May, it has remained closed. The Israeli military said in a statement Thursday that it had dismantled Hamas brigade in Gazas southernmost city of Rafah and killed more than 2,000 of its fighters in the process. It added that it had destroyed around 8 miles of underground tunnel routes used by the militant group and was continuing to demolish more of them. But asked Friday whether Israel would leave the city, which had a prewar population of around 250,000, Hagari said Israel had several plans for the war, but the decision would be made by the Israeli government. The IDF has previously re-entered parts of Gaza after Hamas regrouped in areas it said it had cleared. After Israel sealed off much of northern Gaza shortly after the start of the war, bloody fighting erupted in the refugee camps of Jabaliya, Shejaiya and Gaza City earlier this year, underlining the difficulties Netanyahu faces in meeting his promise to crush the militant group. Israel has vowed to eradicate Hamas after militants killed 1,200 people and took more than 250 hostages in a cross-border assault on Oct. 7, 2023, according to Israeli tallies. The death toll in Gaza in Israels retaliatory offensive since then has reached more than 41,000, according to health officials in the enclave. The first mission, and main mission, besides the defeat of Hamas, is to bring back the hostages in all means, back home, Hagari said. This article was originally published on NBCNews.com Rain is on its way to Merced. Heres when to expect showers and cooler temperatures Merced will finally get a break from hot summer weather when a storm moves into the area next week, according to the National Weather Service. The city could see some rain on Monday, along with cooler temperatures. The rain will probably start right around sunrise, said Carlos Molina, meteorologist with the weather services Hanford office. A second storm will arrive on Wednesday, bringing more rain and thunderstorms. High temperatures next week will be in the 70s, the weather service said, while overnight low temperatures will be in the mid- to upper 50s. According to the agency, the last time it rained in Merced was on April 26 and the city only saw a trace of precipitation. Motorists drive south along M Street during a short rain and hail storm in Merced, Calif., on Monday, Jan. 16, 2023. Whats in the weather forecast for Merced? Merced was expected to be sunny on Friday with a high temperature near 91 degrees and winds up to 5 mph on the afternoon, according to the weather services seven-day forecast Friday night will be mostly clear with an overnight low temperature around 60 degrees and winds up to 5 mph, the weather service said. More sunny weather is expected on Saturday, when the high will be near 92 and the low will be around 60 degrees. Sunday will be sunny with a high near 82 and an overnight low around 55. There will be wind gusts as high as 15 mph on Sunday night. When will the rain arrive? On Monday morning, there is a 50% to 60% chance of rain, the weather service said. Temperatures are expected to reach a high near 71 degrees during the day before dropping down to 52 at night. Molina said the Merced area will likely record half of a hundredth of an inch of rain on Monday. By Monday night, the storm will move out, he said. According to the weather service, skies will clear on Tuesday with a high near 78 degrees, a low around 57 and winds up to 5 mph. Are more showers coming to Merced? The rain is set to return on Wednesday when a storm rolls into the region from the Pacific Northwest, according to Molina. There is a 40% percent chance of rain and thunderstorms on Wednesday during the day, and a 20% chance of rain at night. The high will be near 73 while the low will be around 56. Winds will get up to 10 mph Wednesday night. Merced residents have a much better chance of actually seeing sprinkles on Wednesday, Molina said, adding that theres a good chance precipitation will measure a hundredth of an inch. On Thursday, Merced will see sunny weather once more with a high near 78. WASHINGTON (AP) Walgreens has agreed to pay $106 million to settle lawsuits that alleged the pharmacy chain submitted false payment claims with government health care programs for prescriptions that were never dispensed. The settlement announced on Friday resolves lawsuits filed in New Mexico, Texas and Florida on behalf of three people who had worked in Walgreens pharmacy operation. The lawsuits were filed under a whistleblower provision of the False Claims Act that lets private parties file case on behalf of the United States government and share in the recovery of money, the U.S. Justice Department said. The pharmacy chain was accused of submitting false payment claims to Medicare, Medicaid and other federal health care programs between 2009 and 2020 for prescriptions that were processed but never picked up. Settlement documents say Walgreens cooperated in the investigation and has improved its electronic management system to prevent such problems from occurring again. In a statement, Walgreens said that because of a software error, the chain inadvertently billed some government programs for a relatively small number of prescriptions that patients submitted but never picked up. We corrected the error, reported the issue to the government and voluntarily refunded all overpayments, the statement by Walgreens said. In reaching the settlement, the chain didnt acknowledge legal liability in the cases. ____ This story has been corrected to say the lawsuits were filed by private parties, not by the U.S. Justice Department. Rare copy of U.S. Constitution is up for auction. It could sell for millions. Treasures long forgotten by history have been uncovered beneath the surface in underground digs or hidden away in attics or storage spaces. In the case of a rare document nearly 237 years old, it was in a nondescript squat metal filing cabinet that had been sitting discarded and gathering dust in an eastern North Carolina home for who knows how long. Two years ago, a property was being cleared out in Edenton, North Carolina when a rare copy of the U.S. Constitution was found. The rare piece of American history the only U.S. Constitution of its kind thought to be in private hands will go up for auction by Brunk Auctions on Sept. 28 in Asheville, North Carolina. The minimum bid for the auction of $1 million has already been made and it is expected to go for a much higher price tag. The uncovering of history The copy up for auction was discovered at Hayes Farm, an 184-acre plantation in Edenton once owned by Samuel Johnston. He was the governor of North Carolina from 1787 to 1789 and oversaw the state convention that ratified the Constitution. "There's been a long period where this house and library have been recognized as a very important repository for history and historic documents and objects," Brunk said. In the 1980s, most of the books, documents and artifacts from the home some going back to Johnston were donated to the state of North Carolina and the University of North Carolina in Chapel Hill. A 1787 copy of the U.S. Constitution that will be put up for auction was found inside this filing cabinet in Edenton, N.C. / Credit: Brunk Auctions Seth Kaller, a historical document appraiser and collector, said while going through the house's contents in 1983, the family found a rare copy of the Declaration of Independence that was sold at auction for a then-record price of $412,500. The filing cabinet, for some reason, was not sorted through, and the U.S. Constitution remained unseen for another four decades. In 2022, while the property was being cleared out and sold to North Carolina, which is converting it into a public historic site. The history behind it On Sept. 18, 1787, the drafted proposal for what would be the framework of the U.S. Constitution was sent to the Confederation Congress for discussion and approval. After a heated debate at the site of what today is the Federal Hall National Memorial in New York City, Congress resolved to send it to the states for ratification. Charles Thomas, the secretary of that Congress, ordered 100 copies printed and only signed a fraction of them. Of those that he signed, only a handful are known to still be in existence. This copy of the U.S. Constitution is one of those handful he signed. Historians believe Thompson likely signed two copies for each of the original 13 states, essentially certifying them. These were set to special ratifying conventions where representatives would then wrangle for months before accepting the framework of the U.S. government that continues today. "This is the point of connection between government and people, and it's the moment when the idea of 'we the people' really takes hold," auctioneer Andrew Brunk said of the document, adding: "This is the moment the government is asking the people to empower the government and create, you know, the essential government, but it's the will of the people that you know they're waiting for it. That's what this document captures." Along with the Constitution on the broadsheet printed front and back is a letter from Washington asking for ratification. He acknowledged there would have to be compromise and that rights the states enjoyed would have to be given up for the nation's long-term health. A 1787 copy of the U.S. Constitution that will be put up for auction is seen in this photo. / Credit: Brunk Auctions "To secure all rights of independent sovereignty to each and yet provide for the interest and safety for all individuals entering into society must give up a share of liberty to preserve the rest," wrote the man who would become the first U.S. president. "What's really incredible about the Constitution is that they didn't write a plan of government just for them based on what they knew, but they wrote a plan of government that was forward-looking, and that was the first time a government's being invented with the idea that it's going to need to be amended and change," Kaller said. Brunk said he isn't sure what the document might go for because there is so little to compare it to. The last copy of the Constitution like this sold was for $400 in 1891. In 2021, Sotheby's of New York sold one of only 14 remaining copies of the Constitution printed for the Continental Congress and delegates to the Constitutional Convention for a record $43.2 million. Other items up for auction While the Constitution is the main attraction, there are other items up for auction in Asheville including a 1776 first draft of the Articles of Confederation and a 1788 Journal of the Convention of North Carolina at Hillsborough where representatives spent two weeks debating if ratifying the Constitution would put too much power with the nation instead of the states. Kaller said the draft of the Articles of Confederation is presumed to have been owned by Joseph Hewes, one of the signers of the Declaration of Independence who was known to have stayed in Johnston's home. The word "Confederation" is inked in the back of the document in the hand of Hewes. There is also a reproduction of Emanuel Gottlieb Leutze's 1851 version of his famous painting, Washington Crossing the Delaware. It is believed to be a 19th-century German copy of the painting. Saturday Sessions: The Heavy Heavy performs "Because You're Mine" Saturday Sessions: The Heavy Heavy performs "Happiness" Saturday Sessions: The Heavy Heavy performs "Cherry" This was a rare situation. Wildlife officials say child was attacked by a river otter in Bremerton Warning signs about aggressive wildlife are posted at Bremerton Marina after a river otter attacked a mother and her young child Thursday morning. This was a rare situation one that we dont see a lot, said Matt Blankenship with the Department of Fish & Wildlife (WDFW). The mother and child were walking down the dock when the otter somehow got a hold of the youngster, dragging them under the water. The mom pulled her kid out as the otter continued to attack, chasing the two as they got off the dock. The child was taken to the hospital with bites and scratches. In most cases, otters tend to shy away from people. They have a lot of energy and power that they can actually grab a hold of somebody and pull underwater like that. Its either showing a sign of being territorial or protective of pups. Thats the reason wed see that type of behavior from an otter, said Blankenship. Friday morning, WDFW reported it trapped and killed an otter in the area and the animal is now being tested for rabies. You see wildlife on the dock. Ive seen the otters at times, you see seals, and most of the time they kind of are a little skittish and stay away. I would not imagine theyd ever attack me, said Samuel Hilbert, a boater. Work continues to trap and remove otters from the area around the marina, where access is now limited to boaters and maintenance crews. Were taking direction from Department of Fish & Wildlife, so when they say go ahead its a safer environment, we will return back to normal. But right now, everybodys on alert just to make sure we have a safe dock in this area, said James Weaver, Port of Bremerton Facilities Director. WDFW says there have only been six documented otter attacks against humans in Washington in the last decade. The Public Square is a Viewpoints feature that seeks engagement from readers to questions on various issues of the day. Follow The Oklahoman on Facebook and on Twitter @TheOklahoman_ for weekly prompts for The Public Square. The Freedom Caucus, a national far-right Republican group, says it plans to be active in pushing the Oklahoma legislature to even more conservative policies. More on the Freedom Caucus: Does Oklahoma really need the Freedom Caucus? Keeping member list secret hints at chaos to come We asked readers to share their thoughts about the caucus and its intended role in Oklahoma policy. Here are those responses: Totally unnecessary and grandstanding noise. We need good government, not headlines. Martin Hartmann, Edmond Freedom for whom? Its fun to just apply terms like freedom, patriot, or Christian to causes that have nothing to do with any of those things. It doesnt make it accurate. Its merely a way to propagandize that the other side isnt that. MAGA has been amazingly empowering to a certain portion of the party, and very damaging to the intellectual and stabilizing portion of the party. I think in some parts of the country this will get better, but in our neck of the woods, it will likely get worse. Id like to see every political debate begin with an understanding and shared recognition that human beings will be impacted by most political decisions. That these human beings have value, and should be treated with dignity and respect. These are Christian values at their deepest core. (See the greatest commandment, and observe who Jesus describes as a neighbor.) The freedom caucus doesnt understand Christian values. They dont understand patriotism except in the ways other white power groups have utilized the flag to their advantage, claiming it for themselves but denying it to others. They do not understand freedom. However, this is the reality that we live in today, they vote, they rally in churches on Sundays, and they organize. Until Oklahomans are willing to work harder than these groups, they will continue to grow in influence. Jeremy Norwood, Oklahoma City They are moving me more and more to the middle. Geneva Chaney, Edmond Far Right groups are definitely a threat to healthy discussion of policy and proposed legislation. Far Right is as dangerous (as) a Far Left neither are open to discussions that do not automatically accept their "solutions" that push extremist agendas. I am a 31-year Army veteran and a retired university professor who is very skeptical of groups with some secret memberships and are a threat to honest debate of issues. Michael McEwen, Edmond This is a push in the wrong direction. No thank you. Currently, Oklahoma trails almost all states in virtually any positive category (eg., education) and leads the other states in negative lists (i.e., spousal abuse and number of incarcerated women). When will Oklahomans wake up to the sad fact that our far-right leadership is marching us off the edge of a cliff? Camilla Clymer, Oklahoma City As a Christian, this scares me. The right is getting too far right in my opinion. They are destroying the very Christian principles they claim to stand on. I feel like the right is spreading more hate, more control, creating more division and not caring for basic Christian principles (take care of the poor, sick, widows, weak). Instead, they are completely opposite ... and stripping away women's rights and minorities. ... Oklahoma, I feel like is ground zero, or a testing ground to all these political fanatics! Scary! Rachel Hulse, Tulsa This is not good for Oklahoma. We are already a one-party-rule state and pushing the state even farther to the right is a horrible thing. We do not need any more Ryan Walters wannabes. Glenn Gore, Taloga Very concerned. I hope that Oklahomans will recognize the manipulative nature of their politics. As Oklahoma continues to struggle to provide adequate basic education to our children, the last thing we need is a fascist government! Becky Knight, Yukon Any group that believes there is only one way to achieve public policy doesn't understand American History. The United States was born through compromise and our greatest achievements as a nation resulted from compromise. Oklahoma needs to move to an open primary system without regard to party which will produce victories for pragmatic, common sense candidates. Donald Barton, Ada One question. Was the decision of the formation group based on what the voters of Oklahoma want? Tiffany Black, Oklahoma City If the contextual use of far right means white Christian nationalism they have named their group incorrectly. Requiring people to follow laws based on anyones religious beliefs is the opposite of freedom. We do not want any group here that intends to further diminish our freedoms. Julia Skinner, Harrah Oklahoma is a conservative state. Oklahomans are a conservative people. There should be little disagreement with that assessment. I am, by way of a big contrast, a decided social and political liberal. I often feel somewhat of misfit in a state in which I have resided for almost fifty years of my life. Yet, I do like Oklahoma and I especially like most Oklahomans. These are a truly decent people in a good state. So, what does it mean to me to consider whether this state and its people are sufficiently far-right? Legislative caucuses and political alignments come and go frequently. The newly expanded Oklahoma legislative Freedom Caucus wants to define the freedoms available to all Oklahomans. But what freedoms make up their envisioned agenda? They are not what I consider important. As described by the caucus chair, Senator Shane Jett, of Shawnee, the agenda seems to focus on limited government, personal responsibility, and lower taxation and state regulation. At first glance, this agenda is a traditional Republican party one with shades of the ideas of the traditional family and national life that many believed existed in 1950s America. It does, however, seem to go radically beyond that more traditional stance. This is not an agenda I want to see enacted here. I do not believe this is an agenda which will either support or advance the real and basic interests and needs of most Oklahomans. Moving to the far-right politically, as represented by the ideas of the national Freedom Caucus Network, will hurt most Oklahomans and Oklahoma families. Only a favored few will likely benefit. I believe most Oklahomans want an education; a good job paying a living wage; a place to live; adequate health care for themselves and their families; and the ability to prepare for a decent and comfortable retirement. This is an agenda that reflects both conservative and progressive ideals. For all too many Oklahomans, these are as yet unattained goals. They are not, however, new ideas. They were first described in President Franklin D. Roosevelts 1944 State of the Union address to Congress. He called them a Second Bill of Rights. Others have defined them as an economic Bill of Rights for the 21st century. My preferred social agenda will require the active support and work of a positive Oklahoma state government. The Oklahoma Legislature is a critical partner in reaching those goals. Some of it will require the careful planning and participation of the states responsible private sector. Meeting this positive agenda will not make Oklahoma a far-right state. It certainly will not appeal to the most extreme activists in our state. It will, however, make Oklahoma a more humane state and a decent place for all Oklahomans to live and raise good and healthy families. That is the Oklahoma I want to live in. Jerry Edward Stephens, Edmond Leave Oklahoma alone. They are already having to deal with Stitt & Walters. Robin Long, Missouri City, TX This is not good. Ethan Miller, Peidmont This article originally appeared on Oklahoman: What do readers think about the far-right Freedom Caucus in Oklahoma Red Cross says it has suspended operations at Dnipro office following attack that killed, injured employees A spokesperson for the Ukrainian delegation of the International Committee of the Red Cross says that the organization has suspended operations at its Dnipro office after three of its employees were killed in a strike on Sept. 12. Russian forces attacked the village of Viroliubivka near Kostiantynivka, hitting the territory of the enterprise, Donetsk Oblast Governor Vadym Filashkin said. The attack destroyed a truck with humanitarian aid and a car, killing three employees of the International Committee of the Red Cross mission and injuring two others. "For now, our Dnipro office has suspended operations for some time," Spokesperson Oleksandr Vlasenko said following the attack. Vlasenko did not specify when the office is expected to reopen. Although the attack did not target the office, regular Russian attacks on the region have continued to pose a serious risk to Red Cross employees stationed along the front line. In a statement, the Red Cross said that its team was preparing to distribute firewood and fuel briquettes north of Donetsk to residents when the attack occurred. Had the employees been actively distributing the materials when the attack occurred, "there could have been much more casualties," Vlasenko said. The Red Cross has not pointed the blame on Russia for the attack, with Vlasenko adding that the organization "does not know exactly" who has committed the attack. In response to the Red Cross' hesitation to directly blame Russia, President Volodymyr Zelensky said it was "disappointing to see that the Red Cross, in its official communication afraid to even say it was a Russian strike." "This is our life, but its their face. And this is not just the truth its a Russian strike - it is Russian terror," Zelensky added. Russia has regularly attacked the regions lying on Ukraine's easter front with missile, guided bomb, and drones. Earlier on the day on Sept. 12, Russian forces attacked the Kostiantynivka community in Donetsk Oblast and the village of Borova in Kharkiv Oblast, killing five people and injuring at least nine, local authorities reported. Read also: 3 Red Cross employees killed, 2 injured in Russian attack on Donetsk Oblast Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Remains of Elijah Vue, 3, found in Wisconsin; missing since February The FBI's Milwaukee office issued a $15,000 reward for information leading to the discovery of missing 3-year-old Elijah Vue. Photo by the FBI's Milwaukee Office Sept. 14 (UPI) -- The remains of missing 3-year-old Elijah Vue were found on private property in Two Rivers, Wisc., and identified as the missing boy's, local police announced. The child had been missing since February until his skeletal remains were found on Sept. 7 in a wooded area after a search that lasted for seven months. A hunter getting ready for Wisconsin's annual deer season found the boy's remains in a "thick wooded area," Two Rivers Police Chief Benjamin Meinnert told media during a news conference Friday. Meinnert said Vue's remains were found about 3 miles northwest of where he last was seen in an area that had been searched many times. The Manitowoc County Sheriff's Office said the boy's remains were located near Camp Manitou and a quarry on privately owned land. The Wisconsin Crime Lab used DNA testing to confirm the toddler's identity. "The family is devastated," Meinnert said. "We're devastated. The community is devastated." Meinnert said the investigation has turned into a death investigation led by the Wisconsin Department of Justice Division of Criminal Investigation and an Amber Alert issued for the boy has been canceled. Jesse Vang, the boyfriend of Vue's mother, Katrina Baur, reported the boy missing from their Two Rivers home on Feb. 20 while caring for the child. Vang had taken a nap when the boy went missing. Vang and Baur are charged with felony child neglect and other alleged offenses before Vue went missing. Both have pleaded not guilty. The boy's red and white plaid blanket was found in March about 3.7 miles from where he went missing. This child's skull and bones were among the remains eventually found. "I never met Elijah," Meinnert told media Friday. "But I watched that 3-year-old boy bring out the best in this community." The FBI's Milwaukee office had issued a $15,000 reward for information leading to the boy's discovery. Two Rivers is along the Lake Michigan shore about 90 miles north of Milwaukee. It had a population was 11,166 in 2022. THE BRONX, N.Y. (PIX11) U.S. Rep. Ritchie Torres is demanding New York City do more to combat drug addiction in the South Bronx. The congressman said the Bronx has become ground zero for the fentanyl crisis and that the borough is entitled to the same public health and public safety as the rest of the city. More Local News Drug users could be seen Friday afternoon on Melrose Avenue, between East 149th and 150th streets. One Bronx resident expressed dismay at the thought of children seeing the needles and said something should be done about it. PIX11 News walked around the vibrant neighborhood and observed people injecting themselves with what appeared to be illegal substances. Others were seemingly unconscious. Prostitution on Roosevelt Avenue in Queens is getting worse, residents say An open-air drug market right here in the hub, which is the economic beating heart of the South Bronx, Torres said. Earlier this week, Torres sent a letter to Mayor Eric Adams calling on the city to do something. If we were in the Upper East Side or Upper West Side of Manhattan, the city of New York would never allow an open-air drug market to flourish, so why should we in the Bronx be treated any differently? Torres said. Business owners like Ali are also feeling the impact. He said customers are afraid to come in. He shared pictures of what he claims he deals with on a regular basis outside of his clothing store. We called 911 many times. They come up, they kick them. After the police leave, 5-10 minutes, they just come back. I think they need to have somebody here just to stay, dont let them back in, said Ali. Most of us in the Bronx have compassion for drug users who are struggling with fentanyl addiction. But there is nothing remotely compassionate or progressive about allowing those drugs users to languish and die on the streets. Thats not compassion, thats cruelty, and it is cruel to them and to the rest of us subject to those conditions, said Torres. PIX11 News asked Torres if he has heard back from the mayor. He said the response he got was, We look forward to working with the congressman and partnering with him. Torres concluded: What we need is not partnership. What we need is leadership. He is the mayor. He has the authority to deploy health and police officials to dismantle this open-air drug market and connect these drug users to critically needed anti-addiction services. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. TechCrunch News broke this weekend that China-backed hackers have compromised the wiretap systems of several U.S. telecom and internet providers, likely in an effort to gather intelligence on Americans. The wiretap systems, as mandated under a 30-year-old U.S. federal law, are some of the most sensitive in a telecom or internet provider's network, typically granting a select few employees nearly unfettered access to information about their customers, including their internet traffic and browsing histories. "I think it absolutely was inevitable," Matt Blaze, a professor at Georgetown Law and expert on secure systems, told TechCrunch regarding the latest compromises of telecom and internet providers. WASHINGTON CITY, Utah (ABC4) A 37-year-old St. George man employed at a behavioral health facility was indicted on sex crimes with a minor on Friday, according to documents filed in the Fifth District Court. Sean Michael Stanley was charged by a grand jury Friday after being arrested Thursday. He is charged with two counts of unlawful sexual activity with a minor, one count of sexual abuse of a minor, and providing a minor with tobacco, e-cig, or nicotine product. Provo mother charged with 44 felony counts of child sex crimes involving 10-year-old daughter According to the affidavit of probable cause, the investigation into Stanley began back in February when Washington City Police received a child abuse and neglect report expressing concerns about the sexual abuse of a 15-year-old female. The affidavit of probable cause and the indictment did not name the facility in question. The probable cause statement says the teenager was a patient at the residential treatment facility. The victim allegedly reported the worker for incidents where she and Stanley engaged in sexual acts. After the concerns were reported, the victim stated in an interview at the county childrens justice center that Stanley allegedly took her to a secluded part of the neighborhood near the facility where the two engaged in sexual acts in Stanleys car. The probable cause statement says the victim also spoke about another encounter that happened with Stanley the following day. This time with Stanley initiating the encounter, the teen was taken aback by the request of Stanley. In a follow-up with the facility, authorities were given various documents regarding the staff and victim. There were two documents that police say corroborated the victims statements. Police say in the probable cause statement that they followed up with several staff members who reportedly worked with the victim and Stanley. The staffs general opinion of Stanley was that he was overly friendly with other staff and clients and would hang out in the female housing area despite being assigned elsewhere. When approached by authorities on the matter, the probable cause statement says Stanley denied wrongdoing. After a review from police, they arrested Stanley on the aforementioned charges and booked him into Washington County Jail. ABC4 is efforting more information on the story. Charges are allegations only. All arrested persons are presumed innocent unless and until proven guilty beyond a reasonable doubt. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC4 Utah. PROVIDENCE, R.I. (WPRI) With views of Narragansett Bay and towering wind turbines, Rhode Island leaders gathered at Save the Bays Providence campus Friday to call on voters to approve Ballot Question 4 in November. The $53 million referendum, known as the green bond, would go toward climate infrastructure and protecting the states natural resources. The largest infusion of cash, $15 million, would be invested in Quonset Port. Gavin Black, the president of the R.I. Ports Coalition, said the money will be used to make infrastructure upgrades. Quonset needs to reinforce the piers and invest in its shoreside capacity in order to capture more offshore wind cargo, Black said. Thats really been a boon to the Rhode Island economy the past two years. Director of Environmental Management Terrence Gray said the green bond would also go to other projects across the state, including $3 million for the Newport Cliff Walk and $10 million to help communities make their infrastructure more resilient against the effects of climate change. ALSO READ: Newport repairs sink hole, reopens part of Cliff Walk Sea levels rise, [there are] more intense storms, more frequent storms, he said. Weve all seen this happen. Sue Cienki, the national committeewoman for the Rhode Island GOP, is asking voters to reject the ballot question on November 5. Cienki said the state should not be investing in offshore wind after a turbine blade broke at the Vineyard Wind site off the coast of Nantucket this summer. READ MORE: Vineyard Wind working to clean up debris from damaged turbine People are more aware of the impacts that these wind turbines can have, she said. They fall apart. Look at the dead whales and the dead dolphins that are coming up. According to NOAA, there are no known links between large whale deaths and ongoing offshore wind activities. At Fridays news conference, Gray said the green bond money would also go toward investing in the states parks, forests, and farmland. Kate Wilkinson (kwilkinson@wpri.com) is a Target 12 investigative reporter for 12 News. Connect with her on Twitter and Facebook. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Nesi's Notes Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WPRI.com. A river otter attacked a child at a marina in Bremerton, Washington, pulling the boy underwater and biting him before he was rescued by his mother. The rare attack happened Thursday morning as the child and his mother were walking on a dock at the Bremerton Marina in Kitsap County, the state's Department of Fish and Wildlife (WDFW) said in a news release. The child sustained scratches and bites to the top of his head, face and legs and was treated at a local hospital. The mother told authorities that the river otter pulled her son into the water and dragged him underneath. He resurfaced after a few moments, WDFW said. "The childs mother was able to lift the child out of the water while the otter continued to attack and was subsequently bit in the arm. The river otter continued to pursue the family as they left the dock," the agency said. Ken Balazs, with WDFW, said the child sustained minor injuries "due to the mothers quick actions and childs resiliency." The otter was captured and taken to the Washington Animal Disease Diagnostic Lab for further evaluation and testing for rabies. The U.S. Department of Agriculture Wildlife Services works to "trap and lethally remove" river otters from the marina, the fish and wildlife agency said. The animals are relatively common in Washington and can be found in fresh, brackish, or saltwater habitats, the agency said. Encounters with them are rare but they can be" territorial and, like any wildlife, are inherently unpredictable." Six other human-river otter incidents have been documented in the state in the last decade, according to the agency. Last year, a rabid otter bit a man and a dog in Jupiter, Florida, and in Montana three women were injured when an otter attacked them as they floated on inner tubes on a river. One woman had severe bites on her face and arms and was flown to the hospital via helicopter. In California, Matt Leffers said he was swimming at Serene Lakes in Placer County last September when otters bit him at least 12 times. He told NBC News affiliate KCRA 3 that he feared for his life and the animals were so "aggressive that, literally, I felt like they wanted to kill me." His wife had to rescue him on a paddleboat before taking him to the hospital. This article was originally published on NBCNews.com In one sense, there's no such thing as easy money. However, once you have money accumulated to invest, it can be easy to make more. That's the key to generating passive income. Some investment options require constant monitoring. You might not be able to hang onto them for long. However, others are excellent long-term picks. Want decades of passive income? Here are three stocks to buy now and hold forever. 1. AbbVie AbbVie (NYSE: ABBV) is one of the biggest biopharmaceutical companies in the world with its market cap hovering around $345 billion. Its product lineup includes four blockbuster drugs and several others with the potential to generate sales of $1 billion or more. You might not be overly impressed by AbbVie's forward dividend yield of 3.17%. However, the company's dividend track record is impressive. AbbVie has increased its dividend for 52 consecutive years, making it a member of the elite group of stocks known as Dividend Kings. The main knock against AbbVie is that its revenue and earnings have fallen due to biosimilar competition for its top-selling drug Humira. Investors have nothing to worry about, though. AbbVie expects to quickly return to growth thanks to other rising stars in its lineup, including the two successors to Humira -- Rinvoq and Skyrizi. I would even argue that AbbVie's handling of the loss of patent exclusivity for Humira underscores why this is a great stock to generate passive income over the long term. The company's strategy to extend Humira's marketplace dominance while it invested in developing new drugs and made shrewd acquisitions shows that it should be able to successfully navigate future patent cliffs. 2. Brookfield Infrastructure Brookfield Infrastructure's (NYSE: BIP) (NYSE: BIPC) name sums up its business: infrastructure. The company owns cell towers, data centers, electricity transmission lines, pipelines, rail, semiconductor manufacturing foundries, toll roads, and more. Because of its roots as a limited partnership (LP), Brookfield Infrastructure pays distributions instead of dividends. Whatever you call them, they're attractive. The forward distribution yield for the LP (which trades under the BEP ticker) is 5.12%, while the yield for the corporate entity (which trades under the BEPC ticker) is 4.04%. Those distributions are highly dependable, too. Brookfield Infrastructure has increased its distributions for 15 consecutive years. The company expects to keep that trend going with annual distribution growth of between 5% and 9%. Brookfield Infrastructure also has a solid, repeatable strategy for long-term growth. The company acquires infrastructure assets that are attractively valued. It then enhances the businesses' value using its operational expertise. Finally, the company sells mature assets with limited growth potential to fund new investments. Story continues 3. Verizon Communications Most Americans are likely at least somewhat familiar with Verizon Communications (NYSE: VZ). The company is one of the largest telecommunications providers in the world. Verizon offers a forward dividend yield of 6.27%, the highest of the three stocks on this list. The telecom giant has increased its dividend for 18 consecutive years. With Verizon's improving free cash flow, I expect this streak of dividend hikes will continue. Income investors aren't the only ones who have something to like with Verizon. The stock should also appeal to value investors with its low forward price-to-earnings ratio of 9.3. Admittedly, Verizon probably won't deliver sizzling organic growth. However, the company is well positioned to grow via acquisitions. As a case in point, Verizon plans to buy Frontier Communications for $20 billion in an all-cash transaction. This deal will greatly expand Verizon's fiber footprint in the U.S. Should you invest $1,000 in AbbVie right now? Before you buy stock in AbbVie, consider this: The Motley Fool Stock Advisor analyst team just identified what they believe are the 10 best stocks for investors to buy now and AbbVie wasnt one of them. The 10 stocks that made the cut could produce monster returns in the coming years. Consider when Nvidia made this list on April 15, 2005... if you invested $1,000 at the time of our recommendation, youd have $730,103!* Stock Advisor provides investors with an easy-to-follow blueprint for success, including guidance on building a portfolio, regular updates from analysts, and two new stock picks each month. The Stock Advisor service has more than quadrupled the return of S&P 500 since 2002*. See the 10 stocks *Stock Advisor returns as of September 9, 2024 Keith Speights has positions in AbbVie, Brookfield Infrastructure Corporation, Brookfield Infrastructure Partners, and Verizon Communications. The Motley Fool recommends Brookfield Infrastructure Partners and Verizon Communications. The Motley Fool has a disclosure policy. Want Decades of Passive Income? 3 Stocks to Buy Now and Hold Forever was originally published by The Motley Fool A child walking down a dock with their mother was snatched by a river otter and pulled underwater in a harrowing encounter in Bremerton, Washington. A moment later, when the child resurfaced, the mother grabbed the child and lifted them out of the water as the river otter continued to attack, according to the Washington Department of Fish and Wildlife. The frightening incident occurred around 9:30 a.m. Thursday at the Bremerton Marina, located west of Seattle. The mother was bitten in the arm, and the child sustained scratches and bites to the top of the head, face and legs. The river otter continued to pursue them as they left the dock. The child, whose name and gender were not released, was treated at St. Michael Medical Center in Silverdale, the Kitsap Sun reported. We are grateful the victim only sustained minor injuries, due to the mothers quick actions and childs resiliency, WDFW Sergeant Ken Balazs said. We would also like to thank the Port of Bremerton for their quick coordination and communication to their marina tenants. Also on FTW Outdoors: Whale tosses snorkeler out of the water in scary encounter The U.S. Department of Agriculture Wildlife Services was called in by fish and wildlife officers to trap and lethally remove river otters from the marina. One river otter was trapped at the scene and was transported to the Washington Animal Disease Diagnostic Lab for further evaluation, including testing for rabies. More from the WDFW: River otters (Lontra canadensis) are relatively common throughout Washington and can be found in fresh, brackish, or saltwater habitats. The species is mostly aquatic, though they can spend considerable time out of water. River otters are classified as a furbearer in Washington, meaning they are a game species that can be trapped. Although encounters with river otters are rare, they can be territorial and, like any wildlife, are inherently unpredictable. There have been six documented human-river otter incidents in Washington in the last decade. Heather Pugh, founder of the Bremerton Marina Tenants Group, told the Kitsap Sun that The Bremerton Marina is located in the Puget Sound marine environment, and occasionally will see harbor seals, salmon, otters, and even Orca that have been in the waters nearby or adjacent to the marina. The natural wildlife often travel following the shoreline currents or seeking schools of small fish in the shallow waters. The marina has not experienced any incident like this with river otters. This article originally appeared on For The Win: River otter pulls child off dock and underwater in harrowing attack Rod Stewart made an intervention in the race for the White House on Friday with a plea for aid for Ukraineand by implication an attack on Donald Trump. The veteran British singer used an appearance at a stadium concert in Cleveland, Ohio, to call explicitly for continued support for Ukraine, calling the Russian invasion evil and displaying pictures of the toll it has taken on the countrys people. Stewart, 79, has rarely spoken on political issues in publicbut has recently become a Trump critic, ending a friendship of many years with his Palm Beach neighbor and saying his wife had called the former president a disgrace. In August, he mocked Trump for turning orange, a reference to the former president claiming his rival, Kamala Harris, had turned Black. Rod Stewart offers support to Ukraine during a concert in Cleveland, Ohio, on Sept. 13, 2024. Hugh Dougherty Going so explicitly political, however, is a new departure. At a joint concert with Billy Joel, Stewart changed outfits between songs and emerged on stage in bright blue pants and shirt, and a bright yellow jacket. These are the colors of a flagthe flag of Ukraine, he told a crowd of about 40,000. Theres an evil war going on in Ukraine. Russia has invaded Ukraine. This song is for Ukraine, for its soldiers. For [Volodymyr] Zelensky. And whoever is next in the White Housedont stop helping Ukraine, Stewart added. He then sang his hit Sailing in front of images of the war in Ukraine and, at the final chorus, a picture of Zelensky. The message of support amid the war, delivered in a city with one of the largest Ukrainian-American communities in the country, puts Stewart directly at odds with Trump. While Democratic candidate Harris used Tuesday nights presidential debate to pledge unwavering support for Zelensky and his countrys war effort, Trump has offered no such promise. Instead, he claimed that he would end the war before he even re-entered office, suggesting he would be able to persuade Russian President Vladimir Putin to stop. Kremlin Joy as Trump Sucks Up to Controversial Russian Tough Guys While he did not say how, he heavily criticized President Joe Bidens refusal to speak to or negotiate with Putinwhich critics have argued means he would force Ukraine to surrender territory and its aspirations for NATO membership. Harris seized on these comments Tuesday as evidence that he would roll over to strongmen and dictators. Trump has also declined to commit to continuing the massive flow of military aid from U.S. stocks which Zelensky says has been crucial to the countrys continued ability to hold off Russian troopsand needs to be stepped up to prevent more Ukrainian losses. Among the American materiel now in use on the battlefield are medium-distance HIMAR missiles, Bradley Fighting Vehicles, the Patriot missile defense system, and, in recent weeks, F-16 fighters, which Ukrainians have long requested to combat Russian air superiority. Rather than committing to aid at the debate, Trump suggested that Harris and Biden had failed to get Europeans to pay enough toward the war effort. Zelensky has lobbied Republicans to commit to continued military aid but has had little success persuading much of the partys MAGA wing. Earlier this month, the Ukrainian president hosted South Carolina Sen. Lindsey Graham in Kyiv. Graham is a rare member of the hawkish Republican foreign policy establishment who is close to Trump, and the move was seen as an attempt to secure an advocate in the White House in the event Trump returns to the Oval Office. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Editors note: changes to this article reflect updated information from police. ROCHESTER, N.Y. (WROC) The Rochester Police Department requested the publics help Friday night as they searched for a missing girl from Rochester. According to police as of 10:15 p.m., she was found safe and unharmed. Officers thanked the community for their help. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to RochesterFirst. Runner Dies At Finish Line | Trail Of Deadly Snacks Left For Dogs CALIFORNIA On the weekend, we present a week in review of the top stories and headlines from all across California. Here's a roundup of some of the most-read stories across the state. You can also find your local Patch and catch up on those stories by clicking here. Other notable stories this week include: Police worked across five counties to arrest 205 people connected to online child sex crimes in a less-than-week span, authorities said. A homeless man is in custody after entering a sleeping Santa Monica woman's home and exposing himself to her, police said. A lottery for qualified applicants will be held for 3 levels of income: moderate, low and very-low. The two victims were taken to the hospital. Firefighters worked through the night to attack the quick-moving flames after the cause of fire was ruled to be "unintentional." The CHP said a dangerous maneuver caused a chain-reaction crash that claimed five lives Monday in unincorporated Lakeview. The Line Fire grew by nearly 1,000 acres overnight, but officials said better weather Thursday was already making a difference. Mosquito Spraying Planned In Campbell, San Jose The pesticide spraying will take place in 5 zip codes in response to West Nile Virus-positive mosquitoes. One person has already died in the county this year from WNV. Laguna Beach detectives are looking for the public's help in identifying suspects wanted for detonating an explosive device. South Bay Assemblymember Al Muratsuchi introduced the anti-book ban bill that now awaits Governor Gavin Newsom's signature. The San Diego area saw one of the largest consumer price index changes from two months ago. More than 100,000 homes remain in danger, but firefighters are confident they can make progress battling three major wildfires Thursday. The quake was centered in Malibu, and the shaking could be felt across the Southland Thursday morning. The 34-year-old resident was arrested Tuesday and booked on suspicion of arson. Fall means pumpkin spice season. But those fatigued by the iconic flavor can try these alternatives instead. A man applied for $65 million in pandemic relief for his agriculture business. But the Beverly Hills farm doesn't exist, prosecutors said. Runner Dies At Finish Line | Trail Of Deadly Snacks Left For Dogs originally appeared on the Los Angeles Patch Russia will have to deploy more troops to Kursk to counterattack ISW Russian troops continue to counterattack through the Ukrainian salient in Kursk Oblast, but the Russian military may have to redeploy additional units from other parts of the theatre to Kursk Oblast to create a force grouping capable of conducting a sustained counteroffensive. Source: Institute for the Study of War (ISW) Quote: "ISW continues to track observable Russian counterattacks in Kursk Oblast but has not yet observed large-scale combat operations indicating that Russian forces have started a large-scale concerted counteroffensive operation aimed at completely expelling Ukrainian forces from Kursk Oblast." Details: On 13 September, Ukrainian President Volodymyr Zelenskyy confirmed that Russian forces had begun counteroffensive operations in Kursk Oblast. A day earlier, on 12 September, Pentagon spokesperson Major General Patrick Ryder indicated that the US had observed Russian units making attempts to launch what he described as a "marginal" counteroffensive. Ukrainian military observer Kostiantyn Mashovets said there were an estimated 33,000 to 35,000 Russian troops stationed in Kursk Oblast as of 13 September. Zelenskyy also mentioned that the Russian military leadership intends to concentrate between 60,000 and 70,000 soldiers in the oblast significantly exceeding the 50,000 troops that US officials estimate Russia would need to drive Ukrainian forces out of the oblast. Up to this point, Russian authorities have predominantly relied on inadequately trained and poorly equipped conscripts, supported by smaller units of regular and irregular troops, to counter Ukraines advances in Kursk. It is unlikely that the bulk of the current Russian forces in the oblast consist of experienced combat troops. A successful Russian counteroffensive to retake Kursk Oblast would almost certainly require even more manpower and resources than those already deployed particularly considering that many of the units already in the area lack sufficient combat experience. Russian airborne forces recently moved to Kursk Oblast from the front lines in Ukraine and are currently responsible for spearheading counterattacks in the oblast. This suggests that the Russian military leadership may intend to deploy units considered more "elite" or capable of recapturing territory. The Russian military will undoubtedly be forced to redeploy units already engaged in offensive operations or operational reserves from Ukraine to Kursk Oblast to form the combat-ready units needed to conduct a major counteroffensive operation and then guard the border against incursion. To quote the ISW's Key Takeaways on 13 September: The Ukrainian incursion into Kursk Oblast has reportedly spoiled planned Russian offensive operations along the international border area that likely aimed to expand the area of active combat operations across a broader front in northeastern Ukraine. Russian forces continue to counterattack throughout the Ukrainian salient in Kursk Oblast, but the Russian military will likely have to redeploy additional elements from elsewhere in the theatre to Kursk Oblast to establish a force grouping capable of pursuing a sustained counteroffensive operation. Russian forces appear to be testing more effective mechanised assault tactics west of the city of Donetsk, although Russian armoured vehicles remain vulnerable to Ukrainian strike and drone capabilities. Ukraine and Russia conducted their second prisoner of war (POW) exchange since the Ukrainian incursion into Kursk Oblast on 13 September. Russia continues efforts to strengthen strategic military ties with the Peoples Republic of China (PRC), North Korea, and Iran to support its war effort in Ukraine. Russian and Ukrainian forces recently advanced in Kursk Oblast amid continued Russian and Ukrainian assaults in the area on 13 September. Russian forces recently marginally advanced near Kupiansk, Chasiv Yar, Toretsk, and Pokrovsk. Russian authorities continue attempts to coerce minorities and Ukrainian youth living in occupied Ukraine to sign contracts with the Ministry of Defence (MoD) to avoid conducting a wider mobilisation. Support UP or become our patron! More than a month after Ukraine first invaded Russias Kursk Oblast, Moscows forces have mounted a counteroffensive to try and take back the hundreds of square miles they lost. Just how effective it has been so far is not quite clear, but indications are that Russia is making a serious bid to kick Ukraine out. The Russian Defense Ministry (MoD) claims its Units of the North forces had reclaimed 10 settlements in two days in the area around Snagost, according to the BBC. That town is on the western flank of Ukraines salient. That is roughly in line with the dynamic control map produced by the Ukrainian DeepState open-source intelligence group. The map shows Russian forces have knifed through the salient, moving about six kilometers south from Korenevo to Snagost. The area in the blue is controled by Ukraine north of the border in Kursk Oblast. The area in the gray is contested. (DeepState screencap) Ukrainian President Volodymyr Zelensky has acknowledged that Russian forces have launched a rapid counterattack but havent achieved serious success, according to the Kyiv Independent. In the Kursk direction, the Russians have started their rapid offensive, and they want to use about 60,000-70,000 people there, Zelensky said, according to the publication. He also said the counteroffensive is going in line with our Ukrainian plan, the BBC noted. One Ukrainian officer told the BBC that the fighting is very tough and the situation is not in our favor as of now. An indication of the ferocity of battle can be seen in the video below shot from the Russian perspective. Russians are fighting a battle in Sergeevka, Kursk, against Ukrainian troops Yesterday, I published photos and videos corroborating an attempt to flank Russian counterattack in Kursk by Ukraine across the border. See the village of Kubalki, which is east from Sergeevka https://t.co/rdLBqop4ufpic.twitter.com/TeeCgmfq1R PS01 (@PStyle0ne1) September 12, 2024 Initially, Kursk was lightly defended, allowing Ukraine to capture about 500 square miles of territory. However, Russia began moving more troops and equipment into the region. The units included the 56th Air Assault Regiment to the west, the 810th Naval Infantry Brigade to the north, the 11th Separate Assault Brigade to the east, and the 488th Motor Rifle Regiment and 155th Separate Brigade of Marines to the southeast, according to DeepState. Russia also increased its airstrikes. The fighting grew more fierce and has turned into a full-blown counteroffensive. Ukraine is not entirely on its heels, according to the Institute for The Study of War (ISW) and at least one of its military units fighting there. Russian forces continued counterattacking throughout the Ukrainian salient in Kursk Oblast on September 12 but made only marginal gains, likely due to continued Ukrainian offensive operations and defensive counterattacks in the area, ISW reported in its latest assessment. Ukrainian special forces @SOF_UKR conducted a successful ambush in Russias #Kurskregion. This video filmed in Kursk region is one of the few opportunities to see from the inside how Ukrainian combat teams work on the Russian territory. #StandWithUkrainepic.twitter.com/B9yIpePbWk Iuliia Mendel (@IuliiaMendel) September 13, 2024 In addition to mounting local attacks on advancing Russian troops, Ukraine has opened up a new front, pushing across the border into the Russian town of Tetkino, ISW stated. As we noted nearly a month ago, Ukraine wants to move east through Tetkino and thousands of Russian troops are estimated to be stuck south of the Seim River thanks to a campaign of attacks on bridges. Those strikes continue as Russia attempts to build pontoon bridges across the River. HIMARS covers with ATACMS cluster missiles hit the f Russian infantry and equipment at the moment of crossing the Seim River in Kursk region Ai upscaled 150% + dehalo + compression reverse + 30 to 60 FPS + Stabilization https://t.co/r5xIfbrFsF pic.twitter.com/rZ8TqThxwb Dariusz Zawadzki (@Military_oO) September 12, 2024 Russian sources reported that a small unit of Ukrainian troops and armor was also pushing west toward that pocket of troops. The operational and combat situation remains difficult, the Russian Senior Border Guard Telegram channel claimed on Friday. Yesterday, the enemy managed to consolidate its position in the area of the village of Novy Put. After which the enemy made a dash towards the settlement of Veselove consisting of a tank and two armored fighting vehicles, the first attack was repelled. By evening, the enemy began to dig in near Veseloye, continuing the attacks. The enemy is actively destroying our UAVs, which complicates the reconnaissance and adjustment of our artillery. Border guards, together with units of the Ministry of Defense of the Russian Federation, are repelling enemy attacks. Heavy fighting is underway. The Ukrainian presence in Veseloe, almost 12 miles west of Ukrainian-held territory in Kursk, was geolocated by an attack on one of its armored vehicles there. Ukraines Khorne Group military unit said it is on the offensive in Kursk. We penetrated into a new place for kilometers into Russia.. a group of Russian conscripts of more than one thousand people under the threat of encirclement, Khorne Group claimed on Telegram. Khorne group published footage of the Ukrainian breach of the Russian border 20 miles from the Kursk salient, which they say is more impactful than described by Western media: "Any media nonsense, especially German experts and Forbes, are either hysterics who lack information or pic.twitter.com/tA1Vwqkltr WarTranslated (Dmitri) (@wartranslated) September 13, 2024 The War Zone cannot independently verify any of these claims, but it was inevitable that Russia would hit back hard against an invasion of its territory. The Kursk invasion was launched in large measure to try to draw Russian troops from eastern Ukraine, where they are within a few kilometers of taking several key towns. However, that advance continues, albeit at a much slower pace as Ukrainian forces too have dug in and reinforced their positions. More on that later. Even before the counterattack, things were getting difficult in Kursk, Ukrainian troops said. Three days before it was launched, CNN spoke with 14 Ukrainian soldiers from five different units who were deployed to Kursk as part of the incursion. All 14 said the Kursk invasion was a difficult operation with casualty rates on par with other parts of the frontlines. They said it was getting tougher, five weeks in, and some even questioned the decision to launch the incursion at a time when Ukraine was struggling to defend key towns and cities in the east of the country. It will get more and more difficult, one soldier said. There will be more artillery fire, more soldiers, and there will be very big and difficult battles, but we must do everything we can that improves our position. Ukraine, said the soldier, wants peace, but peace when we win, not when we lose. Russia is sending a lot of troops and artillery (to Kursk). We have a lot of guys who have been killed and we have a lot of destroyed hardware. Russian President Vladimir Putin has ordered his troops to retake territory lost in Kursk by Oct. 1, a deadline that will be challenging to meet. Zelensky, meanwhile, has indicated he has no intention of a rapid withdrawal from Kursk. He has sent some of his best troops and equipment into Russia even as the eastern part of his nation buckled under a withering Russian advance. The coming days should provide a better indication of whether Ukraines push into Russia was a bet worth making at such a critical time in the conflict. The Latest In the heavily contested eastern part of Ukraine, Russian troops are continuing their advance toward Pokrovsk. As we have frequently noted, the city is a key logistics hub for this region. It is nestled by important roads and bisected by a major rail line. Just a little under six miles from the city, Russia is pushing westward toward it, but there are indications that the massive offensive has slowed a bit. Over the past 24 hours Russian troops have occupied the village of Lisivka and pushed closer to Pokrovsk, about 10 kilometers distant, Politico reported. As we can see, the Russians put everything in the direction of Pokrovsk, Ukrainian army spokesman Dmytro Lykhoviy told the news outlet.They dont have enough resources to conduct a massive offensive on several fronts at once, and this has been evident for the past few months. Still, that town is in trouble and the Donetsk Oblast governor urged the remaining civilians to leave. The situation is difficult and will not get better soon, Vadym Filashkin said on Facebook Thursday. Thats why I urge you again: evacuate! Evacuation is the only right choice for civilians! Russian troops pushing toward Pokrovsk say the offensive force ranges from well-organized modern military units to undertrained troops sent out on assaults with minimal artillery support, no armor or aviation, and are heavily targeted by Ukrainian drones. Russian serviceman from Pokrovsk direction reports on the duality among the Russian offensive force: some brigades equipped by volunteers are described as well-organised, modern military units with advanced technology. Others are sent to assault with minimal artillery support, no pic.twitter.com/oSmNF2K7Yn WarTranslated (Dmitri) (@wartranslated) September 12, 2024 Ukraines 46th Airmobile Brigade claimed a new record for Russian equipment destroyed in a single attack in the Kurakhove sector of the Donetsk region, about 20 miles south of Pokrovsk. On September 12 Four waves of assaults from 5:45 a.m. to noon on the positions of the battalions of our brigade should, according to the enemys plan, break through the defense of the Ukrainian paratroopers, the unit claimed on Telegram. Tanks, armored personnel carriers, infantry fighting vehicles, infantry on motorcycles a total of 46 units of armored and not so armored vehicles fell on us. And the record was set! Such a number of destroyed and damaged enemy equipment in one day in the direction of responsibility of the 46th brigade has never really happened. The War Zone cannot independently verify this claim, but the video below, first published by the unit, shows the intensity of that battle. A massive russian attack failed! 46 russian armored vehicles were advancing at the positions of the 46th Airomobile Brigade. warriors destroyed 26 of them, including 20 IFVs. pic.twitter.com/fdXmeR9f1g Defense of Ukraine (@DefenceU) September 13, 2024 Though U.S. President Joe Biden and U.K. Prime Minster Keir Starmer met in Washington today to discuss among other topics the granting of permission for Ukraine to use long-range weapons in Russia, the results of that meeting may not be publicly known for a while. There is no change to our view on the provision of long-range strike capabilities for Ukraine to use inside Russia, and I wouldnt expect any sort of major announcement in that regard coming out of the discussions, certainly not from our side, White House National Security Council spokesman John Kirby told reporters on Friday. I also leave it to the Prime Minister to decide what he wants to talk about. While there have been increasing indications that the U.S. will allow Ukraine to uses its Army Tactical Missile System (ATACMS) short-range ballistic missiles inside Russia and the U.K. will do the same with its Storm Shadow air-launched cruise missiles, those permissions have yet to be granted. In an exclusive interview with CNN, Ukrainian President Volodymry Zelensky continued pushing for the. U.S., the U.K. and allies to allow the use of those long-range fires inside Russia. Everybody is looking [for] the decision of the United States, everybodys waiting for sides decisions, after that, they make decisions, he said. And so, we wanted very much to use this weapon and just to attack these jets on the military bases, not civilians, infrastructure. Military bases. Zelensky said he had meetings with U.S. officials and told them we waited too long. Now Russia [has begun] to move their jets from 100, 150km, 300 to 500km. After that, I will tell you. And that now we need more permissions. But now you will tell me, maybe we will give you 100 or 200, but for what? To destroy what if they began to move? So were again, like with the packages, slow decisions and again, we cant win in such circumstances. CNNs Fareed Zakaria sat down for an exclusive interview with Ukrainian President Volodymyr Zelensky (CNN) Russian President Vladimir Putin warned the United States and its allies not to lift restrictions on the use of these long-range weapons. We are not talking about allowing or not allowing the Ukrainian regime to strike Russia with these weapons, Putin said Thursday in comments to propagandist Pavel Zarubin, according to NBC News. We are talking about deciding whether NATO countries are directly involved in the military conflict or not. Putin said that the use of Western long-range precision weapons against Russia by Ukraine would signal direct NATO involvement in the war in Ukraine. He added that Ukraine uses data and intelligence from NATO countries to coordinate strikes because they don't have such data pic.twitter.com/CKvkFCd4MT NOELREPORTS (@NOELreports) September 12, 2024 While the U.S. and U.K. are mulling over whether to allow Ukraine to use donated weapons on Russian soil, Poland became the latest nation to say there are no such restrictions. We shall continue supplying Ukraine with advanced air defense and antimissile defense systems and lift the ban on using long-range weapons, Polish Foreign Minister Radosaw Sikorski said at the joint press conference with U.S. Secretary of State Antony Blinken on Thursday. "We shall continue supplying Ukraine with advanced air defense and antimissiles defense systems and lift the ban on using long-range weapons," Polish Foreign Minister Radosaw @sikorskiradek Sikorski at the joint press conference with U.S. Secretary of State Antony @SecBlinken pic.twitter.com/4XZUb7fjmk Anton Gerashchenko (@Gerashchenko_en) September 13, 2024 Without an extension authorized by Congress, the Pentagon has 17 days to spend nearly $6 billion on military equipment for Ukraine before the funds disappear with the change of the Fiscal Year on Sept. 30. We have $5.9 billion left in Ukraine Presidential Drawdown Authority (PDA); all but $100 million of which will expire at the end of the fiscal year, Air Force Maj. Gen. Pat Ryder said in a statement Friday. The department will continue to provide drawdown packages in the near future and is working with Congress to seek an extension of PDA authorities beyond the end of the fiscal year. So far, the U.S. has committed more than $56.6 billion in security assistance to Ukraine since the beginning of the Biden Administration, including approximately $55.9 billion since the beginning of Russias all-out invasion on February 24, 2024, according to the Pentagon. (DoD) Russian drones attacking Ukraine have frequently landed on or crossed over the airspace of several nations. However, to date, only Russian client state Belarus has shot one down, an irony Zelensky chided NATO allies about. Only Belarus has distinguished itself by shooting down Russian drones, Zelensky complained. I think its simply humiliating for the strong democratic world. Zelensky criticized NATO, pointing out that so far only Belarus has managed to shoot down "Shahed" drones. "Only Belarus has distinguished itself by shooting down Russian drones. I think it's simply humiliating for the strong democratic world." pic.twitter.com/9z0toM1t4Z KyivPost (@KyivPost) September 13, 2024 The U.S. Ambassador to Ukraine lashed out at Russia for attacking a civilian vessel traveling in the Black Sea with a load of grain bound for Egypt. We strongly condemn Russias attack on a commercial cargo ship in the Black Sea last night, Bridget A. Brink said on Twitter. This escalatory attack is a blatant violation of international law that threatens global food security. A Kh-22 supersonic cruise missile fired by a Russian Tu-22M3 Backfire bomber slammed into the port side of the bulk carrier MV Aya on Sept. 11 in a morning strike, according to the Kiyv Post. Reportedly, the weapon was one of three launched by a trio of bombers flying over the Black Sea shortly after 11 a.m. The Belize-owned, Turkey-operated Aya was carrying Ukrainian grain loaded in the port Chornomorsk and was en route to Egypt. At the time the Russian missile struck her, the Aya was in international waters some 15-20 nautical miles off shore. She had been travelling in convoy with other cargo vessels. It was the first time a missile has struck a civilian vessel transporting grains at sea since the start of Moscows invasion in February 2022, according to Reuters. Some vessels have been damaged during Russian attacks on Ukrainian ports where they were moored. We strongly condemn Russias attack on a commercial cargo ship in the Black Sea last night. This escalatory attack is a blatant violation of international law that threatens global food security. pic.twitter.com/yJ2vs77Viz Ambassador Bridget A. Brink (@USAmbKyiv) September 12, 2024 Ukrainian pilots arrived in Romania a few days ago and have already started training to fly F-16 Vipers, according to Euromaidan Press. Ukraines Defense Minister Rustem Umerov previously announced the start of training for Ukrainian pilots on F-16s in Romania after a conversation with his Romanian counterpart Angel Tilvar, the publication reported. It marks the first time Ukrainian pilots will train on Western jets in Romania. The Ukrainians are expected to begin flight training by October 2024. At the latest count, around 85 F-16AM/BMs have now been committed to Ukraine. These comprise 24 from the Netherlands, 19 from Denmark, and 12 from Norway (with the same country providing 10 more that will be used for spare parts), while Belgium says it will supply 30. Greece has also since been suggested as a possible source of more of the fighters, of the F-16C/D Block 30 version. Ukrainian pilots have commenced training on F-16 fighter jets in Romania. The training is taking place at the Fetesti Air Base and the Borcea Air Base in Romania. Ukraine is set to receive a total of approximately 85 F-16 fighter jets from various NATO allies, with a total of pic.twitter.com/9UiPydWFPm Clash Report (@clashreport) September 13, 2024 The Pentagon is spending $1.2 billion in a contract modification for a new batch of AIM-120 Advanced Medium-Range Air-to-Air Missiles, or AMRAAM. Those are among the munitions that can be fired by Vipers. This modification adds additional production of the AMRAAM missiles, AMRAAM telemetry system, initial and field spares, and other production engineering support hardware and activities, it states on its website. This contract involves Foreign Military Sales to Bahrain, Bulgaria, Canada, Finland, Germany, Hungary, Italy, Japan, Norway, Switzerland, Ukraine, and United Kingdom. The U.S. Department of Defense announced that the Pentagon is allocating ~$1.2 billion for the production of medium-range AMRAAM missiles for sales to countries including Ukraine. These advanced missiles have a range of up to 160 km and use inertial guidance with an active radar pic.twitter.com/pMFUMvBkpd NOELREPORTS (@NOELreports) September 12, 2024 Last year, Russia started producing a new long-range attack drone called the Garpiya-A1 using Chinese engines and parts, Reuters reported. The drones have been used Ukraine the publication said, citing two sources from a European intelligence agency and documents seen by Reuters. The intelligence which included a production contract for the new drone, company correspondence on the manufacturing process and financial documents indicated that IEMZ Kupol, a subsidiary of Russian state-owned weapons maker Almaz-Antey, produced more than 2,500 Garpiyas from July 2023 to July 2024, Reuters stated. "Russia started producing a new long-range attack drone called the Garpiya-A1 last year using Chinese engines and parts, which it has deployed in the war in Ukraine, according to two sources from a European intelligence agency and documents seen by Reuters. The intelligence pic.twitter.com/fgjili6eBo Rob Lee (@RALee85) September 13, 2024 Ukrainian forces struck an ammunition dump in the occupied Azov Sea town of Mariupol, according to an advisor to the citys mayor. Arrival in Mariupol district. The attack has been going on for half an hour, Russian air defense is not capable, Petro Andriushchenko wrote on Telegram. Detonation is present immediately after impact. Video emerged on social media purporting to show the aftermath of that attack, with several large fires burning and explosives cooking off. Early this evening, Ukrainian forces successfully struck a Russian ammunition dump outside of Mariupol. Multiple large fires and secondary explosions could be seen from nearby as the dump cooked off. pic.twitter.com/3Kr1nU05r7 OSINTtechnical (@Osinttechnical) September 11, 2024 Three train cars filled with oil near Chernivhiv in occupied Zaporizhzhia Oblast caught fire after being hit by Ukrainian drones, the Ministry of Emergency Situations of Zaporizhia Region said Friday on Telegram. Dozens of first responders, using 18 pieces of equipment and a fire train quickly extinguished the fire and prevented it from spreading to other tanks, the ministry said. Fortunately, there were no casualties. Ukrainian Armed Forces attacked the temporarily occupied Chernihiv district in Zaporizhzhia region, report local channels. The target was a freight railroad train with fuel tanks. Russian emergency officials reported that 3 fuel tanks were completely burned out. Follow pic.twitter.com/xM4V9ONdbJ BLYSKAVKA (@blyskavka_ua) September 13, 2024 There was another attack on Russian rail transport, a key to their logistics. In the video below, you can see an unmanned ground vehicle laden with explosives from Ukraines 25th Airborne Brigade trying to blow up train tracks in Novohrodivka in Donetsk Oblast. That area is about seven miles southeast of the embattled city of Pokrovsk in eastern Ukraine. A kamikaze ground drone from the Ukrainian 25th Airborne Brigade is attempting to destroy a railway north of Novohrodivka. pic.twitter.com/fPDagvek9X NOELREPORTS (@NOELreports) September 12, 2024 In a joint operation, Ukraines Defense Intelligence Directorate (GUR) and special operations forces (SSO) blew up a section of railway in Russias Belgorod Oblast, Ukrinform reported. The publication cited an informed source in intelligence circles. As a result of a successful operation, a freight train that covered the logistical needs of the aggressor states army was derailed, the publication reported. After the explosion on the railway track, 11 rail cars and the locomotive of the freight train derailed. The train in the Belgorod region was derailed due to a joint operation by Ukraine's military intelligence (HUR) and special forces (SSO). On September 10, explosives were planted and detonated on the "Stary Oskol Valuyki" railway line. The operation successfully derailed a https://t.co/RDWAkIkSls pic.twitter.com/BPCXlbUkgq NOELREPORTS (@NOELreports) September 12, 2024 Meanwhile, as Russia continues to press forward toward Pokrovsk, it struck a bridge in Myrnohrad over railroad tracks on the key TO-504 highway. Thats a vital supply route in the eastern part of Ukraine between Pokrovsk and Kostyantynivka about 30 miles to the east. Russia struck a bridge between Pokrovsk and Myrnohrad, completely destroying it, according to regional authorities. They also disrupted the water supply to Pokrovsk. Officials describe the situation as extremely difficult. pic.twitter.com/OXDeQLVe3h NOELREPORTS (@NOELreports) September 12, 2024 The ubiquity of drones on the battlefield from both sides has made supplying frontline troops a challenge. However, drones are part of the solution, too. With trucks and trains getting hit, Russia has resorted to drones to drop bottles of water to its troops. The following video shows them loading up water bottles encased in polyurethane foam to ensure they survive being dropped. Ukrainian FPV drones attack every Russian vehicle and every visible soldier within their range, making forward resupply a desperate business. Bottles of water are encased in polyurethane foam to survive dropping by drones to Russian forward positions. https://t.co/K7vYoiCSXn pic.twitter.com/ik9ZLBlejo Roy (@GrandpaRoy2) September 13, 2024 A Russian attack destroyed a 112-year-old Ukrainian Orthodox Church (UOC) building in Nikopol, Dnipropetrovsk Oblast, the regional diocese reported. On September 12, as a result of Russian mortar fire the UOC church in honor of the icon of the Mother of God Znamenia was destroyed, the Kryvyi Rih Diocese of the Ukrainian Orthodox Church (UOC) reported on Telegram. The church was hit around 10 in the morning, during the Divine Liturgy, the diocese said. During the singing of the Cherubim Song at the Divine Liturgy, a projectile hit the roof of the church, as a result of which a fire started. Despite the efforts of the fire rescuers who arrived at the scene of the fire, the church roof, the dome part and the internal decoration of the church were completely destroyed. The following video shows smoke billowing out of the building and firefighters working to douse the flames. Russians hit a Ukrainian Orthodox Church (Moscow Patriarchate) in Nikopol. The attack damaged the church's roof, dome, and interior, which was built in 1912. Fortunately, no one was injured. pic.twitter.com/YLaxdhBYRh NOELREPORTS (@NOELreports) September 13, 2024 A Russian soldier is seen tossing a Molotov cocktail at a U.S.-donated M1 Abrams tank, with the motor still running. It is unclear whether it was abandoned and the video cuts out before we can see the results of this attack. Russian soldier throws molotov at Ukrainian Abrams tank with running engine, likely abandoned. The footage also cuts before the molotov hits. pic.twitter.com/y6IiFRm169 Clash Report (@clashreport) September 12, 2024 Dmitry Lysakovsky, better known as Goodwin, was a well-known Russian drone operator much beloved by his comrades. However, after criticizing his leaders over their conduct of the war, he was sent to the front lines, where he was killed, the Russian Falcoln Telegram channel noted Friday. He and several other people who were objectionable to the regiment commander were disbanded from the UAV detachment into an assault detachment and sent to the front lines without support, in fact, simply to die. Lieutenant Colonel Sergei Gritsai, who recorded a dying video, died with him. "Legendary" Russian drone operator Dmitry "Goodwin" Lysakovsky was allegedly sent on a high-risk assault mission where he was killed after criticizing the command. He was a commander of aerial reconnaissance for the self-proclaimed "DNR" (Donetsk People's Republic). pic.twitter.com/BuPrEkHMvb Clash Report (@clashreport) September 13, 2024 All the information we provided about enemy targets reconnoitered by UAVs was slowed down at the level of the regiment commander and chief of staff and did not go higher so that the Ukrainian armys targets would not be destroyed, Gritsai said in that video, according to Falcon. So that they would continue to destroy our fighters. So that they would continue to strike at the territory of the Russian Federation. And as soon as it became clear that we had the ability to distribute information higher, the order came: Lets bury Ernest, Goodwin, and everyone else involved in this. And if we dont come back, this recording will be proof that we were right. If you are fighting for your Motherland, fight for your Motherland, not for your own pocket. And we are going to storm an oath, a duty. An interesting situation is emerging, Falcon cautioned. The regiment commander sends people he doesnt like to death, taking away all their equipment and depriving them of support in advance. In his video, Goodwin also accused the commander of drug trafficking and sabotage. There are no words to describe the people they are destroying Earlier this year, we wrote about how the Ukrainian military was testing out a new so-called soft recoil artillery piece. Now an image has emerged of the system a soft recoil 105mm, the Hawkeye Mobile Howitzer System (MHS), mounted on an up-armored M1152 two-door cargo truck version of the 44 Humvee (sometimes referred to as a 2-CT type). The weapon could give Ukraines forces a useful new shoot-and-scoot artillery capability. How the self-propelled 105mm low-recoil howitzer performs in Ukraine might have impacts beyond the battlefields there, especially for the U.S. Army, which has had an on-again-off-again interest in weapons of this type. You can read more about that in our deep dive here. A Hawkeye 105 mm self-propelled howitzer undergoing evaluation on a training range in Ukraine earlier this year in alt text. https://t.co/EfGoHzES7Npic.twitter.com/65agpfyZfF John Ridge (@John_A_Ridge) September 13, 2024 Images have emerged of another Ukrainian decoy, this one designed to look like a U.S.-made HAWK surface-to-air missile system, which you can read more about here. Ukraine has frequently used elaborate mockups to great effect. Late last year we reported on how a remarkably accurate (non-inflatable) decoy of a Ukrainian Su-25 Frogfoot ground-attack aircraft was destroyed on the ground by a Russian Lancet loitering munition. So accurate was this decoy that Russia claimed it had successfully hit the real thing. Overall, Ukrainian decoy-makers have revealed themselves to be notably skilled practitioners of this craft, with various mock-ups of armored vehicles, multiple launch rocket systems, air defense systems, and other items of equipment having appeared. On many occasions, these have succeeded in drawing attacks from the Russian side, each of which increases the chances of the real piece of hardware surviving to fight another day. Ukrainian decoys of HAWK air defense system https://t.co/wlbWJA5AkC pic.twitter.com/lL2EAsyShs Special Kherson Cat (@bayraktar_1love) September 12, 2024 And finally, a Russian soldier unsuccessfully sought refuge from an FPV drone in an outhouse. The video shows him being spotted and ducking into it. However, he could not hide and the outhouse was blown apart. Flush Away Your Worries! Experience the ultimate comfort with our state-of-the-art toilet. Designed for elegance and efficiency, it's the perfect addition to any modern bathroom. Say goodbye to ordinary and hello to extraordinary hygiene and style. Upgrade your throne today! pic.twitter.com/yUvHPsgZeP Slava (@Heroiam_Slava) September 13, 2024 Thats it for now. Contact the author: howard@thewarzone.com Russian officials threatened the West on Saturday with an uncontrolled escalation of war and Ukraine with the destruction of Kyiv as Western leaders discussed whether to allow Ukraine to use their weapons for strikes deep into Russian territory. Ukrainian President Volodymyr Zelenskiy said late on Friday that his plan to achieve victory depended on Washington's decision, a clear reference to the authorisation for long-range strikes that Kyiv has long sought from NATO allies. Andriy Yermak, head of Zelenskiy's office, said on the Telegram messaging app on Saturday: "Strong decisions are needed. Terror can be stopped by destroying the military facilities where it originates." A war crimes prosecutor works at a site of a Russian drone attack, amid Russia's attack on Ukraine, on the outskirts of Lviv, Ukraine on June 19, 2024. More: 'Leave our president alone': Kremlin slams Harris-Trump kerfuffle over who Putin will eat for lunch Kyiv has said such strikes are critical for its efforts to restrict Moscow's ability to attack Ukraine, but allies have so far been reluctant to permit them, citing fears Moscow will treat them as an escalation and doubting their efficiency. While no official decision on the matter has been announced so far, Russian Deputy Foreign Minister Sergei Ryabkov alleged it had already been made and communicated to Kyiv, and that Moscow would have to respond with actions of its own. "The decision has been made, the carte blanche and all indulgences have been given (to Kyiv), so we are ready for everything," the RIA news agency quoted Ryabkov as saying. "And we will react in a way that will not be pretty." 'MELTED SPOT' Former Russian President Dmitry Medvedev, who now serves as deputy chairman of the country's security council, said the West was testing Russia's patience but it was not limitless. Medvedev said Ukraine's incursion into Russia's Kursk region, which Zelenskiy described as a successful operation slowing Russia's advance, already gave Russia formal grounds to use its nuclear arsenal. More: Russia expels six British diplomats as tensions rise over Ukraine missiles He said that Moscow could either resort to nuclear weapons in the end, or use some of its non-nuclear but still deadly novel weapons for a large-scale attack. "And that would be it. A giant, grey, melted spot instead of 'the mother of Russian cities'," he wrote on the Telegram messaging app, referring to Kyiv. Ukraine's Yermak, in turn, said of Russian President Vladimir Putin: "Loud threats of Putin's regime testify only to his fear that terror may come to an end." This article originally appeared on USA TODAY: Russia threatens Ukraine, West as long-range strikes decision looms Moscow and Kyiv swapped 103 prisoners of war each on Saturday in a UAE-brokered deal, a rare moment of coordination between the warring sides as Russia pushes ahead in east Ukraine. The Russians released in the swap were captured during Ukraine's incursion into the Kursk region, Moscow said, while some of the Ukrainians freed had been held prisoner since Moscow seized the Azovstal steel plant in May 2022. "Another 103 soldiers were returned to Ukraine from Russian captivity," Ukrainian President Volodymyr Zelensky said on Telegram. Among the freed were 82 privates and sergeants as well as 21 officers, Zelensky said. Russia confirmed it had "handed over" 103 Ukrainian army prisoners, and received 103 Russian servicemen captured by Kyiv in its Kursk offensive in return. "All Russian servicemen are on the territory of the Republic of Belarus, where they are being provided with the necessary psychological and medical assistance, as well as an opportunity to contact their relatives," the Russian defence ministry said. Despite ongoing hostilities, Russia and Ukraine have managed to swap hundreds of prisoners throughout the two-and-half-year conflict -- often in deals brokered by the United Arab Emirates, Saudi Arabia or Turkey. The announcement comes a day after Zelensky said 49 Ukrainian POWs had been returned from Russia, and three weeks ago both sides swapped 115 prisoners each in a deal also mediated by the UAE. The UAE's foreign ministry hailed the deal as a "success" and thanked both sides for their cooperation on Saturday. - Russian advances - The prisoner swap came as Russia pushed ahead in east Ukraine, where it claims to have captured a string of villages in recent weeks. The Russian defence ministry said in a daily briefing it had "liberated" the village of Zhelanne Pershe, less than 30 kilometres (19 miles) from the key Ukrainian-held logistics hub of Pokrovsk. Pokrovsk lies on the intersection of a key road that supplies Ukrainian troops and towns across the eastern front and has long been a target for Moscow's army. More than half of the city's 60,000 residents have fled since the invasion began in February 2022, with evacuations ramping up in recent weeks as Moscow's army closes in. Ukraine had hoped its major cross-border incursion into the Kursk region last month would slow down Russia's advances in the east. On Friday, Zelensky said Moscow had been slowed down somewhat but conceded the situation on the eastern front was "very difficult". Russia meanwhile claimed this week to have clawed back a swath of territory in the Kursk region, as it mounted what appeared to be a counter-offensive. - Missile spat - Tensions between Russia and the West over the conflict reached dire levels this week over UK and US discussions about letting Ukraine use longer-range weapons to strike targets inside Russia. The discussions came after a visit to Kyiv by US Secretary of State Antony Blinken and British counterpart David Lammy. President Vladimir Putin warned the West on Thursday that green-lighting the use of the long-range weapons deep inside Russia would put the NATO military alliance "at war" with Moscow. "This would in a significant way change the very nature of the conflict," Putin told a state television reporter. "It would mean that NATO countries, the US, European countries, are at war with Russia," he added. British Prime Minister Keir Starmer and US President Joe Biden on Friday delayed a decision on the move. Biden will meet Zelensky in late September at the UN General Assembly in New York to discuss aid to Ukraine, US National Security Advisor Jake Sullivan said Saturday. Speaking remotely to a forum in Kyiv, Sullivan said "difficult and complicated" logistics -- rather than unwillingness -- was delaying aid to Ukraine. "It's not a matter of political will," Sullivan said. "But given what Ukraine is up against, we've got to do more, and we've got to do better." He said Biden would use the remaining four months of his term "to put Ukraine in the best possible position to prevail." Speaking at the same forum, Ukraine's intelligence chief Kyrylo Budanov said that of all of Russia's allies, North Korea's assistance is the most damaging because of the large quantities of ammunition it provides. bur-cad/gv/imm Russian attacks against Ukraine kill at least 6, injure 25 over past day Russian attacks across Ukraine killed at least six people and injured at least 25 over the past day, regional authorities reported on Sept. 14. Ukrainian air defenses shot down 72 of the 76 Shahed-type drones launched by Russia overnight, the Air Force reported. A Russian airstrike against the village of Yampil in Sumy Oblast on the morning of Sept. 13 killed two civilians and injured six, including a 4-year-old child, regional authorities reported. Russian forces targeted the Nikopol, Dnipropetrovsk Oblast, overnight and on Sept. 13, Governor Serhii Lysak said. Two women aged 54 and 63 were injured, as well as a 60-year-old man. Russian attacks against Donetsk Oblast killed three people and injured four over the past day, according to Governor Vadym Filashkin. Russian attacks against Kharkiv Oblast injured five people over the past day, Governor Oleh Syniehubov reported. A 30-year-old woman was injured by a Russian FPV drone in Kupiansk, while a 64-year-old man was injured in a ballistic missile attack on a bread factory. Three other men were injured during shelling across the oblast. In Kherson Oblast, one person was killed and seven injured over the past day, Governor Oleksandr Prokudin said. An educational institution, two high-rise buildings, six houses, a bus, and cars were damaged. No casualties were reported in Mykolaiv Oblast, Governor Vitalii Kim said, but Russian artillery fire and drones were active. Read also: Ukraines long-range missile strike hopes on hold once again but encouraging signs remain Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Russians attack Kharkiv Oblast, killing one person and injuring two A woman has been killed, and two other civilians have been injured as a result of a Russian attack on the village of Pisky-Radkivski, Kharkiv Oblast. Source: Oleh Syniehubov, Head of Kharkiv Oblast State Administration, on Telegram Quote: "At 12:15, the occupiers attacked the village of Pisky-Radkivski, Izium district, with Tornado-S MLRS. Sadly, a 72-year-old woman was killed as a result of Russian aggression, and her body was removed from under the rubble by rescue workers. Two civilians, a man and a woman, were hospitalised." Support UP or become our patron! On the morning of September 13th, the 2024 Anhui Internet Culture Innovation and Development Forum was held in Huangshan. In order to comprehensively build a modern and beautiful Anhui and promote high-quality development, culture is not only an important content and symbol, but also a vital support and force. As one of the events of the 2024 Anhui Internet Civilization Conference, this forum adopted "Gathering Wisdom of Anhui Charm, Cultural Heritage Inheritance" as its theme. It ingeniously integrates the "Great Yellow Mountain" super IP to jointly explore the path of innovative development for internet culture. During the keynote speech session, experts, scholars, and industry leaders discussed industry concepts, shared development ideas, and sparked brilliant ideas through intellectual exchanges. In the digital age, the prosperity of digital culture also provides a powerful impetus for activating the soft power of culture and tourism. Guests discussed in depth around the theme of "Exploring the Social Code of the 'Great Yellow Mountain' Super IP," offering suggestions for promoting high-quality economic development in the southern Anhui region and building a prosperous and powerful cultural province. Source: anhuinews.com Legendary investor Warren Buffett has often described his investment philosophy as buying great businesses for cheap prices. However, a shareholder at Berkshire Hathaways 1996 annual meeting wanted to know which of these factors was more important. If you had three great companies, wonderful businessesis it better to wait a year or two to see if the company stumbles? the shareholder asked. Don't miss Commercial real estate has beaten the stock market for 25 years but only the super rich could buy in. Here's how even ordinary investors can become the landlord of Walmart, Whole Foods or Kroger Car insurance premiums in America are through the roof and only getting worse. But 5 minutes could have you paying as little as $29/month These 5 magic money moves will boost you up America's net worth ladder in 2024 and you can complete each step within minutes. Here's how Buffett responded clearly: I think its better just to own them. After all, anticipating a companys missteps or a market crash without any solid evidence of poor performance may leave you waiting forever. To sit there and hope that you buy them in the throes of some panic, that you would take the attitude of a mortician waiting for a flu epidemic, Im not sure that will be a great technique, he said. Heres why Buffett believes waiting for the market to crash is a futile strategy. Dont wait for accidental success Buffett explained that finding a good, investment-worthy business can be tricky enough. But to find such a business at precisely the right time, while you have plenty of cash and the market is down, is too much to count on. You never get the benefit of those extremes anyway unless you come into some accidental sum of money at some time, he said. And Buffetts sentiments were previously echoed by another renowned investor, Peter Lynch, in his 1996 book Learn to Earn. In his work, Lynch digs into historical returns from 1965 onward and shows that someone who invested during the market's peak every year had only underperformed someone who invested at the bottom of the market every year by 1.1%. In other words, perfect timing was overrated. Far more money has been lost by investors trying to anticipate corrections than has been lost in all the corrections combined, he says in the book. Its also a mistake to sit on your cash and wait for the upcoming correction before you invest in stocks. In trying to time the market to sidestep the bears people often miss out on the chance to run with the bulls. Recent data suggests theres a better approach for investors trying to maximize performance over the long term. Story continues Read more: Dont leave your family unprotected find life insurance coverage up to $2 million with no medical exam or blood test Time in the market Investors are often better off implementing a consistent strategy over extended periods instead of waiting for home runs, since predicting the market is notoriously difficult. Digital fund management company WisdomTree compiled a list of annual market predictions from major investment banks and asset managers at the end of 2022. These estimates for the S&P 500 ranged from -4.3% to 17.2%. By the end of 2023, the S&P 500 had delivered a 24.2% gain beating all 16 forecasts. Meanwhile, a 2023 Wealthfront analysis revealed that the probability of losing money was 25.2% if an investor stayed invested in the stock market for one year. It dropped to just 0.3% if they stayed invested for 15 years and 0% if the time horizon was stretched to 20 years. Put simply, time in the market is better than timing the market. What to read next This article provides information only and should not be construed as advice. It is provided without warranty of any kind. A total of 16 Russian ships have been deployed in the Black Sea as of the morning of 14 September, including 7 missile carriers equipped with Kalibr cruise missiles, with a total firing capacity of up to 48 missiles. Source: Ukrainian Navy Details: There are no Russian ships present in the Azov Sea. The Ukrainian Navy reported that the following ships passed through the Kerch Strait over the past 24 hours: The Black Sea: three ships, all of which continued towards the Bosphorus; The Azov Sea: eight ships, five of which were moving from the Bosphorus. Why its important: Russia has deployed up to four or five ships in the Black Sea in recent months. The last time over 10 ships were deployed was at the beginning of February 2024. Support UP or become our patron! The Sacramento City Council will start the process of declaring a state of emergency over a road safety crisis that has left at least 20 people dead in traffic collisions so far this year Councilwoman Caity Maple, the vice mayor, shared in a social media post Friday evening her plan to introduce the proposal with Mayor Darrell Steinberg and Councilwoman Karina Talamantes. Maple noted that the announcement came the day after a crash left a woman whod been crossing Sutterville Road gravely injured. Sacramento has previously identified Sutterville Road as part of its high-injury network those city streets where the highest numbers of fatal and severe crashes occur. Thursdays crash happened on the boundary of Maples district with that of Rick Jennings district. The Sacramento Police Department said Friday night that the 48-year-old woman remained in critical condition at the hospital. A bystander provided The Sacramento Bee a video from the scene of the crash which showed her lying motionless on the road, halfway underneath an SUV. A state of emergency would make it easier for the city to draw in state and federal dollars to address the road safety crisis. Councilwoman Lisa Kaplan has previously called for a bond measure to fund infrastructure improvements, but Sacramento leaders have thus far directed little funding toward the problem. In May, Steinberg called road improvements a top, top priority, but the council subsequently opted not to include $10 million in the budget to fund the Active Transportation Commissions nine recommendations for improving city streets. The state of emergency declaration could also enable Sacramento to go outside its standard contracts process for solutions to help curb deaths and injuries. Research as well as other cities and countries have demonstrated that the vast majority of traffic deaths are preventable with changes to infrastructure. Following that research, Sacramento leaders made a Vision Zero promise in 2017 to eliminate traffic deaths and serious injuries by 2027. But the death toll on city streets has continued to rise. This year, The Bee has reported on crashes that killed Mattie Nicholson, 56, Kate Johnston, 55, Jeffrey Blain, 59, Aaron Ward, 40, Michael Kennedy, 40, Federico Zacarias Cambrano, 28, Marvin Moran, 22, Sam Dent, 41, Daniel Morris, 38, Terry Lane, 55, David Rink, 51, Tyler Vandehei, 32, James Lind, 54, Jose Valladolid Ramirez, 36, Larry Winters, 76, Sau Voong, 84, Jose Luis Silva, Geohaira Geo Sosa, 32, Kaylee Xiong, 18, and Muhammad Saddique, 64, who was killed Sept. 9 walking through the same Natomas intersection where Voong was fatally struck on his morning bike ride June 11. Of the dead, 13 were pedestrians or cyclists and two were riding electric scooters. The other five were motorists. Sacramento could get faster road safety fixes The city council proposal, Maple said, would direct staff to expedite safety projects. Councilwoman Katie Valenzuela has pushed for the Department of Public Works to start a quick-build program which could respond urgently to safety concerns. Earlier this month, city spokeswoman Gabby Miller said that staff were still reviewing consultant proposals for the program, but would need to identify funding to implement. Currently, the city rarely responds with urgency to make safety improvements in the wake of severe or fatal crashes. A crash in January 2018 killed a grandmother and left her grandson, Jian Hao Kuang, then 6, with profound disabilities including severe permanent brain damage. The city settled a lawsuit with the boys family and has adopted a plan to move toward safer infrastructure on Freeport Boulevard. It had removed the crosswalk shortly before the fatal collision, telling The Bee in 2018 that the intersection was dangerous for pedestrians. Six and a half years later, the intersection remains unchanged. Mary Murigi the mother of teenager Michelle Murigi, who died in January 2012 while walking across Fruitridge Road in south Sacramento at an event to activate a new traffic signal at the intersection. City of Sacramento and the Sacramento City Unified School District celebrated the operation of a new traffic signal at a West Campus High School press conference in Sacramento, Calif., on Tuesday, May 9, 2014. Hundreds of West Campus High School students along with local officials and friends and family walked to Fruitridge Road and witness the new traffic lights being turned on. Last fall, after student activism and a petition drive led by high school senior Siena Antolin - a friend of Michelle - the city and school district agreed to share the $400,000 cost of installing traffic signals at the busy corner. Police unsafe drivers while deadly speeds are legal? Maple who represents Oak Park, Hollywood Park and the Parkway said part of the proposal would direct City Manager Howard Chan to work with the Sacramento Police Department to ramp up enforcement of traffic laws that protect pedestrians, including speed limit enforcement, crosswalk violations, and distracted driving, especially in high-injury corridors. Shortly after Silva, a former Marine sergeant, was fatally struck Aug. 25 while riding a motorcycle through the intersection of J and 24 streets, police conducted a sting operation at the same junction. The city reported that in just one day, the undercover action led to 55 citations, many of them for failing to yield to the plainclothes police officer acting as a pedestrian. However, Sacramento has many streets where drivers are legally permitted to travel at speeds considered lethal. In June, Alena Wong, now 16, said that she was almost killed at the age of 12 when she was hit by a driver traveling 35 mph, the speed limit on Sutterville Road. A study in the journal Accident Analysis & Prevention found that when a car traveling just 32.5 mph strikes a pedestrian, the average risk that the pedestrian will die is 25%. When a cars speed reaches 40.6 mph, the risk that the pedestrian will die is 50%. The World Health Organization and the United Nations have jointly said that 20 mph should be the maximum speed limit in urban centers. One participant in reaching that agreement, activist Zoleka Mandela, said anything faster is a death sentence. MILWAUKEE - The man accused of killing and dismembering Sade Robinson appeared before a judge on Friday, Sept. 13 for a pre-trial and motion hearing. During the hearing, the court denied the defense's motion to sever the arson charge from the homicide charge and have it tried separately. That means that going forward, Maxwell will be tried on all three charges (1st-Degree Intentional Homicide, Mutilating a Corpse, Arson of Property Other than a Building) in the same trial. SIGN UP TODAY: Get daily headlines, breaking news emails from FOX6 News Additionally, the defense requested an adjournment for the final pre-trial due to incoming discovery and that state's witness list needing to be reviewed. The court granted that request and a new final pre-trial date has been set for Oct. 23. Maxwell Anderson is charged with first-degree intentional homicide, mutilating a corpse and arson of property other than a building. He is accused of killing Robinson after a date and then dismembering her before dumping her body parts across Milwaukee. Anderson is scheduled to go to trial in December. Maxwell Anderson Surveillance images Images show Maxwell Anderson and Sade Robinson showing up at a Menomonee Valley bar and restaurant for a date. The two sit at the bar and later leave together. Filings say the two went to a Water Street bar that night April 1. It was the last time Robinson's family and friends heard from Sade. On April 3, someone found a severed leg on the bluff at Warnimont Park. Anderson was pulled over the next day a detective noticed a possible blood stain in the car. New court documents say an autopsy shows Robinson's leg was severed "with a sharp instrument." Robinson's foot later appeared near 30th and Galena a couple blocks away from where Robinson's burned out car was found. Surveillance stills show a man investigators believe is Anderson walking away from the area. He was later seen on a bus heading back to his home on the city's south side. When police searched Anderson's home, filings say investigators collected swabs of stains and women's clothing some hidden in the basement. Search Warrant A search warrant shows prosecutors believe Maxwell Anderson tried to cover up the death of Sade Robinson with a text message. The search warrant shows Anderson sent Robinson a text message the morning after prosecutors said he killed her. The warrant says it appears to be an attempt to cover up what he had done. Mayor of London Sadiq Khan during a visit to Tottenham Hale Village, north London, where he was joined by a neighbourhood policing team on patrol Sadiq Khan, the Mayor of London, is always bursting with ingenious new policy ideas. But this latest scheme may be his most inspired yet. He wants to let criminals jump the housing queue. Apparently, the Mayor believes that prioritising former prisoners will make them so grateful, they wont reoffend thus cutting crime. A flawless plan. Well, almost. Unfortunately, I fear that law-abiding Londoners may be a tiny bit miffed at being bumped to the back of the housing queue, just to appease convicted criminals. And so, to get to the front, theyll turn to crime themselves. Thanks to Labours helpful new early release programme, it should be pretty painless. Commit crime, spend couple of days in cell, collect keys to new flat from Mayor. Job done. Obviously theyll need to avoid any crimes that would carry a lengthy sentence. For example, going on social media to post their opinions on asylum policy. Still, they should be fine if they just do something minor. Dealing Class A drugs, say. That should see them out of jail and into a new house in no time. I was sorry to read, incidentally, that Diana Johnson, the minister for crime prevention, had her purse stolen this week at a conference on policing. Happily, I hear that Mr Khan has thought of a way to get it back. Hes going to announce that, if the thief returns the purse, he or she will be given a penthouse in Chelsea. Leave Oxbridge alone The privately educated are hugely overrepresented at Oxbridge and other top British universities. So says Born to Rule, a new book written by two leading academics. Thankfully, theyve worked out how to remedy this appalling injustice. At our finest universities, they argue, the intake of students who attended independent schools should be capped at just 10 per cent. This, the authors believe, would be fair, because it would reflect the prevalence of the privately educated in the UK population as a whole. To progressives, at least, such a proposal no doubt sounds perfectly reasonable. Unfortunately, though, I think that Ive spotted a problem. Put it like this. What would happen if we were to apply the same logic to other areas of public life? Take broadcasting. The Creative Diversity Network, an organisation that promotes inclusivity in the British broadcasting industry, has just published a report, recording the representation of various minority groups on TV. Page 28 features a chart headed on-screen contributions by sexual orientation. And it shows that, between August 1, 2022 and July 31, 2023, 17.1 per cent of on-screen contributions on British TV were made by people who are gay, lesbian or bisexual. But hang on a moment. The results of the latest census tell us that people who are gay, lesbian or bisexual account for only 3.2 per cent of the UK population. In response to this report, therefore, will leading academics argue that gay people are hugely overrepresented on TV? Will they claim that gay people are denying opportunities in TV to heterosexual people? And if so, will they declare that, to remedy this, there should be far fewer gay people on TV and call for a cap of 3.2 per cent? On the whole, something tells me that this prospect is unlikely. But, if were going to impose a cap on one statistically overrepresented group, then logically we should impose caps on others, too. That, however, could cause the most terrible uproar and controversy. So, to avoid this, I think perhaps it would be wisest not to bother with caps, and instead leave our institutions from broadcasters to universities to select as they see fit. The real problem with reparations The clamour is growing. During a visit to London this week, politicians from three African countries argued that their peoples should be paid reparations for the transatlantic slave trade. According to the Guardian, Shirley Botchwey, the foreign minister of Ghana, said: Financial reparations is good. No reasonable person could possibly deny that the slave trade was barbaric. When politicians demand reparations, however, theres a question they tend to overlook. Which is: how exactly did European slave traders acquire these African slaves in the first place? The answer is somewhat awkward. They often bought them from Africans. As rarely seems to be mentioned when the slave trade is discussed nowadays, slavery existed in Africa long before the Europeans turned up. But when they did, African ruling elites were only too delighted to offer their slaves for sale. Such an arrangement made these elites all the richer and more powerful. Which is why, when Britain abolished the slave trade in 1807, the king of Bonny (which today is part of Nigeria) wrote to Parliament to complain. Among the African elites to profit from the slave trade, as it happens, were those who lived in the Ashanti Empire which, these days, is a region of Ghana. As in, the country whose foreign minister says that financial reparations is good. So, if African politicians think that the people of today should be made to stump up for wrongs perpetrated hundreds of years ago by their fellow countrymen, perhaps they should demand reparations from themselves. Way of the World is a twice-weekly satirical look at the headlines aiming to mock the absurdities of the modern world. It is published at 7am every Tuesday and Saturday Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. SALEM, Ohio (WKBN) An ice cream shop in Salem is now serving breakfast. Totally 80s Ice Ice Cream Shoppe inside the Courtyard Square on State Street will now offer hot coffee, and a variety of bagels and bagel sandwiches. Saturday morning was the grand opening for Courtyard Perk at Totally 80s. With the new addition, the shop will now be open from 7 a.m. to 9 p.m. Madison Wolfe, the coffee house general manager, says adding breakfast items will benefit the business. I think that it is going to add an extra set of clients and customers to us, and given that we are open in the morning, it will provide people another alternative, she said. As a small business, Wolfe said they want to help the community in every way possible. The shop will be adding iced coffee within the next few days. Andrew Peterson contributed to this report. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. Same-sex marriage was on a roll in Asia. Not anymore Rainbow flags rippled in the wind as gay and lesbian couples walked hand in hand down a makeshift aisle in Bangkoks busy Siam shopping district. Thailands Senate had just passed a marriage equality bill, and the local LGBTQ+ community was in the mood to celebrate. While the ceremonies were symbolic enactments of same-sex weddings, the real thing could be just around the corner. When I was young, people said people like us couldnt have a family, cant have children, so marriage was impossible, Bangkok resident Pokpong Jitjaiyai told CNN on the day the bill was passed. Now I can freely say that I am gay, said Pokpong, who cant wait to marry his partner Watit Benjamonkolchai. The law, passed in June, still requires the thumbs-up from the king, but that is expected soon, clearing the way for Thailand to become the first jurisdiction in Southeast Asia to legalize same-sex marriage, and Asias third after Taiwan in 2019 and Nepal last year. But the recent flurry of progress for marriage equality in Asia could stop there, with no other government in the region looking likely to follow suit anytime soon. The truth is not a lot of governments are moving as proactively as the one in Thailand, Suen Yiu-tung, associate professor of gender studies at the Chinese University of Hong Kong, told CNN. The winning formula More than 30 jurisdictions worldwide now recognize same-sex marriage, according to the Pew Research Center. Since the first same-sex marriage law was passed in the Netherlands in 2001, progress has been made mostly in Europe, the Americas and Australasia. Just across Thailands borders, homosexuality is illegal in Myanmar and Malaysia. Bans also exist in Sri Lanka, Brunei, Bangladesh and Indonesias ultraconservative province of Aceh. Maximum penalties range from lengthy jail terms to caning, according to the Human Dignity Trust, a United Kingdom-based body that supports strategic litigation worldwide against laws prejudicing the LGBTQ+ community. Despite some historic wins in the region the human rights of LGBTI people across Asia continue to be denied, said Nadia Rahman, policy advisor at Amnesty Internationals Global Gender, Racial Justice, Refugees and Migrants Rights Programme. She added that people from these communities face criminalization, threats of arrest, discrimination, digital surveillance, harassment, online abuse, stigma and violence. While liberalization in Thailand, Nepal and Taiwan was propelled by those places unique cultures and socio-political circumstances, scholars and activists said, most other Asian governments are held back by conservative social attitudes, influential religious groups and the lack of robust democratic systems. Gay couples cut a wedding cake in Amsterdam on April 1, 2001 after the first same-sex marriage law was passed in the Netherlands. - Marcel Antonisse/ANP/AFP/Getty Images Campaigners and academics in Asia say Nepal has long had a liberal judiciary willing to side with the LGBTQ+ community, while its deeply embedded culture of third-gender hijras laid the groundwork for liberal changes. In Thailand and Taiwan, many attribute progress to a combination of democratic development and a robust civil society. Assistant professor Kangwan Fongkaew, who researches LGBTQ+ issues at Burapha University, said despite political instability in recent decades, Thailands political system was functional enough to channel popular demands into legislation. The majority of people in Thailand want marriage equality, Kangwan said. And now its time for Thailand to have that, he added, calling it the victory of the people. Unlike in mainland China where LGBTQ+ activism is taboo and can draw backlash from authorities the movement has thrived in Taiwan. Campaigner Jennifer Lu, director of gay rights advocacy Outright International in Taiwan, noted the importance of the islands functional democratic system in the process of liberalization. This kind of democratic practice really creates the foundation of this progressive vibe, Lu said. Acceptance of non-traditional gender identities has grown stronger since. In May, Taiwans then President Tsai Ing-wen invited homegrown drag queen Nymphia Wind to perform at the Presidential Office to celebrate her win on hit TV talent show RuPauls Drag Race. Activists and members of the LGBTQ+ community parade in Kathmandu, Nepal on June 10, 2023. - Sanjit Pariyar/NurPhoto/Getty Images Members of the LGBTQ+ community celebrate after the Thai parliament passed the final senatorial vote on the same-sex marriage bill, in Bangkok on June 18, 2024. - Chanakarn Laosarakham/AFP/Getty Images People hold posters as they gather outside Taiwan's parliament ahead of a vote on legalizing same-sex marriage on May 17, 2019 in Taipei, Taiwan. - Carl Court/Getty Images Asias next best bets While other Asian jurisdictions have the potential to be the fourth to allow LGBTQ+ couples to marry, according to experts, they are not convinced changes will come anytime soon. India is also a democracy, and like neighboring Nepal has laws protecting transgender people, so is a legitimate contender. But campaigners there say authorities are lukewarm on the need for change. Activist Anish Gawande, who co-founded Pink List India, a group tracking politicians stance on LGBTQ+ issues, said understanding for sexual minorities is growing in the worlds most populous nation. He has recently been appointed the first openly gay national spokesman of a political party. But he said the government refuses to do more than it needs to please the international community. LGBTQ+ activists petitioned Indias highest court for the right to marry, only to be told it should be decided by the government. The government, run by Indias third term Prime Minister Narendra Modi, has set up a committee to look into the issue, but without any notable outcome, Gawande said, adding that with neither New Delhi nor the courts taking the lead on the issue there was a stalemate for LGBTQ+ rights in the country. Officials said experts had provided suggestions. CNN has reached out to the committee for comment. Plaintiffs and supporters react in front of the Tokyo District Court on November 30, 2022, following a ruling in a lawsuit filed by same-sex couples seeking damages from the government. - Kazuhiro Nogi/AFP/Getty Images Japan the only G7 country that does not recognize same-sex relationships has seen piecemeal victories for LGBTQ+ rights through multiple court cases and at the prefecture level. In early July, Hiroshimas high court approved a trans womans request to alter her birth gender status without undergoing gender-affirming surgery. And some local governments, including Tokyo, have issued certificates to honor de facto same-sex relationships for administrative purposes, such as housing subsidies. But on the national level, Japan does not recognize same-sex marriage and local courts have returned conflicting verdicts on the issue. Polls suggest popular backing. Up to 68% of Japans adults support same-sex marriage, the highest share in Asia, according to the Pew Research Center. But in a country where the government takes pride in traditional values, change can be slow. And in neighboring South Korea, traditionally conservative views on sexuality persist. Scuffles broke out last year in the city of Daegu as local officials led by the mayor clashed with police during a protest against an LGBTQ festival. Organizers of the flagship Seoul Queer Culture Festival also lost their venue last year to a Christian youth concert. There have been some progressive successes, however. The countrys Supreme Court ruled last month that same-sex partners should be entitled to spousal benefits from national health insurance. Professor Andrew Kim, from Korea Universitys College of International Studies, said religious groups are influential in the country. The missionaries who came to Korea from the US they are largely conservative protestant missionaries, he said. Supporters attend the annual "Pink Dot" event in a show of support for the LGBTQ+ community in Singapore on June 18, 2022. - Roslan Rahman/AFP/Getty Images Uncertainties in the region One argument for legalizing same-sex marriage is the economic advantages of doing so, especially if neighboring economies arent. Multinational companies need to move their staff around including those who arent heterosexual and have been lobbying for changes in financial hubs such as Singapore and Hong Kong, which would both like to attract and retain major company HQs. If youre a country that welcomes these high-tech companies with very liberal policies, yet the rest of the society is repressive, like Singapore for example, where same-sex partners cannot get visas, the governments will have to think about how it manages these things, said Shawna Tang, senior gender studies lecturer at the University of Sydney. But even in the face of such pressure, neither Hong Kongs nor Singapores government seems particularly keen to liberalize. Singapores parliament decriminalized sex between men in 2022, but amended the constitution to effectively block court challenges that could lead to same-sex marriage. In Hong Kong, the Court of Final Appeal ordered the citys government last September to create a legal framework to recognize the rights of same-sex couples. But months have lapsed, and the government has not yet responded. The court also stopped short of granting same-sex marriage, meaning this could be as far as the efforts get. And with Beijing tightening its grip on the city in recent years, activists said, the political space needed to facilitate change is shrinking. Professor Peter Newman, from the University of Torontos Factor-Inwentash Faculty of Social Work, said while things are improving in Asia, progress has been extremely uneven. In at least six Asian countries, same-sex intimacy and relationships remain criminalized, as well as the gender expression of transgender people, with punishments on the books from eight years and 100 lashes in Indonesia and Malaysia, to life imprisonment in Bangladesh, he said. Even in places where same-sex marriage has been legalized, widespread challenges persist from school to workplace bullying to stigma in health care services, he said. But Suen, from the Chinese University of Hong Kong, said across Asia, public discussions have bloomed, and that Thailands move to legalize same-sex marriage was an encouraging sign. The outlook is positive, but its going to take a while, Suen said. CNNs Samra Zulfaqar, Yoonjung Seo and Aishwarya Iyer contributed to this report. For more CNN news and newsletters create an account at CNN.com (NEXSTAR) How bad is work-life balance in the City by the Bay? Pretty bad, according to a new analysis by personal finance outlet FinanceBuzz. In fact, San Francisco ranked ninth in the rankings of most overworked U.S. cities. To figure this out, FinanceBuzz ranked over 75 of the U.S. biggest cities based on six data points including average number of hours working/commuting, percentage of workers working 50+ weeks per year, percentage of active workers over the age of 65, and more. Most popular baby names in California, according to Social Security Administration FinanceBuzz analysts said the amount of time San Francisco residents spend working or commuting to work each week (44.92 hours) deeply impacted its Overworked score. All-in-all, San Francisco received an Overworked score of 61.7 out of 100, with some of its highest scores coming from percentage of workers who work 50+ weeks per year and volume of searches for side hustles or side jobs. City Overworked score Average work + commute time Workers who work 50+ weeks per year Dual job households Workers with 2 jobs Google Trends search volume for side hustle jobs San Francisco 61.7 44.92 (weekly) 86.4% 62.8% 4.4% 63.5 While San Francisco earned some favor for its relatively low percentage of workers with two jobs, the time spent working and commuting each week negatively impacts its overall score. Additionally, FinanceBuzz found that SF had a higher percentage of dual-worker households than even the top most-overworked city in the U.S. Though Denver placed atop the national list of overworked cities, San Franciscos dual-worker households percentage is 0.9% higher. Heres how much PG&E customers monthly bills will increase In April, San Francisco also ranked eighth in a WalletHub study that attempted to find the hardest-working cities in the U.S. Analysts weighed workweek hours, share of workers not using vacation time, commute time and share of workers with multiple jobs. On the other end of the scale, Detroit was found to be least overworked city in America by FinanceBuzz. Analysts found that Detroits percentage of senior citizen workers is the lowest of any U.S. city (13.5%) and the lowest percentage of workers that work more than 50 weeks per year (77.1%). You can find the full study at FinanceBuzz. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KRON4. CHICAGO Chicago police are issuing a warning about a scam targeting elderly Chinese residents. The cases were reported in several neighborhoods, including Chinatown, between May and late August. In some cases, WGN News was told the victims were allegedly scammed out of tens of thousands of dollars, a pattern that has elected officials and activists concerned about some of the most vulnerable residents being targeted. We dont know how many people theyve actually deceived, but the stories weve heard are devastating, said Grace Chan McKibben, executive director of the Coalition for a better Chinese American Community. Potential renters fall prey to rental scam through fake Facebook post So far, CPD said theyve received five reports of elderly members of the Chinese community being preyed on. According to police, the suspects described as two-to-three Asian women between 30 and 40 years of age are earning their victims trust to carry out confidence scams. They came up to these elderly people, making up stories like, Im looking for directions. Im trying to find this miracle doctor,' McKibben said. Businesses shared photos of the suspects believed to be involved with the Coalition for a Better Chinese American Community. Alderwoman Nicole Lee of the 11th Ward said the crimes are especially concerning to her. It was so culturally specific and it was committed by other Chinese people, so it was very alarming and disappointing all at the same time, Lee said. According to McKibben, several victims have shared stories of the suspects working in a calculated way, often convincing their victims to walk with them and find the so-called miracle doctor as they solicit personal information. QR code scams are hidden in plain sight. Heres how to spot them According to police, at some point the victims were convinced to participate in a blessing or cleansing ceremony, but were told their belongings must be bagged up and that they were not allowed to look at them for days or tell family members about it. In several instances, they were able to go back to the homes of these elderly people to get the money and the jewelry, McKibben said. The victims told the coalition they had between $20,00-$30,000 and jewelry stolen from them. In once case, a victim was reportedly saving up little by little for dental work, which according to McKibben, is why she had $20,000 of cash in her apartment. Police said the victims were targeted in Chinatown, Bridgeport, South Loop and Armour Square. Lee added its important for people to talk to their families, especially the elderly, about scams. Anyone who becomes a victim should report their situation to police immediately. Chicago woman claims she lost $100K in online romance scam If police dont have a record of it, its as if it didnt happen, even though we know it did because it happened to real people, Lee said. Police said there have not been any new reports filed in the last few weeks. The coalition, meanwhile, believes the suspects may have moved on to another citys Chinatown neighborhood. No arrests have been made. The public is reminded to be careful before taking part in any financial transactions. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WGN-TV. A scathing report from the Secret Service details failures by agents in the hours leading up to the shooting of Donald Trump -including breakdowns in communication and haphazard securing of the site. The findings are part of a Secret Service probe into the July 13 shooting at a rally in Butler, Pennsylvania. The shooting wounded Trump in the ear. It also left one spectator dead and two more hurt. Gunman Thomas Matthew Crooks was able to climb onto the roof of a building only a few hundred yards away and fire at Trump with an AR-style rifle. Crooks was shot dead by snipers, but not before wounding the Republican nominee for president. The attempted assassination led to outrage over the Secret Services handling of the event and the agencys response. It was learned soon after the local police had flagged Crooks as a person of interest. But while searching for him, he was able to get onto the roof and open fire. The fallout from the shooting and a slow investigation led to the resignation of then-director Kimberly Cheatle. This week, Congressional leaders were briefed behind closed doors about the shooting. Details from a Secret Service investigation show communication breakdowns in the moments before former president Donald Trump was shot during a rally in Bulter, Pennsylvania. (AP) Now, the Washington Post has detailed some of the Secret Services findings, according to sources. That report stated that agents had discussed using flags and heavy equipment to obstruct the view between the building where Crooks fired from and the stage. However, when agents arrived on July 13, they found that the trucks and flags had not been deployed in a way that blocked the line of sight from the roof. Secret Service officials also found weaknesses in the communication system for candidate-centric events - compared to those used when the president or vice president speaks. In Butler, the Secret Service radio room had no way to get real-time alerts from local police surveillance of the crowd or outer perimeter, according to the Post. Local police had alerted about a suspicious man before Trump arrived, but it was not broadcast widely on the Secret Service radio. Instead, local snipers were told to send a picture of the man - which turned out to be Crooks - to just one Secret Service official. Finally, Secret Service agents never heard local police radios about trying to track down the man after Trump began speaking. The report detailed how the gunman was able to get to a roof and fire, despite being flagged by local police. Their concerns were not widely distributed to Secret Service agents. (REUTERS) The Secret Service was also slow in beefing up security for Trump as he began campaigning, even after intelligence indicated there was an Iranian plot to kill or harm political candidates, according to the Post. Officials with the Secret Service have already made improvements in the shootings wake including housing its agents and local police in the same command center for appearances by presidential candidates. Still, it hasnt slowed the fervor from those in congress over the shooting. Following this weeks Congressional briefing , several spoke out in dismay over what they were told. A public hearing is scheduled for later this month. Sen. Richard Blumenthal (D-Conn.), said that lawmakers "will have a report very, very soon that I think will absolutely shock the American people about the lapses and lags in protection that was afforded that day and the breakdown in communication, failure and responsibility, according to Fox News. German Chancellor Olaf Scholz drives a purely electrically powered Liebherr excavator during a visit to the Liebherr company in the Europarc industrial estate. Christophe Gateau/dpa German Chancellor Olaf Scholz expects the negotiations over shares of the Russian state company Rosneft in the Brandenburg PCK oil refinery to be concluded by the end of the year, he told the public at a local event in Prenzlau near Berlin on Saturday. The refinery supplies north-eastern Germany with petrol, diesel, heating oil and other products and until 2022, processed only Russian oil. However, after the Kremlin started the war againt Ukraine, Germany hastened to find other sources of energy and lessen its heavy dependence on Russian supplies. Germany halted Russian oil imports and took control of the refinery, which is majority owned by subsidiaries of Russian state oil company Rosneft, leaving the future of the refinery uncertain. Two German subsidiaries of Rosneft own around 54% of the refinery, a major industrial facility in the state of Brandenburg. They are currently under trust management but are due to be sold, though the question of what will happen to the Russian shares has lingered for years. The negotiations are ongoing which is why the government has repeatedly extended its trust management, Scholz said. "We hope and assume that everything will be clear by the end of the year so that the subsequent time can be used to wrap things up." Meanwhile the facility continues to operate at a lower capacity than before the switch. It began processing from other sources in 2023, and partly sources crude oil from Kazakhstan. Scholz is due to visit Central Asia in the coming days, with a stop planned in Kazakhstan, where he will hold talks on the economy, energy, climate and the environment. Speaking to the gathering in Brandenburg, Scholz referred to his upcoming trip, promising to address the issue of the refinery with Central Asian oil. "I have many things to discuss but this issue also plays a role," he said. In this article, we will look at the Top 11 Luxury Clothing Stocks to Invest in Now. In this article, we will look at where Ralph Lauren Corporation (RL) stands against other top luxury clothing stocks to invest in now. Overall View of Trends in the Luxury Sector The luxury segment in retail has been a prominent driver of growth in the industry. It is primarily considered a status symbol, driving discretionary spending among customers with high purchasing power. According to a report by Mordor Intelligence, the luxury retail sector has a market size of $110.13 billion as of 2024, and is expected to grow to $151.32 billion by 2029, at a compound annual growth rate of 6.56%. While the Asia-Pacific region is the fastest-growing market in the luxury retail domain, the largest market remains concentrated in Europe. According to McKinseys The State of Fashion 2024 report, the global apparel industry is expected to experience top-line growth of 2%- 4% in 2024, with variations possible in countries and regions. Quite like in previous years, the luxury segment is anticipated to generate the most significant economic profit. However, companies in the sector may experience a tough economic environment. Growth is anticipated to slow down to 3%- 5% in 2024 compared to 5%- 7% in 2023 as the post-pandemic shopping rush slows down. However, these growth trends are likely to be contrasting in Europe and the US. While growth is set to slow in China and Europe, it is expected to pick up speed in the US after a relatively weak 2023. Distribution of luxury apparel and improved supply chains are some of the industrys significant growth drivers. For example, Saudi Arabias General Authority for Competition approved the joint venture between G Distribution B.V. and Al Rubaiyat Co. for Industry & Trade Holding in December 2021 to sell and distribute Gucci products in the country. Digital media and digital marketing are also increasing the popularity of luxury clothing among millennials, which is, in turn, driving market growth. A Slow First Half of 2024 for Luxury Retailers Several luxury retailers experienced substantial profit drops in the first half of 2024. The overall market is experiencing widespread struggles, primarily because luxury brands have traditionally relied heavily on Chinese consumers. With the slowing Chinese economy and a cautious consumer base, this heavy reliance is proving unprofitable, as people are reducing their spending on luxury goods. The economic slowdown in China is attributed to factors such as lower land sales, an aging population, and decreased exports. Despite the challenges, some brands made significant strides, such as the Italian high-fashion womens clothing and accessory brand Miu Miu, which saw nearly 60% growth last year and 90% growth in the first quarter of this year. This helped its parent company, Prada Group, increase its sales as well. The luxury market has historically bounced back from downturns, and many in the industry hope the current challenges are temporary. Luxury brands are comparatively less affected by economic conditions as most of their purchases are made by a very small group of elite consumers. Our Methodology For this article, we made a list of nearly 20 luxury stocks with positive analyst upside potential and used that as our primary metric to rank the list. We chose the top 11 stocks with the highest average analyst price target as of September 12, 2024. We manually calculated analyst upsides for stocks not listed on American exchanges. We have also considered the hedge fund sentiment around each stock as a secondary metric, and sourced data from Insider Monkey's database of over 900 elite hedge funds as of Q2 2024. Why are we interested in the stocks that hedge funds pile into? The reason is simple: our research has shown that we can outperform the market by imitating the top stock picks of the best hedge funds. Our quarterly newsletter's strategy selects 14 small-cap and large-cap stocks every quarter and has returned 275% since May 2014, beating its benchmark by 150 percentage points (see more details here). Top 11 Luxury Clothing Stocks to Invest in Now Top 11 Luxury Clothing Stocks to Invest in Now Ralph Lauren Corporation (NYSE:RL) Analyst Upside Potential as of September 12, 2024: 11.16% No. of Hedge Funds as of Q2 2024: 36 Ralph Lauren (NYSE:RL) specializes in designing, marketing, and selling luxury lifestyle products, including apparel, footwear, accessories, fragrances, home, and hospitality. Its brands are spread across North America, Europe, and Asia. Its brand portfolio includes Ralph Lauren, Polo Ralph Lauren, Ralph Lauren Collection, Lauren Ralph Lauren, Ralph Lauren Purple Label, Double RL, and others. Apart from manufacturing luxury items for men, women, and children, the companys hospitality segment includes restaurants like New York Citys The Polo Bar and Chicagos RL Restaurant. Ralph Lauren (NYSE:RL) is functioning on financial stability. Q1 fiscal 2025 results exceeded analyst expectations, with total revenue surpassing guidance by 3%. To continue positive growth trends, the company is investing in its strategic priorities, including digital capabilities, marketing, and ecosystem expansion. These investments, along with Ralph Laurens (NYSE:RL) increased efficiencies and culture of operating discipline, are expected to enable the company to drive its long-term sustainability growth. Q1 fiscal 2025 saw continued growth across the companys three strategic pillars. These pillars include elevating and revamping its lifestyle brand, winning key cities via its consumer ecosystem, and driving the core while expanding for more. As part of efforts to revamp its lifestyle brand, Ralph Lauren (NYSE:RL) has undertaken activities in London, Milan, New York, and across China, increasing its social media following in the process. It has also managed campaigns across key demographics and geographics, such as the womens collection runway show in New York City in April and the Only Polo campaign. Local activations were also delivered across SoHo in New York, Dubai, Miamis Design District, L.A.s Sunset Boulevard, Roppongi in Tokyo, and Seoul. These efforts are helping the company leverage longer-term brand building while focusing on new customer acquisition and high-quality sales. The stock is currently trading at a forward P/E of 15.52, at a 1.74% discount to its sector. It recently got a Buy rating from Evercore ISI, Barclays, Jefferies, and Telsey Advisory. Ralph Laurens (NYSE:RL) current price target of $179 implies an upside of 11.16% from current levels. It takes the tenth spot on our list of the top luxury clothing stocks. Overall, RL ranks tenth among the top 11 luxury clothing stocks to invest in now. While we acknowledge the potential of luxury clothing companies, our conviction lies in the belief that AI stocks hold greater promise for delivering higher returns, and doing so within a shorter timeframe. If you are looking for an AI stock that is more promising than RL but that trades at less than 5 times its earnings, check out our report about the cheapest AI stock. READ NEXT: $30 Trillion Opportunity: 15 Best Humanoid Robot Stocks to Buy According to Morgan Stanley and Jim Cramer Says NVIDIA Has Become A Wasteland. Disclosure: None. Insider Monkey focuses on uncovering the best investment ideas of hedge funds and insiders. Please subscribe to our free daily e-newsletter to get the latest investment ideas from hedge funds investor letters by entering your email address below. Germany's Chancellor Olaf Scholz holds a press conference with Kenya President William Samoei Ruto (not in picture) in the Chancellery. Germany and Kenya sign a migration agreement. Kay Nietfeld/dpa German Chancellor Olaf Scholz has ruled out the delivery of long-range precision weapons to Ukraine in the future, regardless of decisions made by alliance partners. At a citizens' dialogue in Prenzlau in the eastern German state of Brandenburg on Saturday, Scholz reiterated his no to the delivery of Taurus cruise missiles, stating that this would entail "a great risk of escalation" of the Ukraine conflict. The Taurus cruise missiles, with a range of around 500 kilometres, would put targets in Moscow within Ukraine's sights. "I said no to that," Scholz asserted. "And of course that also applies to other weapons, if we had supplied them, which could reach this long distance." "That remains the case," Scholz said, adding: "Even if other countries decide differently." President Volodymyr Zelensky has been pressing Ukraine's allies for long-range weapons in order to be able to attack Russian logistics and military airfields of the air force far behind the front line, even on Russian territory. The longest-range weapon supplied by Germany is the Mars II rocket launcher, which can hit targets 84 kilometres away. NATO partners the US, Britain and France have supplied cruise missiles with ranges of up to 300 kilometres. A discussion is currently underway as to whether the use of these weapons against targets on Russian territory should be authorised in principle. Scholz says he won't allow Ukraine to strike Russia, regardless of other countries' decisions German Chancellor Olaf Scholz has said that he will not allow Ukraine to strike at Russia using German weapons, even if other countries give such permission. Source: Scholz during a meeting with the public in Prenzlau, Brandenburg, as reported by Welt Details: The chancellor stressed that whatever happened, he would stand by his refusal to allow Ukraine to use German long-range weapons to attack targets deep inside Russia. Quote: "This remains in effect. That is why I will stick to my position, even if other countries decide otherwise... I won't do it because I think it's a problem. More details: Commenting on reports that the US may lift existing restrictions, Scholz also said that not all speculation is true. Previously: On 13 September, politicians from the Free Democratic Party (FDP) and the Greens, which are part of the federal coalition, once again called for an end to Germanys refusal to send Taurus cruise missiles to Ukraine for long-range strikes against Russia. Although the US, the UK and France have provided Kyiv with their own long-range missiles, Germany still opposes providing Ukraine with Tauruses for fear of escalation from Moscow. Background: Earlier, the media reported that the US and the UK had agreed, along with other allies, to allow Ukraine to strike military targets in Russia with Storm Shadow missiles a long-standing request by Kyiv. However, the White House later said that the US would not make any announcements about a change in its policy on allowing Ukraine to use long-range missiles after US President Joe Biden's meeting with UK Prime Minister Keir Starmer. On 12 September, Russian ruler Vladimir Putin said that allowing Ukraine to strike Russia with Western missiles would imply the direct involvement of NATO and European countries in the war in Ukraine. Support UP or become our patron! Rolf Mutzenich, the leader of the Sozialdemokratische Partei Deutschlands (SPD or Social Democratic Party of Germany) in the Bundestag, has suggested the creation of an international contact group for a peace initiative on Russia's war against Ukraine. Source: Tagesschau, German TV news service; European Pravda Details: Rolf Mutzenich says German Chancellor Olaf Scholz and Ukrainian President Volodymyr Zelenskyy "agree that now is the time to intensify efforts to hold peace talks and that Russia should also be present at the next Peace Summit". Quote from Rolf Mutzenich: "This will also give other countries the opportunity to take a more active part in ending the fighting." In his opinion, China, India, Turkiye and Brazil could become possible contact group members. Scholz himself recently proposed holding another Peace Summit with the participation of Russia. These statements caused sharp criticism from some other German politicians, especially from the centre-right opposition Christian Democrats, who accused Scholz of backing down from his support for Ukraine and trying to put pressure on Kyiv to force it to negotiate. At the same time, German Foreign Minister Annalena Baerbock is sceptical about the "peace talks" with Vladimir Putin. Support UP or become our patron! BECKLEY, WV (WVNS) What happens when a threat is called into a public area? If an identity is proven, that person can be charged with a terroristic threats crime. Neither adults nor juveniles are immune to the charge within West Virginia. These threats are common around the start of the school season according to the Beckley Police Department. Those with the department say juveniles are more likely to threaten schools while adults tend to target hospitals or businesses. New school attendance policy in effect in West Virginia Sadly, it is common now and its for various reasons that sometimes we know, sometimes we never figure out, said Jason McDaniel, Lieutenant Beckley Police Department. The time of the year can often determine if more juveniles or adults make threats to public areas. McDaniel said juveniles usually make more threats as compared to adults at the beginning of school season. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WVNS. Lower Manhattan, N.Y. (PIX11) The head of New York Citys Public School system is making his first extended comments since his home was raided by the FBI last week. Schools Chancellor David Banks said he is not a target of the federal investigation, and is not sure what the investigation is all about. More Local News Banks also said federal agents still have his cell phones after seizing them last Wednesday. They wanted my personal phone and work phone, Banks said during a press conference. Outside of that I dont know very much. I would not describe what happened as a raid. Banks shares his home in Harlem with his partner who is First Deputy Mayor Sheena Wright. She has also said she is fully cooperating and did nothing wrong. Banks brothers Deputy Mayor for Public Safety Philip Banks and Terence Banks, who runs a consulting firm were also paid visits by the FBI. Chancellor Banks said he has hired an attorney who confirmed with the feds that he personally is not a target of this probe. I have always lived my life with integrity, every day of my life, and anybody who knows me knows that, Banks said. NYPD Commissioner Caban resigns amid investigation Still, the Chancellor is another of Mayor Eric Adams high ranking officials under the microscope. Thursday, the Mayor had to replace his Police Commissioner Edward Caban who resigned because he and other top leaders at the NYPD are facing a federal investigation involving the handling of night clubs. It is unclear if the police probe is linked to the Banks brothers. The Chancellor refused to answer several specific questions the FBI investigation hes involved with, but did have these words for students and parents with concerns. They will see someone who holds his head high, who walks with confidence, who leads with integrity, Banks said But even when you do that, it doesnt mean there wont be challenges, but I will demonstrate every single day how I was raised and how I live my life. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. Neptune's Wrath Last September, scientists the world over detected an inexplicable seismic vibration that shook the planet for nine days straight too long to be a mere earthquake. The detection was so unprecedented that at the time, some scientists believed there was something wrong with their instruments. Now, after a year-long international collaboration, we know what caused it. That September day, at a fjord in Greenland, a melting glacier cascaded into a landslide, dumping unimaginable quantities of material into the sea. The resulting mega-tsunami boasted waves up to a staggering 650 feet tall enough to swallow some skyscrapers, and making it one of the tallest on record. These findings, published as a study in the journal Science, also paint a stark picture of the monumental effects of climate change. In this case, the seismic aftermath of the tsunami was literally felt globally. "No one had ever seen anything like this," study lead author Kristian Svennevig, a geologist with the Geological Survey of Denmark and Greenland, told NBC News. Making Waves The mega-tsunami was spectacular, but the big splash on its own couldn't account for the over-a-week-long seismic vibrations. When the landslide crashed into the sea, the initial, six hundred foot wave was trapped inside the narrow fjord which is an inlet of sea water bounded by steep cliffs. With nowhere else to go, the awesome tsunami continued to tumble back and forth within the length of the valley, stabilizing into a roughly 23 foot tall wave that would last for days. This phenomenon an oscillating standing wave in an enclosed body of water is known as a seiche, and until now, no one knew it was possible that they could last this long. "Had I suggested a year ago that a seiche could persist for nine days, people would shake their heads and say that's impossible," Svennevig told CNN. Sea Change Piecing all of this together wasn't easy it took roughly a year, with the efforts of 68 scientists from institutions around the globe. They used satellite and ground-based imagery in conjunction with an analysis of the seismic waves to determine the unraveling of the epic landslide that set it all into motion, while computer simulations were created to model the tsunami and the ensuing seiche. But the ultimate cause, researchers said, was climate change, with warm temperatures weakening the glacier that triggered the landslide. It's "an alarming signal" of what's to come, according to Paula Snook, a geologist at the Western Norway University of Applied Sciences, who was not involved in the study. "We are thawing ground which has been in a cold, frozen state for many thousands of years," she told CNN. "It's a sign that climate change is pushing these systems into uncharted waters," Svennevig added. More on glacial events: Scientists Discover Ancient Viruses Frozen in Glacier GEORGETOWN, Ky. (FOX 56) Its an issue that seems to be all too familiar: safety concerns at school. On Friday, Scott County High School and Great Crossing High School were evacuated this morning after receiving a bomb threat. LATEST KENTUCKY NEWS: The threat was vague, so thats why we went ahead and evacuated both high schools. Just because we want to be better safe than sorry, Assistant Chief Josh Nash of Georgetown Police Department said. The threat was later determined to be a hoax, but its because of the quick and thorough response from law enforcement that the issue was resolved. Our 911 providers, the dispatch, everyone did an amazing job with this. Staff did an amazing job with this. Administrators did an amazing job, students were calm. It could not have gone off any better given what it was, Billy Parker, superintendent of Scott County Schools, said. Recently, at least a dozen counties here in Kentucky have faced disruptions because of threats. LATEST KENTUCKY LISTS AND RANKINGS: Parker calls it unprecedented, and there must be a change. It cant be put on schools to say, weve got to figure this out. We cant figure this out alone. We have to have the help of society helping us figure this out. And I dont have all of the answers, but I do know that at the end of the day, 100%, our students do deserve better than what were seeing right now, Parker said. In Kentucky, threats of violence toward schools are classified as first-degree terroristic threatening and can carry a punishment of up to 5-10 years in prison. Todays threat is being handled as just that. I can promise the families of Scott County this, that when we have situations, we are going to prosecute these issues to the fullest extent of the law because this is not good for students, Parker said. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 56 News. Seattle activist killed in Israeli gunfire buried in Turkey as tensions rise A Turkish-American activist from Seattle, Aysenur Ezgi Eygi, was laid to rest in her hometown of Didim, Turkey, after being killed by Israeli fire during a protest in the West Bank. Thousands lined the streets on Saturday to pay their respects as anti-Israeli sentiments continue to grow in the region. Eygi, 26, was shot dead on September 6 by an Israeli soldier during a demonstration against Israeli settlements in the West Bank. Eyewitnesses, including an Israeli protester, confirmed that she was shot while participating in the protest. Her funeral procession in Didim saw her body carried in a Turkish-flag-draped coffin, with a portrait of her in a graduation gown displayed nearby. The Turkish government has condemned the killing and announced it will conduct its own investigation, with Numan Kurtulmus, Speaker of Turkeys Parliament, vowing to hold those responsible accountable. We are not going to leave our daughters blood on the ground, he said at the funeral. An autopsy revealed that Eygi was struck by a bullet that hit the back of her head. The Israeli military responded, stating that the shooting was likely indirect and unintentional. Eygis death has drawn international condemnation, including from U.S. Secretary of State Antony Blinken, as the United States, Egypt, and Qatar continue efforts to negotiate a cease-fire in the ongoing Israel-Hamas conflict, which has raged for 11 months. The situation remains tense, with continued airstrikes in Gaza and calls for peace amid the widespread devastation. Israeli forces have ordered evacuations from northern Gaza neighborhoods as they continue their military operations. At the same time, the World Health Organization has completed the first phase of a polio vaccination campaign in Gaza. This story was written from material provided by the Associated Press. Seattle Police: Two men hospitalized after getting caught in the crossfire of a shootout Seattle Police say two men are in the hospital, in serious condition, after they were shot Friday night. It happened on Rainier Avenue South and South Thistle Street. Police say the two were caught in the crossfire during a shootout between vehicles. Both men were taken to Harborview Medical Center. Circumstance leading up to the shooting remain under investigation. Police say they dont have any suspects at this time. A Seattle school, which specializes in working with students who cannot hear or have hearing loss, could close under the school districts proposals. On Wednesday, Seattle Public Schools (SPS) released its System of Well-Resourced Schools initiative, which includes two options that aim to address the issues. Option A would close 21 schools and save the district around $31.5 million. Fifty-two schools would remain open. Option B is a little different. The proposal would keep 56 schools open and shut down 17 schools. This plan would save Seattle Public Schools around $25.5 million. Seattle Public Schools is considering closing at least 17 schools next year as it grapples with low enrollment & a $100 M deficit. Option A would save it over $31 M, while Option B would save over $25 M. Details on possible layoffs & student-to-teacher ratio on @KIRO7Seattle at 5 pic.twitter.com/OVzMNQnw7y Louie Tran (@louie_tran) September 12, 2024 The plans have been in the works for at least a year and a half, however, the district has been discussing this topic for almost two decades, said Marni Campbell, Well-Resourced School officer for SPS. TOPS K-8 at Seward School, which specializes in working with students who cant hear or have hearing loss, is listed in Option A. Taryn and Chris Longhursts eight-year-old son attends the school after he had spent the previous years at their neighborhood school in West Seattle. We spent four years in our neighborhood school not getting his needs met. So now Seattle Public Schools is going to take away the only deaf and hard-of-hearing program they offer and send us back to the neighborhood where we just spent four years not getting his needs met, Taryn, mother, said. Think about the deaf kids who only speak in ASL (American Sign Language), and youre going to send them to a community school where theyre the only person? What kind of a community are they going to have? How isolating is that? The parents said the program at TOPS allows many kids like their son a chance to learn in an inclusive environment where they feel seen and heard by teachers, faculty and staff members, who have specialized training. To have people understand your needs, to look like you, to sound like you, to sign like you. That means so much to these kids. Im worried that they will be lost to the system if theyre sent into local schools with the best intentions, but theres no one like them. Theres no one there that speaks their language, said Chris, father, said. According to the school districts website, SPS will ensure that all special education services and the full continuum of pathways will be available to all students who qualify. For many students, the services and pathways will be available at their neighborhood school. If a student is assigned to a pathway not available at their neighborhood school, they will be assigned to a school that has the appropriate pathway as close as possible to their residence. KIRO 7 News reached out to the school district to get more details about the services, and if it will include the program at TOPS. Were still waiting for the information as of Friday. While some parents are speaking against the school districts proposal, others are supporting it, including Jai Bryant, whose eight-year-old son attends Rainier View Elementary. Bryant told KIRO 7 News that several schools within the district have fewer resources than others. She believes the consolidated schools will have more programs, allowing many underserved students to gain access to opportunities that can improve their lives. Even with some of the displacements, the kids are going to get what they need in their local neighborhood schools. Theres going to be more resources for brown and Black children, who previously didnt have access to these programs, she said. Bryant added, It is a game changer because we know that education is the great equalizer. If you want kids of color, any underserved child, if you want them to continue to compete in this world, us as Americans, to continue in the global economy, we must educate our children. Bryant, a SPS alumnus, said the closure would bring different communities together that can benefit students of all backgrounds. Diversity is the key. Every culture brings something different, she said. KIRO 7 News reached out to the school district to understand what resources would be available at the consolidated schools. Were still waiting for those details. The districts school board is expected to vote on a possible decision by the end of the year. WASHINGTON (DC News Now) The Metropolitan Police Department (MPD) arrested a second 18-year-old man for a fatal shooting that happened in April. Around 12:30 a.m. on April 25, MPD officers responded to the 4500 block of Sheriff Rd., NE for a reported shooting. Police found 38-year-old Ricky Canty of Temple Hills, Md., who had been shot. First responders took Canty to the hospital, where he later died. DCPS: Elementary school students divided into groups by race for discussion; staff member used racial slur On Aug. 14, 2024, police arrested the first suspect, who was a minor at the time of the murder. MPD said that the suspect, who is from Northwest, D.C. and now 18, is charged as an adult for first-degree murder while armed. On Friday, officers arrested the second suspect, 18-year-old Lawrence Bradshaw of Southeast, D.C. He was also charged with first-degree murder while armed. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to DC News Now | Washington, DC. A Secret Service investigation has exposed new security breakdowns prior to the assassination attempt on Donald Trump that left two people critically injured and one person dead at a Pennsylvania campaign rally in July. According to senior government officials, who spoke to the Washington Post on condition of anonymity, the probe found that agents from Secret Service headquarters and the Pittsburgh field office had an inadequate strategy to block a clear sight of the former president at the July 13 event. The internal investigation also found that agents did not instruct local police to secure the roof used by the gunman Thomas Matthew Crooks. Sources added that agents tasked with securing the rally discussed using heavy equipment and flags to block the line of sight to the event stage from the building used by Crooks, but supervisors found these measures were not deployed successfully. Reporter: What I Learned When Trump Let Me Inspect His Ear The details of the internal review, according to the Post, may be released to the public next week. On Thursday, acting director of the Secret Service Ron Rowe shared a summary of findings to the Senate Homeland Security Committee and a House investigative task force. He is said to have told lawmakers that he has already ordered multiple changes in security plans to address the noted issues, including a protocol that places Secret Service agents and local police in the same command center for public appearances of presidential candidates. Following the closed-door meeting, Sen. Richard Blumenthal (D-CT) told Fox News I think the American people are going to be shocked, astonished, and appalled by what we will report to them about the failures by the Secret Service in this assassination attempt on the former president. Rowe acknowledged that the report found numerous errors for which the Secret Service should be held responsible, but that improvements and solutions would cost money. The Secret Service cannot operate under the paradox of zero fail mission while also making our special agents and uniformed division officers execute a very critical national security mission by doing more with less, he said in a statement. New Secret Service Director Says Hes Ashamed by Trump Rally Failures The agencys investigation echoes findings of the FBIs Butler Investigation, which detailed myriad weaknesses, notably in the agencys communication system, so that local police alerts about a suspicious man at the rally prior to Trumps arrival were not broadcast adequately on Secret Service radio. Feds also revealed that the agency was slow to bolster Trumps security, despite having obtained information about an Iranian state plot to kill or harm political candidates. Several senior Secret Service agents have announced their retirements ahead of the public release of the internal probe including Mike Plati, assistant director of the Office of Protective Operations, and John Buckley, a senior executive who helps delegate assets used to secure public events. Sources also claim that a senior agent in the Pittsburgh field office, which created the security plan, has hinted at a plan to retire. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Nebraska Secretary of State Bob Evnen announces the finalization and certification of the candidates and issues that will be advanced to voters at the Nov. 5, 2024, general election. Sept. 13, 2024. (Zach Wendling/Nebraska Examiner) LINCOLN Secretary of State Bob Evnen has officially finalized Nebraskas fall 2024 ballot with six ballot measures advancing to the Nov. 5 general election. Evnen said county election officials faced upwards of 600,000 signatures to verify this summer across six different petitions, each garnering enough valid signatures by Fridays final deadline. We have met that time frame because our counties put the pedal to the metal and did a great job confirming these signatures, Evnen said at an afternoon news conference. The certification also confirms candidates for various offices U.S. president, members of Congress, Nebraska Legislature, State Board of Education, University of Nebraska Board of Regents, Public Service Commission, community college governing boards, natural resources districts, public power districts, educational service units and reclamation districts. It also confirms the list of judges and justices up for retention. Medical cannabis signatures An investigation continues, as announced Friday by Attorney General Mike Hilgers, into what he and Evnen said were infirmities or irregularities in the signature-gathering process for two ballot measures related to medical cannabis. Evnen declined to specify what irregularities might exist, and Hilgers did not outline them. Hall County officials charged a Grand Island man, who was a paid circulator for those efforts, with a felony for allegedly falsifying at least 200 signatures across the two petitions. Evnen said his office will continue to cooperate with Hilgers. Evnen said that even though the ballot is certified, the Nebraska Supreme Court could take up a challenge to the marijuana-related petitions, or any others. Hes said that happened in Nebraska history up to four days before an election, as well as after voters had weighed in, that invalidated ballot measures. The fact that we had a hard stop and certified the ballot today doesnt mean that nothing further is going to happen with respect to the investigation of the signatures in the medical cannabis initiatives, Evnen said. Evnen also confirmed what the Nebraska Examiner reported earlier in the day from Hall County Election Commissioner Tracy Overstreet that any invalid signatures, including those from the man charged with a felony, were tossed. They were flagged as fraudulent and rejected and not counted toward those totals from the get-go, Overstreet said Friday morning. Evnen said there may be irregularities in other counties. But when asked by reporters whether he had heard from county election officials other than Overstreet, Evnen said he had not. The medical cannabis petitions are also being targeted in a separate lawsuit from John Kuehn, a former state senator and former State Board of Health member. The case in Lancaster County District Court has not been scheduled. Other legal challenges Three other ballot measures also faced legal challenges but the Nebraska Supreme Court rejected them Friday morning. Those measures were on two separate constitutional amendments related to abortion and a partial repeal of a state-funded program to cover private K-12 school costs. Evnen said he doesnt expect further signature-related challenges on those. Evnen celebrated the courts speed and dispatch in delivering decisions for cases filed before the court within just the past few weeks. Multiple justices had questioned whether the court could take legal challenges sooner in the process, not just after Evnen had certified the measures for the ballot. Some of those deadlines are set by federal or state law, and others are constitutional in nature. The secretary said he is looking at whether there can be a way to ease up the timeline. Currently, signatures are due four months before an election and counties must verify the petitions within 40 days of receiving them. The ballot must be finalized 50 days before the election. Evnen said he also intends to follow the advice from Chief Justice Mike Heavican and Justice Lindsey Miller-Lerman, the courts two longest serving members, that once a secretary of state determines the legal sufficiency of a measure, it cant be rescinded. Hilgers, on Evnens behalf, said Evnen had changed his mind but would respect the courts decision but might decertify the measure if the court tossed the lawsuit on a technicality. The opinions that the court handed down today are well reasoned and well articulated, Evnen said. 2024 ballot measures Evnen certified six ballot measures for the Nov. 5 general election ballot, which were assigned a measure number between 434 and 439 at random. Protect Women and Children Prohibit abortions after the first trimester or pregnancy, with exceptions for rape, incest and the life of the mother, in the Nebraska Constitution. Future restrictions would be allowed. (Measure 434) Private Education Scholarship Partial Repeal (Legislative Bill 1402) Repeal the $10 million scholarship program, enacted earlier this year, that the state treasurer oversees to distribute to students to attend K-12 private schools. (Measure 435) Paid Sick Leave Enact a new state law that would require businesses with 20 or fewer employees to fund at least five paid sick days each year for full-time employees. Larger businesses would need to annually fund at least seven sick days per full-time employee. An hour of sick leave would be earned after every 30 hours worked. (Measure 436) Nebraska Medical Cannabis Regulation Define cannabis; legalize possessing, manufacturing, distributing, delivering and dispensing cannabis for medical purposes; and create the Nebraska Medical Cannabis Commission to oversee the new state law. (Measure 437) Nebraska Medical Cannabis Patient Protection Set an allowable amount of medical cannabis at five ounces; exempt patients and caregivers from using or assisting someone else in using the cannabis; and require a written recommendation from a health care practitioner prior to prescription. (Measure 438) Protect the Right to Abortion Codify a right to abortion in the Nebraska Constitution until fetal viability as determined by a health care provider, with a later exception for the mothers health. (Measure 439) SUPPORT: YOU MAKE OUR WORK POSSIBLE Senator Peter Welch and other local leaders trekked up the Richmond Mountain Trails Friday to celebrate the states newest accessible bike path. All four miles of accessible trail opened in August. To celebrate, Senator Welch hiked part of the trail with a group on September 13. The trail, called The Driving Range, is a winding, mountain-biking extravaganza thats fully accessible to those on two and three-wheeled adaptive bikes. Welch said he thinks the new trail is a win for Vermont. People who are coming here to hike are going to shop, people who are coming here to hike are staying at a BnB, people who are coming here to hike are going to restaurants, and its synergistic to what I really admire about Vermont and the outdoor recreation industry and hospitality industry its an appreciation of how it all works together. Mountain bikers within the hiking group seemed to share Welchs excitement and appreciation. Kelly Brush, namesake and board member of the Kelley Brush Foundation, said I think thats one of the keys with this network [of trails] in particular, is that we wanted to show that you can do really hard trails. Representatives from Vermonts tourism department, the Vermont Chamber of Commerce, and more joined Senator Welch to speak about their success. They also discussed how local tourism could move forward in the face of flooding. Other concerns, like an over-reliance on volunteers, and keeping the balance between hospitality and outdoor recreation, were also brought up. The backs, or the support for so much of that, in this outdoor recreational economy, falls on volunteers, non-profit trail stewardship organizations, somewhere in the neighborhood of 70-75% of public access trails are on private land, said Vermont Mountain Bike Association Executive Director Nick Bennette. Organizers hope to see an influx of people on the trail this fall. They also hope that this path will become a trail-blazer for accessible mountain biking across Vermont and beyond. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to ABC22 & FOX44. U.S. Sen. Rev. Raphael Warnock and Sen. Jon Ossoff announced theyd secured more than $60 million to fund a variety of improvement projects for airports across Georgia. Grant awards from the Federal Aviation Administrations Airport Improvement Program will provide funding to the Columbus Airport, DeKalb-Peachtree Airport, Dalton Municipal Airport, Polk County Airport, Southwest Georgia Regional Airport, Augusta Regional Airport, Savannah/Hilton Head International Airport and the Georgia Department of Transportation, according to Warnocks office. Georgia is one of the most important aviation states in the nation, and as a member of the committee responsible for aviation policy, I will continue working to strengthen Georgias transportation infrastructure, Warnock said in a statement. This latest investment will continue to take Georgias aviation economy to new heights. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks TRENDING STORIES: Warnock, a member of the Senate Commerce Committee, said in the announcement that he and his fellow Georgia senator had gotten $63.65 million to fund projects at the airports in a move to upgrade the airports and provide for new jobs. Georgias airports are a key driver of job creation and economic competitiveness. Alongside Senator Reverend Warnock, I am pleased to announce the bipartisan infrastructure law will deliver more airport upgrades across the State of Georgia. Our bipartisan infrastructure law will continue to deliver long-overdue upgrades to Georgias infrastructure for years to come, Ossoff said. Heres how the funds will be split up among the airports and GDOT. Columbus Airport: $24,472,527 for Runway Reconstruction Dalton Municipal Airport: $2.4 million for Runway Upgrades DeKalb-Peachtree Airport: $8,585,711 for Runway Upgrades Polk County Airport: $3.95 million for Runway Upgrades Southwest Georgia Regional Airport: $3,764,809 for Infrastructure Upgrades Augusta Regional Airport: $8,008,660 for Taxiway Construction and Upgrades Savannah/HHI Airport: $11,465,048 for Taxiway Construction GDOT: $1 million for Planning Funds [SIGN UP: WSB-TV Daily Headlines Newsletter] IN OTHER NEWS: (FOX40.COM) Several Northern California law enforcement agencies are on high alert after a series of threats were made against schools throughout the state this week. They said the threats are part of a nationwide trend. Police did not specify the type of threats made, however, FOX40.com reporters reviewed multiple social media screenshots of messages that depicted gun violence at local schools. Law enforcement agencies said the threats are not credible, but they are taking them seriously. Some of the schools threatened include campuses in Sacramento, Roseville, Rocklin, Fairfield, and El Dorado County. Del Oro High School student arrested for vague threat made against staff member A 13-year-old has been arrested today and will be booked into Juvenile Hall for making terrorist threats, The Roseville Police Department said on Wednesday. We take every mention of a threat seriously and will act to protect our community. RPD said the teen created fake social media accounts to spread false threats to create fear and arrested him. Officers said they also worked with Dry Creek Joint Elementary School District to investigate a threat at Silverado Middle School, however, there were no credible threats to students or staff. Teen arrested in connection to threats made at Roseville school Sacramento law enforcement is also responding to the threats. The Sacramento Police Department is aware of a nationwide social media trend related to school threats, the agency said in a statement. It appears to be screenshot posts that are shared with different schools names on it. Our department is working in conjunction with the School Districts in our community to ensure the safety of all students and faculty. Some Sacramento parents in the area have chosen to keep their kids out of school until the situation is resolved. In El Dorado County, another teen was identified by the El Dorado County Sheriffs Office as another person responsible for making threats to several schools in the area. Deputies said the juvenile suspect was contacted, however, the threat was not credible and the incident is still under investigation. Users on social media have expressed frustration with the threats being deemed as not credible. They need to be prosecuted at the fullest extent of the law to make a statement. Anything less is a failure, said Ben Lyon, a parent, on social media. Today was a scary day for the parents, students, and teachers. My son called me hysterical. We shouldnt have to put up with this. The Fairfield Police Department and Rocklin Police Department shared similar sentiments as other law enforcement agencies. We are also aware of other social media messages that have been circulated through Facebook, TikTok, Snapchat, and other platforms threatening violence for today at a number of school campuses in this region and throughout the nation, Rocklin PD said on Friday. Even though it is believed to be a hoax with no credible threat involving any of our schools, we will continue to monitor social media activity, investigate real and potential threats, and take every step necessary to ensure a safe school environment. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX40. A Russian Shahed flew into Belarus on the night of 13-14 September, and Belarusian Air Force fighter jets were scrambled. Source: Belaruski Hajun, an independent Belarusian military monitoring media outlet Details: At 04:10, the kamikaze drone crossed the border with Belarus near Loyew, Gomel Oblast, and spent about 30 minutes in the country's airspace. The drone was flying on a westerly course, then turned southeast and returned to the territory of Ukraine. Belaruski Hajun reports indicate that it flew to the area of Slavutych, where it was spotted at 05:05. At the time of the Shahed's approach, a fighter jet of the Belarusian Air Force was on duty in the sky over Gomel Oblast, which took off from the Baranovichi airfield at around 03:40. Background: Ukrainian air defence downed 72 out of 76 Russian strike drones on the night of 13-14 September; two more returned to Russia. Support UP or become our patron! Walking into court each day with her head held high, the ex-wife of a Frenchman on trial for orchestrating her mass rape in her own bed for almost a decade has become a feminist icon. With her now trademark auburn bob and dark glasses, 71-year-old Gisele Pelicot has become a figurehead in the battle against the use of drugs to commit sexual abuse. Her life was shattered in 2020 when she discovered that her partner of five decades had for years been secretly administering her large doses of tranquilisers to rape her and invite dozens of strangers to join him. But she has decided not to hide and demanded the trial of Dominique Pelicot, 71, and 50 co-defendants since September 2 be open to the public because, as she has said through one of her lawyers, it should be up to her alleged abusers -- not her -- to be ashamed. "It's a way of saying... shame must change sides," her attorney Stephane Babonneau said as the trial opened. Since then, feminist activists have used her stylised portrait by Belgian artist Aline Dessine, daubed with the words "Shame is changing sides", to show support and call for protests. The artist with 2.5 million followers on TikTok has given up all rights to the image. - 'Very brave' - Outside the courtroom in the southern town of Avignon on Friday, protester Nadege Peneau said she was full of admiration for the trial's main plaintiff. "What she's doing is very brave," she said. "She's speaking up for so many children and women, and even men" who have been abused, she added. Gisele Pelicot in August obtained a divorce from her husband, who has confessed to the abuse after meticulously documenting it with photos and videos. She has moved away from the southern town of Mazan where, in her own words, for years he treated her like "a piece of meat" or a "rag doll". She now uses her maiden name, but during the trial has asked the media to use her former name as a married woman. Her lawyer Antoine Camus said she had transformed from a devoted wife and retiree, who loved walks and choir singing, into a woman in the seventies ready for a battle. "I will have to fight till the end," she told the press on September 5, in her only public statement outside court in the first days of the four-month trial. "Obviously it's not an easy exercise and I can feel attempts to trap me with certain questions," she added calmly. - 'Not in vain' - The daughter of a member of the military, Gisele Pelicot was born on December 7, 1952 in Germany, returning to France with her family when she was five. When she was only nine, her mother, aged just 35, died of cancer. "In my head, I was already 15, I was already a little woman," she said, describing growing up "without much love". Her older brother Michel died of a heart attack aged 43, before her 20th birthday. She has said she was never one to publicly show emotions. "In the family, we hide tears and we share laughter," one of her lawyers had reported her as saying. She met Dominique Pelicot, her future husband and rapist, in 1971. She had dreamt of becoming a hairdresser but instead studied to be a typist. After a few years temping, she joined France's national electricity company EDF, ending her career in a logistics service for its nuclear power plants. At home, she looked after her three children, then seven grandchildren, and did a little gymnastics. Only when the police caught her husband filming up women's skirts in a supermarket in 2020 did she find out the true reason behind her troubling memory lapses. Camus, her lawyer, said his client "never wanted to be a role model". "She just wants all this not to be in vain," he said. ol-vid/ah/rox ATLANTA - Atlanta police are searching for a gunman after two people were shot near a Fulton County District Attorney's Office annex, just off Martin Luther King Jr. Drive in southwest Atlanta on Friday. Around 5 p.m., officers swarmed Gordon Plaza, which is located on the corner of Peyton Road and Martin Luther King Jr. Drive. For hours, the parking lot of the plaza was taped off. On the other side of the crime scene tape, a car with a shattered window, bullets on the pavement, and investigators going in and out of the T&K Package Store. Police say a man wearing a ski mask and armed with a rifle opened fire in the parking lot. Two men were struck by bullets, both in the chest. Initially, the Atlanta Police Department reported three people were shot, but later corrected that stating only two males were injured. Both were rushed to Grady Memorial Hospital, one of whom was in critical condition at the time. Investigators say one of the men appears to have been targeted, the other had just come from behind the building. "There was an individual back there who was one of the victims who was shot. It appears he was not the intended victim," said Atlanta Police Lt. Andrew Smith. The family of Coviseea Dowell says they were told he was the one who was targeted. He's in critical condition. "He just got robbed last week and now he's shot today, we're just trying to make sure he's alive," said Stephanie Dowell. Police say they'll be reviewing surveillance video to get a better description of the masked gunman, when they have that description, they will release more information. CLARKSVILLE, Tenn. (WKRN) The victim in a Clarksville shooting earlier this month has died, prompting authorities to arrest one person on homicide charges. PREVIOUS: Shooting victim found in Clarksville neighborhood The Clarksville Police Department said that the victim, 18-year-old Lebanon resident Carlos Wills, was taken off life support. An investigation identified ZaKwon Jaterrion Holiday of Clarksville as the suspect. Clarksville authorities secured a homicide warrant for his arrest. The Tennessee Bureau of Investigation (TBI) and the Metro Nashville Police Department (MNPD) assisted Clarksville police and Holliday was apprehended during a traffic stop in Nashville on Friday evening. This was an isolated incident and at no time did law enforcement believe there to be an active threat to the public at large, Clarksville police said. Clarksville police searching for suspect who scammed woman out of $160K Police added that they wish to speak with Clarksville resident Davontay Tyrek Spencer, 19, who was present at the time of the shooting. Anyone with information on Spencers whereabouts has been asked to contact the Clarksville Police Department. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKRN News 2. For at least the third time this week, an area student has been accused of making an online threat against an area school or student. The latest incident was discovered Thursday afternoon at 3:10 p.m. after the Fredericksburg 911 center received a tip regarding an online threat made against Walker-Grant Middle School in the city. City police spokeswoman Jessica Carter said the threat was a general one and didnt specify any individuals. City police immediately began an investigation and linked the Internet Protocol address of the offending TikTok account to a residence in the city. School officials informed police that two city school students live at the home, including the middle school student suspected of posting the threat. After speaking with the juvenile and a parent, a referral for charges was sent to juvenile intake. The student has since been charged with a felony offense of making a threat of death or bodily harm to persons on school property. The child is being held in the Rappahannock Juvenile Detention Center. Carter said school officials were informed of the police findings and have taken appropriate action. [City police] want to reassure [the community] that this threat has been resolved, Carter wrote. Parents are urged to monitor their childrens social media accounts and have regular conversations with them about safe habits. If you see something, say something. On Tuesday, a 15-year-old student at Brooke Point High School in Stafford County was taken into custody after allegedly making an online threat against another student at the school. While investigating that incident, police recovered a rifle at the students home that had been stolen from a deputys cruiser earlier this month. That same day, parents of King George Middle School students were notified about a potential threat against the school. The student suspected of making the threat was identified, and the threat was later deemed not credible by the county Sheriffs Office. Showcase held at ICC for the future of agriculture and technology EAST PEORIA, Ill. (WMBD) The future of agriculture and technology were able to explore their options at Illinois Central College Friday. Over 400 Students from high schools all over Central Illinois were given hands-on career exploration in agriculture, diesel and horticulture careers. Workplaces set up tents for students to visit and discuss their future careers. Officials with ICC said students had an opportunity to get a prize while making their rounds. We actually give them a little task of getting a passport stamped so that they have to go around to all the employers, said Dana King, dean of agriculture and industrial technology at ICC. They win a prize at the end if their name is drawn. But it really is about them creating their future. Illinois Central College trustees implement new bond to update outdated software Participants in the showcase said the careers represented at the showcase are vital to everyday life. Agriculture is never going away, were always need food to eat, said Illini Central senior Macy McLaughlin. But even more than food, the agribusiness side of things is such a growing industry. Were always gonna need people to drive trucks. Were always gonna need people to plant stuff and marketing and advertising. Its not going away. If anything, its just growing. Students who attended the showcase were also able to lay bricks, build walls and start a tractor engine. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CIProud.com. DISCLAIMER: All persons are presumed innocent until proven guilty. SHREVEPORT, La. (KTAL/KMSS) A Shreveport man has been arrested after police named the violent altercation a neighborly dispute in the 3500 block of Pleasant Drive on September 12th around 2 p.m. Shreveport Police said upon arrival, they found a man suffering from a large laceration to the head and significant swelling to his arm. Officers stated that the victim had been involved in a dispute, during which 37-year-old Demetrius Thomas struck the victim in the head with a large rock and then allegedly beat him with a stick across the arms. WATCH: Our Docuseries, Beyond the Headlines: Firearms Impact & Responsibility According to the news release, the victim was transported to a local hospital, where he is expected to recover. Shreveport Police Patrol officers subsequently arrested Thomas, who has been charged with one count of aggravated battery with a dangerous weapon. Officers note, In Louisiana, aggravated battery is defined as a battery committed with a dangerous weapon and can carry a penalty of up to 10 years in prison. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTALnews.com. SIOUX CITY, Iowa (KCAU) A Sioux City mental health counselor was arrested for allegedly providing false medical codes on patients bills. According to a press release from the Iowa Insurance Division, Amy Hecht was arrested on Sept 9 on multiple charges of fraudulent billing. Court documents state that Hecht was a licensed mental health counselor and owner of Foundation Mental Health Center in Sioux City. The Iowa Insurance Divisions Fraud Bureau said it and the Department of Inspections, Appeals, and Licensings Medicaid Fraud Control Unit conducted a joint investigation on Hecht. They reported that Hecht allegedly provided false medical codes on patients bills to provide additional reimbursement she was not entitled. Court documents state that Hecht frequently used a specific billing code than typically seen by other providers. The code was one to be used for when when additional needs or work or complications occur during treatment for a patient. Employees allegedly notice the code being added to their treatment notes and the billing when they never added the coding. Former U of I employee charged with theft, officials say he stole nearly $1 million Documents add that there was no evidence to support adding the billing code being used fraudulently 218 times between 13 patients, resulting in Hecht fraudulently receiving $5,372.50 from the insurance agency Blue Cross and BlueShield. Invvestigators also found 261 false entries into patients medical recrds between Aug. 10, 2021 and Nov. 8, 2023. This resulted in $1,062.12 being paid to Hecht through Medicaid. When confronted, Hecht allegedly admitted that they were not far off in their belief that they would find more records of her adding the code to patient billing and documentation. Hecht has been charged with twelve counts of fraudulent submission, a class D felony; one count of second-degree theft, a class D felony, one count of third-degree fraudulent practice, an aggravated misdemeanor; and tampering with records, an aggravated misdemeanor. Hecht was released on bond on the same day of her arrest. Her next court appearance will be on Sept. 18. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to SiouxlandProud | Sioux City, IA | News, Weather, and Sports. SIOUX FALLS, S.D. (KELO) A Sioux Falls parent is voicing his concerns over the arrest this week of a 15-year-old who had a gun outside a school. Hes not satisfied with how the school district communicated with parents. Julian Beaudion runs a non-profit that helps small business owners get started. He is also the father of three, including a middle schooler. On Wednesday, police arrested a 15-year-old for having a gun outside the Axtel Park School building. The boy was taken into juvenile custody but later escaped. Police caught up with him and arrested him again on Thursday morning, shortly after police announced they were looking for him. Beaudion says as a parent he would have liked to have known all this was taking place especially after seeing posts on social media. of course the first concern is your own daughter, your own kids. Once I found out it was another school, it was Axtel, then your concern switched to now that I know my child is safe. What about the other students? said Beaudion. 15-year-old boy charged with weapon outside Axtell Park We requested an interview with a School District official today, the districted acknowledged our request in an email but after that there was no word from them. On Thursday, they sent us a statement, saying that after the escape additional safety precautions were taken. Beaudion plans to contact school officials and says he is speaking out in hopes of improving communication in the future. We need to figure out together as a community, with the school district hand in hand with parents teachers and administrators how we make this better how we make this better for our students and how we make this a safer place, said Beaudion. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KELOLAND.com. PHOENIX - From the latest on a wildfire burning near the East Valley to a burning surprise people in one Valley neighborhood woke up to, here's a look at some of the top stories on FOX10Phoenix.com for Friday, September 13, 2024. 1. Siphon Fire continues to burn The fire, per estimates by fire officials Friday afternoon, has burned 15,876 acres of land. The fire is believed to be human-caused. Read More 2. Truck drops garbage load due to fire (Courtesy: Davis Chappins) Residents in one Phoenix neighborhood woke up to a rather fiery surprise, after a garbage truck had to drop a heap of burning trash coin the middle of the street. Read More 3. Latest on the presidential race (Photo by SAUL LOEB/AFP via Getty Images) Its been three days since Vice President Kamala Harris and former President Donald Trump took part in their first debate, and there's new polling data on what the race is looking like now. Read More 4. School threats take toll on students, educators As schools in the Phoenix area receive hundreds of threats in recent days, safety is on the minds of many, including students who say they would rather not have them happen so often. Read More 5. Sad update in Phoenix drive-by shooting The shooting, which happened on Sept. 5, sent a victim to the hospital, where he has passed away from his injuries. Police identified the victim on Friday. Read More A look at freeway closures this weekend Also, your weather forecast for tonight For months, an unusual monument sat in an oak-lined square at the heart of Tasmania's capital: a pair of severed bronze feet. A statue of renowned surgeon-turned-premier William Crowther had loomed over the park in Hobart for more than a century. But one evening in May, it was chopped down at the ankles and the words "what goes around" graffitied on its sandstone base. It was a throwback to another night more than 150 years ago, when Crowther allegedly broke into a morgue, sliced open an Aboriginal leaders head and stole his skull - triggering a grim tussle over the remaining body parts. Tasmania had become the centre of coloniser efforts to eradicate Aboriginal people in Australia. And the sailor on the slab - William Lanne - was touted as the last man on the island, making his remains a twisted trophy for white physicians. Some see Crowther as an unfairly maligned man of his time, and his effigy as an important part of the states history, warts and all. But for Lannes descendants, it represents colonial brutality, the dehumanising myth that Tasmanian Aboriginal people are extinct, and the whitewashing of the island's past. "You walk around the city anywhere and you'd never know Aborigines were here," Aboriginal activist Nala Mansell says. Now the dismembered statue has become a symbol of a city - and a nation - struggling to reckon with its darkest chapters. The extinction lie Few places encapsulate the issue quite like Risdon Cove called piyura kitina by the Palawa Aboriginal people. Tucked beside a creek, a monument proudly marks it as the first British settlement on what was then called Van Diemens Land. Nala says piyura kitina evokes complicated feelings for the Palawa [BBC/Andrew Wilson] For Tasmanian Aboriginal people, though, this hillside on the outskirts of Hobart is "ground zero for invasion". "It's the first landing and not coincidentally the first massacre [of our people]," Nunami Sculthorpe-Green tells the BBC one overcast afternoon. Startled from their reverie, flurries of native hens - which piyura kitina is named after - scatter over the mossy grass as we arrive. A wallaby hastily bounds towards sparse gum trees. Its from that direction that Mumirimina men, women and children would have come down the slope on 3 May 1804, singing as they hunted kangaroos. They were met with muskets and cannons. The events of that day - and the death toll - are disputed. What is not contested is that this marked the start of a determined effort by British settlers to get rid of the original Tasmanians, nine nations of up to 15,000 people. War broke out and Aboriginal people were hunted across the island, the survivors rounded up and sent to what have been described as death camps. "If that happened anywhere in the world today, it would be referred to as ethnic cleansing," says Greg Lehman, a Palawa professor of history. Warning for Aboriginal and Torres Strait Islander readers: this article contains images of someone who has died. Ripped from his homelands as a child, Lanne survived two of those camps before living out his final years as a shipmate and beloved advocate for his people. Even before he died of disease in 1869, aged only 34, letters show that powerful men in Hobart had begun scheming. "There's no way that that young man was going to be allowed to lie in a grave. No way," historian Cassandra Pybus tells the BBC. The theft of Aboriginal remains had long been normalised, she says, but reached a fever pitch in Tasmania as the number of its original inhabitants dwindled. Lannes skull was sought to prove since-discredited theories about Tasmanian Aboriginal people - that they were the missing link between humans and Neanderthals, a distinct race so primitive they didnt even know how to make fire. Before he was buried, his hands and feet would also be cut off and pocketed by physicians. Some historians say his grave was robbed as well, and every bone in his body taken. Crowther always denied any involvement in stealing Lannes remains - his backers called the allegations a witch hunt - but the town was horrified, and he was suspended from his honorary position at the hospital. For First Nations people, who believe their spirits can only rest once returned to their land, what happened was especially distressing. But within two weeks, Crowther was elected to state parliament, and he'd soon rise to be Tasmanias premier for an unremarkable six months. By contrast, Lanne's skull appears to have wound up on the other side of the globe at a UK university, and his people were soon declared extinct. William Lanne was photographed by Scot JW Beattie before his death [National Library of Australia/J. W. Beattie] Except they were not. Todays Palawa people trace their ancestry to a dozen women who survived, while other groups - which some do not recognise as Aboriginal - also say they descend from a handful of people who managed to evade capture in the 1800s. Yet, for the past 150 years, Tasmanian Aboriginal people say they have been fighting to be visible, in the history pages and in everyday life. The lie that they were extinct is largely blamed on outdated views about ethnic identity. But others say it was also a strategic decision - to deny Tasmanian Aboriginal people rights, and to snuff out their culture. The impact has been devastating. Many Palawa people speak of being persecuted for their Indigenous blood in one breath and denied their identity because of their white ancestry in the next. Even now, many feel there are huge swathes of their history missing - or wilfully ignored. Nala points out all she was taught about Tasmanian Aboriginal culture and history at her Hobart school was a brief lesson on boomerangs and didgeridoos - although her people used neither. And aside from a walking track named after Truganini - Lannes wife and a leader in her own right - there are no sites celebrating Aboriginal people around the city. "The way they tell stories about Aboriginal people they want you to think that it's somewhere really far away from where you are, and that it's something that happened a really long time ago," Nunami says. Unimpressed, the 30-year-old history graduate started Black Led Tours to fill the gap. "I realised that I was walking to work the exact same way Truganini used to walk her dogs. And I realised that my parents met at the pub where William Lanne died. I also realised that the Crowther statue was right next to my bus stop. "And I thought: does everybody know that this is right here, where we live and where we work?" A disputed legacy When unveiling the effigy in 1889, the then-premier said Crowther was not "a perfect man", but one who spent his time doing good. His scandal overlooked, until recently he was remembered for offering free health care to the poor. That rankles Tasmanian Aboriginal people like Nala: "It's just a kick in the guts." As spokeswoman for the Tasmanian Aboriginal Centre, she led a renewed campaign to take down the memorial. "To us, it would be no different to having a statue of Martin Bryant," she says, referring to the gunman who massacred 35 people at nearby Port Arthur in 1996. But some, like Jeff Briscoe - who lost the legal case to prevent the statues removal - believe the sculpture has priceless heritage value as the only memorial in the state "funded totally by the public". "At the time, it was a significant memorial and everyone was proud of it. In 2024, should the perceptions of a few people override all that? "It's not as if he was going around shooting people he maybe had been involved in the mutilation of a body, but they all were. "Theyre bringing the bar down so low that no memorial from colonial times will be safe in Australia." Jeff Briscoe standing in front of the now-boxed-up statue plinth [BBC/Andrew Wilson] Cassandra Pybus says there is no doubt that Crowther did mutilate Lanne, citing letters he wrote. However, she had argued, like Mr Briscoe, that taking down the statue would set a dangerous precedent, because "everybody was racist". She had wanted it to remain so the site could be used to educate people about how the first Tasmanians were treated. The statues fate divided even Crowthers living descendants, with some publicly supporting the calls for removal, and others distressed by them. Hobart Lord Mayor Anna Reynolds says the council voted to remove the statue in 2022 "as a commitment to telling the truth of our city's history, and as an act of reconciliation with the Aboriginal community" - the first decision of its kind in Australia. They did it after a rigorous consultation and with the support of the "silent majority", she adds. Ultimately, she says, the statue is a sign of how desperate Crowther was to repair his reputation, not his significance to the state: "[Hes] not that important." But while the council worked through red tape, some grew impatient and took it down themselves. The vandals filmed themselves taking a power tool to the statue's legs [ABC News/Luke Bowden] For Lannes descendants, their relief at the long-awaited fall of the statue is tinged with pain. They feel Lanne has been reduced to his death. "He had a whole life... and just as he advocated for our people's rights, we will advocate for his story to be remembered and him to be respected for who he was," Nunami says. Time for 'truth-telling'? The Crowther statue is not unique. Countless similar landmarks or monuments - which joke about massacres, include racial slurs or celebrate alleged killers - are still standing across Australia. Many, like Greg, believe removing or renaming them could be a natural starting point for the "truth-telling" the country needs, to reconcile with its First Peoples, the oldest living culture on the planet. "Youd think that it was just a bunch of happy free settlers and not-so-happy convicts who jumped off the First Fleet... and bingo, there you've got modern Australia," he says. "For Australia to have an honest and powerful relationship with itself, it must have an honest relationship with the past." But after a proposal for an Indigenous political advisory body was defeated at a referendum last year, any movement towards a national truth-telling inquiry has stalled - though many states are setting up their own. There are still many, like Jeff Briscoe, who believe a "truth-telling" process would be a divisive and unnecessary rehashing of the past views echoed by a bloc of conservative politicians who also oppose a treaty. "Nowadays people want Aborigines to stand in front of them and say welcome to our country. They want us to dance for them. They want us to teach them our language. They don't mind if we put some of our paintings in the mall," Nala says. "But if you talk about any type of benefit for the Aboriginal community, or taking back anything that was stolen from us, it's a completely different ballgame." However she is among those who feel like the tide is slowly turning. "The Crowther statue is the first time I've ever thought, Wow, white people - they're starting to get it'," Nala says. Nunami hopes the Crowther debate prompts more conversations about other Hobart landmarks [Blak Led Tours Tasmania/Jillian Mundy] The council was still deciding what should replace the sculpture when it met its unexpected end. But many wanted the severed feet to remain in the square - as is - arguing they made a wryly "funny" and "profound" statement. However earlier this week, the council plucked the ankles from their perch, to reunite them with the rest of the effigy, citing heritage law requirements. But Nunami says even the now empty plinth illustrates the story of Crowther and Lanne far better than the statue ever did. "We get to say we, as the public, learnt, we grew, and we changed the narrative of this place Look here, we cut that down." Read more of our Australia coverage A Florida mother who police say was fatally stabbed by her 17-year-old son on Sunday posted lyrics online taken from Taylor Swifts song "Its Time to Go" in a haunting final message just hours before she was killed. Catherine Griffith, 39, uploaded an image of a remote footbridge leading into a forest captioned with the lyrics to the song the day before she was killed in the vicious knife attack in Auburndale. Witnesses say she and her son, who reportedly has a history of mental health issues, had gotten into an argument outside his grandmothers home. He then dragged her by the hair into the residence, and she could be heard pleading with her son to "let me go," the Polk County Sheriff's Office said. MOTHER OF PARKLAND SCHOOL SHOOTING VICTIM PUSHES FOR BIPARTISAN LEGISLATION AFTER GEORGIA MASS SHOOTING Catherine Griffith pictured with her son. Thats when Polk County Sheriff Grady Judd said at a Wednesday press conference that the 17-year-old "stabbed his mother in the neck so hard that the knife went all the way through." Judd went on to label the teen as a "psychopath." The teen told police that he and his mother had gotten into a physical fight and that she fell on the knife. The teen has been charged with first-degree murder, kidnapping and violation of a no-contact order. Judd is pushing for him to be tried as an adult, but the local attorney generals office tells Fox News Digital that it cannot comment on the matter until next week. READ ON THE FOX NEWS APP The deadly incident came 19 months after the teen was charged with shooting his father in Oklahoma, although those charges were later dropped. Griffiths post may have suggested that she was not in a good place in the lead up to her death. "That old familiar body ache, the snaps from the same little breaks in your soul," the posted Taylor Swift lyrics read. "You know when its time to go Sometimes, givin up is the strong thing." Polk County Sheriff Grady Judd is pushing for Griffith to be tried as an adult, he said at a Wednesday press conference. Griffiths Instagram page is filled with images of happier times with her son, including the two of them on a cruise, in front of the U.S. Capitol on July 4 and a picture of them together with her son dressed in academic regalia for his graduation. Another image shows him sitting on the front of a 2024 Volkswagen Jetta, which Griffith writes was an early graduation present for her son. But the relationship between the pair was apparently not always smooth sailing. The teen's grandmother, who was not present during the altercation, told WFLA that the teen had been verbally and physically confrontational with his mother on several occasions. GEORGIA HIGH SCHOOL SHOOTING SUSPECT'S MOM DEFENDS HER SON IN MESSAGE TO VICTIM'S FAMILIES Judd said that as investigators started "to peel back the layer of this onion," they "[found] out that this is not just a singular event." Last year, the teen's father also died by his hand. "On Feb. 14, 2023, Valentines Day, in Lincoln County, Oklahoma, [he] said his dad pulled a knife on him, and he shot and killed his dad," Judd said. "He shot him once in the chest and once in the head, and he claimed self-defense." Oklahoma authorities dropped charges against the teen less than a month after the shooting because they could not disprove his "assertion of self-defense," Judd said. The teen's mother paid $50,000 to bail him out of jail, the New York Post reported. Then he moved into her Charlotte County, Florida, home and was involuntarily committed to a hospital for mental health reasons within a month. Around this time, Judd said, he made a threatening statement: "I'll kill myself, or I'll kill my mother by shooting or stabbing her." In November 2023, the teen "pushed [his mother] to the ground and ... stomped on her" after she took away his video game privileges, Judd said. He was arrested and claimed self-defense again, but the argument failed that time, and he spent time behind bars, the sheriff said. Catherine Griffith pictured with her son who is accused of stabbing her to death. After another argument with his mother in February of this year, the teen fled to his grandmother's house in Auburndale. The teen's mother and grandmother both contacted the sheriff's office around that time and said they felt unsafe around him, Judd said, and at that point, the teen was turned over to family services. But despite making more threats to kill his mother, the teen was ultimately reunited with his family despite making threats to kill his mother again just two weeks later, Judd said. According to the sheriff, the teen got into "an argument about home chores" that led him to "flee from his mother's house and [go to] his grandmother's house" on Sept. 6. The suspect's mother drove to the grandmother's house the next day, which is when she and the teenager got into the altercation that cost her life. The teen initially told 911 dispatchers that his mother "fell into a knife" after a "very long fight" on Sunday, Judd said. Deputies who arrived at The Hamptons a 55-and-older community in Auburndale about 50 miles east of Tampa, where the teen's grandmother is a resident found him "calm, cool, collected and he had blood on him," Judd said. The murder weapon. The 17-year-old reportedly became "uncooperative," showed "zero remorse" and had no sense of urgency about his gravely wounded mom. "He looked the deputy in the eye and said, 'I know my rights, I want an attorney,'" Judd said. Despite the teen's claims of a protracted fight with his mother before her death, the home was "neat and clean [with] no evidence of any kind of long fight," Judd said. "When you look at this, you see a kid," Judd said. "When I look at him, I see a psychopath. I see totally erratic behavior to the point that hes already, at 17 years of age, shot and killed his father and got away with it and stabbed his mother in the neck so hard that the knife went all the way through." "Now hes killed two people and killed his mother and father, and I can assure you beyond and to the exclusion of every reasonable doubt based upon his conduct, had he gone to live with his grandmother at the end of this, and she crossed him, she would be next," Judd added. Judd said he will share any information uncovered in his department's investigation that could incriminate the teen in his father's death with authorities in Oklahoma. Original article source: Slain Florida mom posts Taylor Swift lyrics in haunting message before knife attack involving son Russia has imprisoned hundreds for protesting or speaking out against the Ukraine campaign (Alexander NEMENOV) (Alexander NEMENOV/AFP/AFP) When Russians started being arrested for opposing the Ukraine offensive, Maria felt the same kind of fear she guessed her ancestors, victims of repression under Soviet leader Joseph Stalin, must have lived through. Now two and a half years into its military offensive, Russia has imprisoned hundreds for protesting or speaking out against the campaign -- even in private -- in a crackdown that has paralysed the Kremlin's domestic critics. "It's not normal when you start behaving like your ancestors did. Twitching every time the phone rings... thinking all the time about who you are talking with and what you are talking about," Maria, a 47-year-old from Moscow, told AFP. "My fear is growing." Leafing through a book with photos of victims of Stalin's purges, Maria pointed to her great-grandfather. Of Polish origin, he was declared an "enemy of the people" and executed in 1938 for "spying". He was posthumously rehabilitated after Stalin's death in 1953. His wife was also targeted, spending four years in the Gulag, the Soviet network of harsh prison labour camps. Maria's grandmother, who had to live with the stigma of her parents being dubbed "enemies of the people", constantly worried she too would be arrested. Maria now feels a similar fear, concerned she could be labelled a "foreign agent" -- a modern-day label with Stalin-era connotations that is used to marginalise critics of President Vladimir Putin's regime. - Self-censorship - Putin's Russia also has harsher legal tools at its disposal to target its opponents. Under military censorship laws, people can be convicted for up to 15 years for spreading "false information" about the military campaign in Ukraine. In such a climate, Maria, an English professor at a university, is cautious about how she behaves and what she says in public. Outside her circle of close friends, she hides her pacifist convictions and her fondness for Ukrainian culture. She doesn't discuss politics with her colleagues, and lives in fear that somebody could denounce her for reading Western news or social media sites blocked in Russia that she accesses through a VPN. English itself is now considered an "enemy language" that raises suspicions, said Maria, who asked for her surname to be withheld. When she is reading news articles on her phone on public transport, she said she "immediately closes" the page and starts playing a game "if I realise there is a person next to me not reading anything but just looking around". Fearing her phone will be searched at passport control, she cleanses it before travelling of any chats where the fighting in Ukraine might have been mentioned. She is also afraid to wear her vyshyvanka, a traditional stitched Ukrainian shirt, in public, and shuns combining yellow and blue clothes -- the colours of the Ukrainian flag. - 'Do not dare' - After a brief eruption of anti-conflict rallies in February 2022, the Kremlin has since stymied almost all shows of public opposition. "People do not dare to protest, do not dare to speak out," said Svetlana Gannushkina, a prominent Russian rights activist who has been labelled a "foreign agent". Heavy sentences for regime critics along with harsh treatment of prisoners has scared many into silence, she said. Gannushkina pointed to what she called a "historical, maybe even genetic, fear" in a country that has seen multiple bouts of political repression -- from serfdom in the Russian Empire, the Bolsheviks' "Red Terror" after the 1917 Revolution and the 1930s purges under Stalin. Her Memorial group worked to preserve the memory of victims of Communist repression and campaigned against modern rights violations until Russian authorities shut it down in 2021. Through history, repression has repeatedly "divided society into those who were ready to submit and those who did not want to, understood that resistance leads to nothing, and left", Gannushkina told AFP. "History has made a kind of natural selection... And now we've got a whole generation of people who are not ready to resist." - 'Slave to fear' - For Soviet dissident Alexander Podrabinek, 71, fear "is not an ethnic, national or genetic peculiarity" specific to Russia. "I have visited several totalitarian countries besides the Soviet Union and the situation is basically the same everywhere," he told AFP. "Fear is the main obstacle to a normal life in our country... Fear demoralises people, deprives them of their freedom." "Someone who is afraid is no longer free. They become a slave to their fear, living without being able to realise their potential," he added. Podrabinek was exiled to Russia's Siberia in 1978 and then imprisoned in 1981 after writing a book on punitive psychiatry in the USSR. Despite pressure from the KGB security services, he refused to leave the country. "The only thing that can overcome fear," he said, "is the conviction that you are right." bur/gil/smw WICHITA FALLS (KFDX/KJTL) Local LGBTQ activists are speaking out against a recent change disallowing ID holders from changing their sex markers. In late August, Sheri Gipson with Texas State Health Services sent an email to employees notifying them that court orders were no longer acceptable documents for sex change on drivers licenses. Though it was uncovered weeks ago, confronting what Opal Center Treasurer Zachary Zoet calls government overreach is always applicable. I think any Texan should be disquieted and alarmed by this process because what we have is a government agency effectively overruling the courts, overruling precedents, and quietly rolling out a process where they are denying persons the right to have the correct documentation, he said. And, for trans individuals like Metropolitan Community Church Pastor Mel Martinez, having an unaligned sex marked on IDs can usher in mistreatment. Lets say I presented more masculine, and I had my ID, and it has the F on me, and the person whos receiving it has some opinions, Martinez said. Then Ive opened myself up to verbal abuse, possible physical abuse, certainly harassment. The statement, released to KUT News, states changes will only be made to sex markers in matters of clerical issues, saying: The validity of such documents is currently under review by office of the director to ensure that all state and federal guidelines are being met. for current DL/ID holders, the sex established at the time of original application and listed in the driver record will not be changed unless there was a clerical error. However, Gipson said no changes will be made to the renewal process, stating: This does not mean we will deny the issuance of or renewal of the DL/ID. The issuance can proceed with documentation on file, and this decision will be left up to the customer. If a first-time applicant presents conflicting documents, such as a birth certificate with a court order requiring a sex change, the sex listed on the original birth certificate will take precedence to record the sex. According to KUT, the statement also requests sex change court orders be reported. The last time I recall the United States making a list of certain kinds of persons was the McCarthy era, Martinez said. I dont want to go back there. I would hope that our country would not want to go back there. This is a slippery slope. And in Texoma, the pair said it will affect our neighbors negatively if it already hasnt. We have members of our trans community in town that identify as Conservatives and vote Conservatively, Zoet said. This is an issue that cuts across political parties. Its an issue of government overreach. Its an issue of infringing personal liberties. My focus is finding the polling stations that are going to be safe. Ive already been received a heads up from someone who at the last election, Martinez said. She was accosted, assaulted at the polling station. I fear were going to see a lot more of that. So, because I dont like to be a person whos afraid, I am working on ways to make sure that doesnt happen. Zoet said that if youre struggling with gender dysphoria or any LGBTQ-related issues, the Opal Center offers free and low-cost mental health counseling. For more information, call their office at (940) 247-4344. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Texomashomepage.com. BIRMINGHAM, Ala. (WIAT) A Birmingham-based nonprofit organization is hosting a fundraiser next week featuring live storytelling performances. On Sept. 19, Small Magic will host their annual Storytime fundraising event. Alongside performances, the event will feature an interactive installation illustrating the importance of early learning and its impact on Birmingham families. In collaboration with Thank You Books, the event will also feature a book fair for grown-ups. Visitors will have the chance to fill a custom tote bag with new books. The event will last from 5:30 p.m. to 7:30 p.m. at Lumi on 2202 2nd Avenue South. James Jones, longtime Alabama journalist who led Selma Times-Journal as managing editor, dies We are thrilled to invite the community to our second annual Storytime event, said Ruth Ann Moss, Executive Director of Small Magic. Last years event was a success, celebrating both the power of stories and the generosity of our community. Were grateful to our incredible partners and sponsors for making it a reality. This year, we hope to continue that tradition and create an unforgettable night that highlights the importance of early literacy and the role Small Magic plays in our community. The theme of the event is Chapter 2: Surprises. It will feature guests such as Alabama Poet Laureate Ashley Jones, Alabama Jazz Hall of Fame member Jose Carr, City Councilor J.T. Moore and others. Small Magic is focused on maximizing childrens school readiness and future economic mobility. They utilize community-centered and evidence-based approaches to ensure that local children have the future they deserve. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CBS 42. A Spotsylvania man who fractured the skull and rib of his infant daughter earlier this year was ordered Friday to serve 12 years in prison. Timothy Scott Pitzer, 37, pleaded guilty in Spotsylvania Circuit Court to two counts of child abuse and two counts of child endangerment. He was sentenced to a total of 30 years with 20 years suspended on those convictions. He received an additional two years for a probation violation conviction stemming from a prior child abuse case in Spotsylvania in 2021. Judge William Glovers sentence was well above the recommended state sentencing guidelines, which called for a maximum sentence of just over three years. Prosecutor Crystal Montague- Holland said the extra time is merited, claiming that this was the fourth time Pitzer has caused injuries to infants that required a hospital visit. The latest investigation began on May 23, when a Spotsylvania detective and a Child Protective Services worker went to Spotsylvania Regional Medical Center to investigate an unexplained skull fracture on a 2-month-old girl. The childs mother told authorities that the baby had been extra fuzzy for about a week, but she was trying to wait until an upcoming wellness visit to have her seen by a doctor. A doctor requested an X-ray after finding a soft spot on the babys head. He also discovered a healing rib fracture that the doctor determined was not a recent injury. Court records state that Pitzer, the childs father, eventually admitted hitting the childs head on a rocking chair while rocking her. He also admitted squeezing the baby too hard in April, causing the broken rib. Detective Matthew Coerpers investigation also included a phone sting between Pitzer and the babys mother. During that call, he admitted being arrested in Pennsylvania for punching another infant who he is no longer allowed to have contact with. Pitzer explained that he meant to punch the bed, but hit the child instead. He added that he sometimes gets very upset when dealing with his children and had trouble venting his frustrations. Attorney Libby Baughan represented Pitzer. Smiling Lib Dems plan to make the most of election success Sir Ed Davey celebrated with supporters on election night as the party won a record number of MPs [PA Media] Liberal Democrat smiles will be so broad in the next few days they will stretch from the end of Brighton Pier to the northern French coast. The party is gathering for its autumn conference at the Sussex seaside and it seems reasonable to forecast an incoming tide of jubilance. A party pulverised to smithereens after its years in coalition with the Conservatives is back and back big time - and they cant quite believe it. A few months back you could get all their MPs in a minibus. Now - with 72 of them - you would need a double decker at least. The 2024 general election was their best performance since the present day party was established in 1988. And, more than that, it saw the highest number of seats won by a Liberal party for more than a century. Speaking to some of the partys senior figures, they are already talking about the next general election. Their plan, as one put it to me, is to "finish the job". By that they mean, if not quite wiping out the Conservatives, chipping away yet further at what had long seemed impermeable Tory territory primarily in southern England. A splash of hubris, I wonder? Maybe, but then again some Conservatives privately are already fretting about how hard it may prove to dislodge recently victorious Lib Dems. The party has a reputation at Westminster for their capacity to dig themselves into constituencies and build strong local reputations. And, come the next general election, unlike Labour, they wont have a governing record to defend. But lets not get ahead of ourselves. For now, their new MPs are still finding their feet and their voice - as their leader Sir Ed Davey revels in his new-found prominence: a guaranteed spot at Prime Ministers Questions every week and miles more media attention. So what can we expect from this conference? Plenty of celebration, yes, plus an attempt to cement a reputation as the party of hope. The tone of politics is something Sir Ed has given considerable thought to, after his mix of wild capers, bungee jump included, and profound personal reflection - a party political broadcast that focused on the loss of his parents as a child and his disabled son - appeared to contribute to their election success. The prime minister acknowledged to me the other day that what some see as his miserabilist tone, talk of things getting worse before they get better, has been cast as doom and gloom, and I get that". Combine this with the angry, noisy politics of recent years and Sir Ed hopes he can colonise a gap in the political market for those who want a more positive tone. And what about policy? Expect them to double down on the NHS and social care. They believe this brought clarity and dividends at the election. The leadership has no desire to be a flotilla of small causes. Speaking to some of their new MPs I do wonder how long some may be able to resist talking about Brexit. Once unabashedly bashing of Brexit, the Lib Dems managed to pretty much avoid talking about it during the election. How long might that last, particularly if sluggish economic growth persists allowing pro-Europeans to point to analysis such as this from the Office for Budget Responsibility about the economic impact of the UKs departure from the European Union? What does Liberal Democrat success look like? For me, this is the most intriguing question for the party. What does it do in this parliament, how does it make an impact? An avowedly anti-Conservative party now confronts a Labour government with an imperious majority. How can or should it get noticed and effect change? This is a big, open and not-immediately-obvious-to-answer conundrum. But for now, for them, it is a nice conundrum to have, after a near decade of near irrelevance. They will make the most of this moment and perhaps little wonder. In the last 15 years, the four biggest parties in UK politics have all experienced the highest of highs and the lowest of lows. Labour went from their biggest defeat since 1935 in 2019, to a stonking majority in July. The Conservatives went from a huge win in 2019 to the worst in its parliamentary history. The Scottish National Party had 56 MPs in 2015, every seat bar three in Scotland. They now have nine. And the Liberal Democrats went from coalition government in 2010, to near wipe out in 2015, to Himalayan political altitude now. Who knows what is around the corner for any of them. They all conclude its worth smiling when you can. A January 1973 photo of Daniel Ellsberg, left, addressing the media outside the Federal Building in Los Angeles during the Pentagon Papers trial. (Jim Trageser) Hunkered over a Xerox machine at an ad agency above a flower shop on Melrose Avenue, Daniel Ellsberg began the laborious process of photocopying the smuggled documents that he hoped would end the Vietnam War. Earlier that day in Octobert 1969, he had opened the safe in his office at Rand in Santa Monica, where he worked as an analyst, and removed the first batch of top-secret papers. He had walked past the lobby guards with his briefcase, waving casually. I took it for granted that what I was doing violated some law, Ellsberg wrote in his 2002 book "Secrets: A Memoir of Vietnam and the Pentagon Papers." His conscience had been eating him for years. He had been a Marine, a dedicated Cold Warrior and a Pentagon consultant advising the architects of the Vietnam War, accepting the premise that it might thwart a Stalinist dictatorship and promote democracy. At 38, he had become disillusioned with what he called a hopeless and interminable war, one built as he hoped the public would grasp from the papers on decades of lies. There were 47 volumes, consisting of 7,000 pages documenting American military decisions across two decades. They showed that optimistic speeches about the war masked much grimmer behind-the-scenes assessments, and that keeping American presidents from the stigma of humiliating defeat was a dominant aim of continuing the war. The papers showed repetitive patterns of internal pessimism and of desperate escalation and deception of the public in the face of what was, realistically, hopeless stalemate, as Ellsberg put it in his book. Anthony Russo enters a courtroom in Los Angeles where he acknowledged he had "broke the rules" in duplicating the top-secret documents. "It was my duty as an American citizen," he said. (Bettmann Archive) A former Rand colleague, Anthony Russo, had encouraged Ellsberg to leak the papers to the media. Russo's girlfriend ran an ad agency and offered the use of her Xerox machine. Ellsberg made copies after hours, sometimes bringing his 13-year-old son to help. If the government locked him up, as seemed plausible, he would be unable to support his kids. But he viewed the stakes as larger than me, or even my own family. Ellsberg slipped the papers to the New York Times, which began publishing them in June 1971. Other newspapers followed, despite fury from the Nixon administration, and the U.S. Supreme Court decision affirming the media's right to publish became a 1st Amendment landmark. Steven Spielberg made a movie about it. Less remembered was the criminal prosecution that followed in Los Angeles. The panic engulfing the Nixon White House and its loathing for Ellsberg would be captured in Oval Office tapes. To the presidents advisers, Ellsberg was a traitor responsible for an attack on the whole integrity of government and a devastating security breach of the greatest magnitude. Enraged to see Ellsberg portrayed as a national hero, Nixon vowed to destroy him, declaring: Weve got to get this son of a bitch." The Justice Department indicted Ellsberg under the Espionage Act, and he faced a possible 115 years in prison if convicted of conspiracy, espionage and theft of government property. When he and co-defendant Russo went on trial in downtown Los Angeles in 1972, the questions were large: Had the disclosures jeopardized national security? Were there circumstances in which the governments zeal for secrecy could be overridden in the public interest? Daniel Ellsberg, former Defense Department researcher who leaked the top-secret Pentagon Papers to the press, testifies before an unofficial House panel investigating the significance of the documents in 1971. (Associated Press) Mark Rosenbaum was a Harvard Law School student when he took a leave of absence to assist the defense team. This is, to my knowledge, the first trial in the history of the country that really examined the manner in which the government lied to its people, Rosenbaum, a longtime public interest attorney in Los Angeles, recently told The Times. Most people took what the government said as the truth. This trial blew that open. In 2024, its hard to remember how radical and revelatory that was. On trial was not just American foreign policy but the whole system for classifying documents, Rosenbaum said. We showed that what was classified as secret or top secret was really an attempt to [suppress] politically embarrassing material. The defense team believed that Nixons DOJ wanted the trial to take place on the West Coast in hopes of finding favorable jurors from the aerospace industry, which was heavily dependent on the Defense Department. Rosenbaum recalled that the jury was picked from a lot of retired government employees who seemed hellbent on conviction. You could feel the bad vibes, he said. But appellate arguments caused a months-long delay, and a new jury was picked that seemed friendlier to Ellsbergs side. There was little disagreement on the facts. No one disputed that Ellsberg had taken the papers and photocopied them. But the defense argued that the disclosure did not imperil national defense, that the documents dealt with past presidential administrations, and that much of the material had been in the public domain anyway, albeit in scattered form. And before giving the papers to reporters, Ellsberg had tried unsuccessfully to make them public by slipping them to members of Congress. Daniel Ellsberg, chief defendant in the Pentagon Papers case, addresses a crowd in Harrisburg, Pa., in 1972 after an antiwar parade that ended at the state Capitol. (Rusty Kennedy / Associated Press) But Congress was in the grip of a stranglehold by the executive branch, defense attorney Charles Nesson told the judge, adding: There was no way other than the one defendants chose. In a recent interview with The Times, Nesson, now 85, said another thrust of the defense was that Ellsberg had not actually stolen government property. He had photocopied documents and returned the originals. What he had done was divulge information but "the government doesn't own information." In late April 1973, deep into the trial, U.S. District Judge Matt Byrne handed Ellsbergs attorneys startling reports he had received from the Justice Department. The White House-directed team of operatives known as the plumbers had broken into the Beverly Hills office of Ellsbergs psychiatrist, looking for compromising material. Late one night, Rosenbaum said, he and another young attorney drove to the small East Los Angeles home of a woman who had cleaned the psychiatrists office and seen the burglars. The lawyers had an issue of Time magazine featuring a photo of Watergate conspirator G. Gordon Liddy. The cleaning lady identified him as one of the burglars, Rosenbaum said. That began to break things open, Rosenbaum said. In another revelation damning to the government, the FBI admitted it had captured Ellsbergs voice on wiretap surveillance years earlier and that the transcripts had vanished. But what finally derailed the case was the Nixon administration's unseemly overtures to the judge. The defense team learned that Judge Byrne had visited the presidents San Clemente home mid-trial, and that Nixon had offered him the job of running the FBI. It amounted to Nixon "trying to bribe the judge to somehow get a conviction," Nesson said. It was "a kind of capstone to the misbehavior that Ellsberg was disclosing." The judge acknowledged the San Clemente meeting but said he rejected the FBI job. Shortly afterward, Nesson said, he got a call that the judge had been spotted in a park with Nixon aide John Ehrlichman. "I knew that was a killer," Nesson said. "The judge was finally cornered." Defense lawyers debated whether to spring the information on the judge in court or inform him privately. Nesson opted to call his chambers. "I've never really regretted the professional courtesy involved in putting him on notice that he was about to get killed, effectively," Nesson said. In May 1973, Byrne declared a mistrial and dismissed all charges against Ellsberg and Russo on the basis of government misconduct, saying: The bizarre events have incurably infected the prosecution of this case. Daniel Ellsberg is all smiles with his wife Pat as they emerge from the Federal Building in Los Angeles on May 11, 1973, shortly after the judge in the Pentagon Papers case dismissed all espionage, theft and conspiracy charges against Ellsberg and his co-defendant, Anthony Russo. (Associated Press) Jurors came by the office that defense attorneys had established in downtown L.A. It was clear that many had been in favor of acquittal. The leaked papers and the resulting trial fueled a distrust and cynicism about government that only intensified as the Watergate scandal played out. Did Ellsberg's leak help end the war? As Ellsberg argued in his book, fighting the Watergate scandal prevented Nixon from blocking a congressional resolution to halt bombing. And Nixon's attempt to cover up the criminal capers of White House operatives such as the break-in at the office of Ellsberg's psychiatrist would figure largely in his disgrace and resignation. With stricter laws about the release of government secrets, Rosenbaum said the Ellsberg defense would stand little chance in court today. Today it would be open and shut in favor of the government, Rosenbaum said, since now the sole burden on the government is to prove the document is classified, and thats the end of it. Was the document classified? Yes. Done. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. CENTRAL TEXAS - Students were not far into the semester when school threats were made to several districts in the Austin area. In one week, several social media posts resulted in the arrests of around half a dozen children in Central Texas. Adults and students were caught posting threats online. In only a matter of days, the false reports popped up in districts throughout the state. Charles Upshaw told FOX 7 how he felt about the threat made to his children's elementary school. "Pretty scared," said Charles Upshaw. "It's something that every parent worries about these days." MORE STORIES: According to the press release from Hays CISD, they have detained and arrested three sixth graders for two incidents this week. One of the online posts specifically mentioned a shooting threat at Simon Middle School and the student, along with her friend who attended Wallace Middle School. Both students were charged with the equivalent of a state jail felony for the false alarm. "I don't understand it," said Upshaw. "It's not funny at all. If people are doing it as a joke, it is extremely terrifying and saddening." The other incident at Hays CISD was made on Thursday by a sixth grader from McCormick Middle School. That student was charged with making a terroristic threat, which is equivalent to a third-degree felony. The district stated the student did not have the means to carry out this threat but did admit to making one. Tim Giskin lives across the street from Hill Elementary School and was surprised to hear about the threat. Although his children are grown now, they used to go to class there. "It's kind of baffling why anybody would threaten the school, honestly, or the kids who go here," said Tim Giskin. The troubling trend has school districts on alert across the state, forcing them to ramp up police presence on campuses, including Hill Elementary School in Northwest Austin. "I think they handled it pretty well," said Upshaw. "Yeah, I appreciate that. They were very proactive in their communication and that they had, you know, additional law enforcement on site even though the person was already arrested." Avery Young, 29. Avery Young, 29, was arrested on Thursday for the threat made to the Austin ISD elementary school. He is charged with terroristic threat and held on a $100,000 bond. "This is such a good school or quiet area, no problems ever," said Giskin. "My kids just crossed the street when they weren't here." Marble Falls ISD also received an online threat on Thursday and has since increased its police presence on campus. Additionally, districts were put on alert in Johnson City, Cedar Park, and other Hill Country communities. Marble Falls ISD's letter to parents encouraged them to have these conversations with their children about the repercussions of making false threats and how important it is to report anything they see that is suspicious online. Solo travel is my secret to a healthy marriage. Spending time apart reminds me of why we got married. The author says that being away from her husband reminds her why they wanted to get married in the first place. Courtesy of the author I've been married for 12 years but travel by myself often. A coworker even asked me once if I was in an open marriage because of my solo travels. We recognize our dreams as a couple, but also as individuals. "Do you have an open marriage?" Perplexed by my coworker's question, I responded with a blank stare. "You're always solo traveling, but you're married. Do you have an open marriage?" he asked again. If you look at my social media, you will see that I have frequently solo traveled throughout my 12-year marriage. I've hiked through Patagonia, slept in a treehouse in the Amazon in Peru, rode elephants in Jaipur, India, and taken a road trip in a motorcycle sidecar from Beijing to the Great Wall of China. Whenever I share these travel stories, it's only a matter of time before someone inquires, "Did your husband go with you?" While solo travel is seen as unconventional for most long-term relationships, it has established trust, a greater sense of self, and strengthened the strong bond between my husband and me. Solo travel is my secret to a healthy marriage. Maintaining a sense of autonomy in our relationship In 2010, I was a broke college student who was determined to travel as much as financially possible using my hard-earned tips from a bartending gig. That year, I decided to visit a place that had long been on my travel list: San Francisco. The timing of the trip wasn't great. As a student, I could only manage to travel during the December holidays, which are among the most expensive times to travel. As I began to consider canceling my trip, a friend of mine encouraged me to try CouchSurfing. The following week, I found myself at the corner of 18th Street and Lexington in San Francisco's Mission District to meet my Couchsurfing host, Matt. I never would have guessed that 16 months after meeting, we'd be married. Throughout our relationship, we have always recognized that we have dreams as a couple, but we also have individual dreams that shouldn't be put on the back burner. Those individual dreams give us a sense of joy and personal accomplishment that enables us to be our best selves within our relationship. Maintaining this sense of autonomy within our marriage has helped us to avoid any resentment. I needed to prioritize self-care In the years that followed our 2012 wedding, life could best be described as often being very busy and loud. I worked in television for five years and co-own a summer camp with my husband. My phone had become my constant companion; the sounds of its rings and constant pings were the soundtrack of daily life. Solo travel allows me to slow down and remove the power that life's demands can have. I often travel to remote places that have limited access to Wi-Fi or cell service, so I'm forced to unplug from the world. When I travel solo, I am forced to sit with myself and examine things that are boiling under the surface. In 2022, I took solitude in Peru's Amazon rainforest. I used this time to dissect the deep unhappiness I had been experiencing and realized my job was eating me alive. I return from my solo travels relaxed, grounded, and in tune with what I want out of life leading me to be my authentic self and creating a deeper connection with my husband. Spending time apart reminds me of why we married The old saying is true, "Absence makes the heart grow fonder." As co-owners of a summer camp, our relationship is intertwined personally and professionally. It's easy to lose sight of your partnership's romantic side when managing a business together. Spending time apart reminds us of the reasons we got together in the first place and the qualities each of us brings to our relationship. Missing each other is good for the heart those butterflies from your dating days begin to flutter again. It could also be said that we have both developed new love languages thanks to solo travel; it has created new ways for us to connect. My travels give me new stories to share with my husband, and I often return home to letters he has written to me while I've been away. I'm always sure to find some international delicacies to bring home to my husband, who is a big foodie hot sauces from Colombia, ramen noodles kits from Japan, and coffee from Guatemala. When I say solo travel has changed my life, I mean it literally. A chance encounter that led to marriage would have never happened if I had chickened out on that winter day in 2010 and not shown up at those San Francisco cross streets. Doing things that social conventions frown upon has led to a life of continued self-growth and mindfulness and, in turn, a kind of deep happiness that makes you pinch yourself. Rather than fend off questions like my coworker's, I smile to myself because solo travel is my secret to a happy marriage. Read the original article on Business Insider As Democrats continue to snatch back the mantle of freedom, some younger Black voters feel that the message rings a little bit hollow. Yes, theyre enthusiastic that Vice President Kamala Harris is at the top of a major political partys ticket. But theyre also concerned that the partys calls for freedom and a more inclusive democracy are too narrow that they ignore the plight of Palestinians. This friction contributes to a generational divide. One pollster, per The New York Times, observed an almost 30-point gap in support for Democrats between Black voters from the ages of 18 through 49 and Black voters 50 and over. Closing this gap will require Democrats to engage more intentionally and directly with frustrated voters, or else they might sit out the election altogether. Seeing a Black woman on the cusp of making history is so exciting, and it makes me excited to be a part of this work, Briana Thomas, the development and operations manager at the Georgia Youth Justice Coalition, a grassroots collective of Black, brown, LGBTQ, and working class students and their allies, told Capital B. Still, Thomas continued, some younger Black voters definitely want to see Democrats adopt a more muscular approach to ending conflicts abroad, for instance by pushing to restrict arms sales to Israel. Since the Israel-Hamas war began nearly a year ago, more than 41,000 Palestinians have been killed in Gaza, according to the territorys Health Ministry. This devastation has displaced around 90% of Gazas population. Read More: Beyonce, Democrats, and the Fight for Freedom The far-reaching human rights vision thats especially common among younger Black voters was on full display at the Congressional Black Caucus Foundations annual legislative conference in Washington, D.C., this week. In a jam-packed room at the Walter E. Washington Convention Center, Deyona Burton, the national programs director for the College Democrats of America, said that her mother often asks her why she cares so much about whats going on elsewhere in the world to communities that dont involve her. Her response? Its mostly because I see [the violence] almost every single day, Burton told an audience made up of younger and older Black voters alike. I open up my feed, and even if Im looking for a laugh or trying to find a meme or a reel, I always see something about whats happening in Gaza. Her comments, delivered during a panel that focused on Black youth advocacy, were consistent with what Kenya Cummings, the executive director of the South Carolina Housing Justice Network, a Charleston-based tenants rights organization, told Capital B earlier this year. Cummings explained that an entire generation of younger people has learned what mass death and complicity mean because of the humanitarian catastrophe in Gaza. They added that theres a lot of Black and brown solidarity with Palestinians, particularly when it comes to understanding the impact of being the target of state-sanctioned violence. In fact, that kinship was the theme of a separate panel that featured U.S. Reps. Cori Bush of Missouri and Rashida Tlaib of Michigan, Georgia state Rep. Ruwa Romman, the scholar Marc Lamont Hill, and the journalist Mehdi Hasan. We need to be thinking globally, Romman, the first Palestinian American elected to any office in Georgia, declared in a room that was so crowded that people had to stand in the hallway and crane their necks to view the stage. Fueled by their abiding compassion for Palestinians, some younger Black voters have found it difficult to get fully on board with Democrats, who are reluctant to break the countrys long-standing alliance with Israel and jeopardize their ability to govern. We need to question people in power How might Democrats improve their relationship with younger Black voters? For starters, by talking about the issues that they actually want to talk about. To an extent, Harris has done a better job of this than President Joe Biden. Recall how, ahead of a campaign stop in Detroit last month, she met briefly with Abbas Alawieh and Layla Elabed, two leaders of the uncommitted movement, who expressed their anger with Democrats over their refusal to impose an arms embargo on Israel and asked to meet to discuss U.S. foreign policy. Alawieh and Elabed were hopeful that Democrats, with a new standard-bearer, would be more open to having tough conversations about the bombing of Gaza. They said to Mother Jones that Harris empathy toward them and her comprehension of human suffering did feel genuine. But weeks later, a setback: No Palestinian American was granted a speaking slot at the four-day Democratic National Convention. To party leaders, this was probably a way to avoid potentially squandering their newfound competitiveness in the presidential race or angering certain organizations, such as the American Israel Public Affairs Committee. AIPAC is an influential pro-Israel lobbying group that has demonstrated that it has the power to dash political ambitions. Days after the DNC, U.S. Rep. Alexandria Ocasio-Cortez of New York, who often clashes with AIPAC, laid out the challenges of walking this tightrope: Its not enough to be right. We need to be right and WIN, she noted on social media. I believe that we have a moral obligation to be EFFECTIVE in addition to having a just stance, otherwise who are we doing this for? To others, the exclusion of a Palestinian American voice was an unforced error one that exemplified the partys tendency to evade grappling with the politically fraught topic. With the younger generation, theres a lot more questioning which is good, Tristan St. John, a senior at Morehouse College who also works with the Georgia Youth Justice Coalition, told Capital B. I feel as though we need to question people in power these politicians and make sure that our demands are being met. Read More: Can Kamala Harris Recreate the Political Momentum of 2008? And of course, location matters, too. Burton, during her panel, emphasized the importance of Democrats seeking out areas where younger Black voters are likely to be, or sending trusted messengers on their behalf. Its nothing for me to go into these barbershops or hair salons in my community and speak with people about the issues I care about because I am the people, she said. Were even talking about doing voter registration in the club because you have to go where the people are. (After that remark, Niccara Campbell Wallace, the panels moderator and the executive director of the Rolling Sea Action Fund, a Black mobilization organization, quipped, We can blow hookah smoke and register to vote. I know thats right.) Burtons broader point was a significant one: Possessing a desire to have a dialogue with younger Black voters about Gaza or any of the other issues animating them is meaningful only if Democrats know where they are, and can forge bonds with them there. Or as she put it, You cant engage the community without knowing the community. And we have something to say. Staff writer Aallyah Wright contributed to this report. The post We Have Something to Say: Younger Black Voters Want Attention on Gaza appeared first on Capital B News. The opening day of Africa Oil Week and Green Energy Africa Summit in Cape Town (Reuters) - South Africa's Deputy President Paul Mashatile was fine after having struggled with the heat while giving a speech on Saturday afternoon, the premier of Limpopo province told state broadcaster SABC. Mashatile collapsed while addressing a gathering commemorating the inauguration of a local traditional leader in Tzaneen, Limpopo province, 412 kilometres (256 miles) north east of Johannensburg, SABC reported. Limpopo's provincial premier Phophi Ramathuba, a medical doctor, told the the broadcaster Mashatile was not in danger, having struggled with the heat towards the end of his speech. "The deputy president is fine, he is with his medical team. I was with them, he's ok and there's no need to worry," Ramathuba said. (Reporting by Nelson Banya; Editing by Peter Graff) SOUTH KINGSTOWN, R.I. (WPRI) South County Health leaders are working to reassure the public that South County Hospital is in good shape, despite recent backlash over alleged management issues. During a press conference Friday, South County Health addressed the ongoing controversies after a two-day investigation found no deficient practice at the hospital. The team had no concerns. All the services were confirmed to be operational and there are no current or anticipated gaps that were identified in patient care, service quality or safety, said Deborah Randall, assistant vice president of quality and regulatory compliance. MORE: South County Hospital patients demand action amid uncertainty The reassurance comes amid calls from patients for state intervention and the removal of the hospitals top executives, including CEO Aaron Robinson, Board of Trustees Chairman Joseph Matthews, and Chief Medical Officer Keven Charpentier. Nearly the entire oncology department resigned in August, citing irreconcilable differences with management in a letter addressed to the Board of Trustees. During a recent interview, Robinson told Target 12 these issues stem from underfunding and that some difficult decisions were necessary to keep the hospital financially viable. Patients, part of Save South County Hospital 2024, expressed their concerns on Thursday, after learning that three of the four current oncologists will leave by the end of October. To hear that this wonderful oncology team has resigned and other crucial doctors are leaving is heartbreaking, South County Hospital patient Christine Siravo said. Meanwhile, Charpentier said the hospital is committed to ensuring a smooth transition for oncology patients. The executive leadership team and the senior leadership team at South County Health have worked tirelessly in efforts to make the upcoming transition for our oncology patients from one physician to another as smooth as reasonably possible, he said, adding that despite the anxiety and concerns, there will be no lapse in care during this period. TARGET 12: South County Hospital leaders defend record as open letter calls for new management Save South County Hospital responded to Fridays briefing by stating, The hospitals effort seems to be to combat and refute the public outcry. They asked federal legislators for a fact-check to ensure the DHHS form, which stated there was no deficient practice, covered every concern raised in their initial letter. Additionally, they asked State Health Director Jerome Larkin for the full report on the hospital, an explanation as to why they were not informed at the same time as the hospital, and proof that each issue was examined. We call on Governor McKee and Attorney General Neronha to examine this practice and relationship regarding information supplied to the public complaining to state officials, and help to save this community hospital from mismanagement to ensure its survival in capable hands in a vastly changing health landscape, the group said in a release. The group believes the hospital is in crisis, but emphasized they are not claiming care is inadequate, only that managements decisions may begin to jeopardize it. AHEAD: Thundermist Health Center lays off 124 employees Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily Roundup Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WPRI.com. (Bloomberg) -- South Sudan postponed elections that were due in December for two years, extending the mandate of the nations transition government. Most Read from Bloomberg The delay will allow for the implementation of critical remaining protocols agreed under a 2018 peace accord to end a civil war in the East African nation, President Salva Kiirs office said in a Sept. 13 statement. These include the drafting of a permanent constitution, a census, and the registration of political parties, it said. The extension is in response to the recommendations from both electoral institutions and the security sector, the office said. South Sudan has been beset by conflict since it seceded from its northern neighbor, Sudan, in 2011. The civil war that erupted in 2013 caused almost 400,000 excess deaths, according to a US State Department-funded study. The United Nations warned in March that the country needs to manage the election carefully to ensure chaos doesnt ensue after the vote. South Sudan has faced intensified fights over resources, high unemployment, political competition among the ruling elite, increased inter-communal clashes and the added strain of returnees and refugees escaping the conflict in neighboring Sudan, the UN said in July. If elections arent managed carefully, there is a potential for violence with disastrous consequences for an already fragile country and the wider region, it added. Most Read from Bloomberg Businessweek 2024 Bloomberg L.P. Authorities in Ventura are investigating a hazmat situation involving an irritant being released in a grocery store. According to the Ventura City Fire Department, fire and medical units were dispatched to the WinCo Foods on Telephone Road around 9:15 p.m. Friday on calls of a reported hazard with multiple victims stating they had eye, throat and nasal irritation. Firefighters arrived within five minutes of dispatch to find a large grocery store with approximately 40 to 50 people outside and dispersing, fire officials said in a statement. The store was shut down immediately and all customers and employees were advised to leave. Video: Man punches suspected DUI driver after crashing into food truck, injuring customer Fire crews checked the store for hazardous materials using air monitoring devices while paramedics evaluated the customers and employees in the stores parking lot. No one was hospitalized, officials said, and the store was deemed safe and able to reopen within an hour. A suspect appeared to have left the area after releasing the irritant in a large area, fire officials added. No description surrounding the suspect was released. The Ventura Police Department is investigating the incident. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTLA. A firefighter sprays a mixture of water and foam during a demonstration of a soy-based firefighting foam on Sept. 10, 2024, in West Fargo, North Dakota. (Photo by Jeff Beach/North Dakota Monitor) In the search for a more eco-friendly firefighting foam, soybeans may be the answer to moving away from forever chemicals. Forever chemicals has become the common term for perfluoroalkyl and polyfluoroalkyl substances, also known as PFAS. The Environmental Protection Agency calls them widely used, long-lasting chemicals that break down very slowly over time. They also have been a common ingredient in foam used to fight fires and have been linked to high rates of cancer among firefighters. While PFAS contamination is bad and something that were sorting through, its very effective as a firefighting foam. And folks whose lives have been saved by it likely appreciate that, Adam Driscoll of Minneapolis-based Barr Engineering told a North Dakota conference of the Air and Waste Management Association in Bismarck last week. Thats the difficult decision that folks are wrestling with at the moment. But one alternative may be a foam based out of soybeans that is completely biodegradable. Cross Plains Solutions demonstrated its firefighting foam at the Big Iron Farm and Construction Show in West Fargo this week. The company launched its product in April with the help of investment from the United Soybean Board, and state groups, including North Dakota. The groups are funded through a checkoff, a fraction of the price of each bushel of soybeans sold that is used to pay for things such as research and development of new products, such as the firefighting foam. What better way to use checkoff dollars and funding something that, not only our farmers receive benefit from, but also those that are out there fighting fires, said Cindy Pulskamp of Hillsboro, a member of the United Soybean Board. John Hejl is both a soybean farmer and a firefighter. He farms near Casselton, west of Fargo, and is the chief of the Casselton Volunteer Fire Department, which serves a large rural area. He said he had been looking for an alternative to PFAS foams and has been impressed with the Cross Plains Solutions product. He said the foam reduces the amount of water firefighters need to put out a fire. During the demonstration, firefighters set fire to diesel fuel contained within a barrel that had been cut in half. Foam was sprayed around the fire to prevent it from spreading and then used to douse the fire. The foams ability to create a barrier could have applications in prescribed burns, such as forests. Hejl said if it was a railcar full of diesel on fire, it would take hundreds of thousands of gallons of water to put it out without using foam. So by the time you put it out, its flowed into every ditch and stream and waterway for miles. If we can cover it with a blanket of foam and keep it in the ditch, then weve protected the lake and the river and the stream, Hejl said. Karolin Jappe is the emergency manager for McKenzie County, in the heart of North Dakotas Bakken oilfield. She said when volunteer firefighters respond to a fire at an oil facility, the company will sometimes ask if there is PFAS in the firefighting foam. The reason for the question is that, when the smoke clears, cleaning up the PFAS requires taking it to a special landfill, making the cleanup more expensive. There is no prohibition against it, Driscoll said of the PFAS foams. But then, once its used, it has to be dealt with. There are no prohibitions against disposing of PFAS in landfills in North Dakota, but landfills can reject any load, according to Ivana Striebel in the Department of Environmental Qualitys Hazardous Waste Program. If no municipal landfill in North Dakota would accept the contaminated soil, then the load could go to one of the states two industrial landfills. If the soil was rejected there, then the soil would have to be shipped out of state and most likely be disposed of as hazardous. Streibel said North Dakota has no hazardous materials dump sites. But with the biodegradable foam, there is no hazardous materials risk, unless whatever was burning was a hazardous substance. Alan Snipes, CEO of Cross Plains Solutions, said the companys product, SoyFoam, is the only biodegradable firefighting foam on the market and sits about mid-range on price compared to other foams. The product is manufactured in Georgia but Snipes said the company has identified other sites that can produce the foam if demand increases. SoyFoam represents a potential new market for soybeans, which can be used for many non-food products, including tires and shoes. Until recent months, most of the soybeans grown in North Dakota had been shipped to markets in Asia. The opening of two soybean crushing plants at Spritwood and Casselton means that North Dakota can process about half the soybeans it grows. Crushing separates the beans into oil, meal and hulls. The oil can be used in the food industry and heavy industry, for products such as renewable diesel. Soybean meal is largely used for livestock feed but can also be processed into flour that is the basis for the foam. We have more meal than we know what to do with, Hejl said. Dave Garlie is the primary developer of the Cross Plains Solutions SoyFoam product. Garlie, who did his graduate research in chemistry at North Dakota State University, lives in Wisconsin but the company is based in Georgia. He said one of the biggest challenges for the company is that different states and government agencies have different standards for firefighting foam. That means performing many different tests to prove that the product meets those standards. He said federal agencies such as the Department of Defense and the U.S. Forest Service have a huge need for biodegradable firefighting foam but each have a different certification process. He said the public has become more aware of the dangers of PFAS in firefighting and the company wants to demonstrate how safe its product is. What were trying to do is rebuild community confidence, Garlie said. Thats why were here at these farm technology shows. This story was originally published by North Dakota Monitor. Like Maine Morning Star, North Dakota Monitor is part of States Newsroom, a nonprofit news network supported by grants and a coalition of donors as a 501c(3) public charity. North Dakota Monitor maintains editorial independence. Contact Editor Amy Dalrymple for questions: info@northdakotamonitor.com. Follow North Dakota Monitor on Facebook and X. SUPPORT: YOU MAKE OUR WORK POSSIBLE Spain's Foreign Minister Jose Manuel Albares said that his country is "supporting" all ceasefire efforts by Qatar, Egypt and the United States to end the ongoing violence in Gaza. Albares's comments came after the Spanish government held a meeting with the Arab-Islamic contact group and European officials to discuss a two-state solution for the Israeli-Palestinian conflict. The meeting, hosted by Prime Minister Pedro Sanchez at the Moncloa Palace, brought together the Foreign Ministers of Palestine, Saudi Arabia, Slovenia, Norway, Turkey, and Egypt. The Secretary-General of the Arab League, the Minister of State for Qatar, and EU Foreign Policy Chief Josep Borrell were also present. United around the two-state solution Speaking to journalists, Albares emphasised that the contact group is currently "united in implementing the two-state solution." "The states gathered here formed a group that is not limited by geography, but united by a common goal to push for collective action by the international community," he said. He also called for the release of Israeli hostages and stressed the urgent need for "massive, immediate, and unimpeded entry of humanitarian aid" into the Gaza Strip. Spain, along with Norway and Ireland formally recognised a Palestinian state in May, a decision that sparked a strong reaction from Israel. FAYETTEVILLE, Ark. (KNWA/KFTA) A Springdale woman arrested in connection with a drug ring bust that led to five other arrests, pleaded not guilty earlier this week, according to court documents. Win Sin, 30 (Courtesy: Washington County Jail) Win Sin, 30, was arrested on August 23 by the 4th Judicial Drug Task Force on one count of accomplice to trafficking methamphetamine. Sin pleaded not guilty during her arraignment on September 11. Antwaine Jackson Sr., 43, Antwain Jackson Jr., 24, Marquesha Young, 32, Crystal Kitt, 37, and Shawn Williams, 50 were also arrested in connection with the bust. Jackson Sr. was reportedly identified as a multiple-pound distributor of methamphetamine in the Northwest Arkansas area and the focus of the investigation, according to preliminary police reports. Former Rogers youth pastor accused of sex crimes pleads guilty to reduced charge Detectives with the 4th Judicial Drug Task Force learned that Jackson Sr. would be receiving a shipment of meth from south Arkansas on August 23. Detectives surveilled Jacksons home, where they saw a vehicle driven by Williams and Young. Jackson Sr. and Sin, his wife, left the home in separate cars and went to a nearby storage unit. The report said he was seen entering a unit with a bag and leaving with a white box before returning to his home. Jackson Sr. and his wife returned home where Young left with a backpack and got into another vehicle with Jackson Sr. The report said police approached the vehicle and the two fled at a high speed before driving through multiple residential yards and crashing into a ditch. Both fled and were later apprehended. Police served a search warrant on the storage unit and found 7.5 pounds of marijuana inside. The report said Jackson Sr. threw six hydrocodone pills under the vehicle as he was being arrested and had nearly $1,400 in cash on him. Marijuana and a drug ledger were found in the vehicle and the backpack contained more than $5,000 and 12 ounces of marijuana. More than four pounds of meth and a small amount of cocaine were also found in a car registered to Sin. Huntsville man accused of shooting, injuring teen pleads not guilty Jackson Sr. spoke with police and said he had sold one to two pounds of meth a week for several months and Young provided him with the meth in exchange for marijuana and cash. Sin allegedly allowed Jackson Sr. to use her vehicle for drug deals and transferred money to an El Dorado man, later identified as the middleman, to buy meth. She also allowed transfers of money Jackson received during deals to be sent to one of her accounts, according to the report. Jackson Jr. was also part of the investigation. He was arrested after he attempted to flee from police at a Fayetteville Walmart. Police found two loaded firearms after searching his home, one of which was reportedly stolen out of the Fayetteville Police Department, and one pound of marijuana. Kitt was also arrested for her alleged involvement in the ring. Jackson Sr., Jackson Jr., Williams, Young, and Kitt are set to appear in court on September 18. Sins trial date was set for 8 a.m. on October 22. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KNWA FOX24. Springfield hospitals lock down due to bomb threats SPRINGFIELD, Ohio (WDTN) Bomb threats were made toward two medical centers in Springfield, sending both facilities into lockdown. On the morning of Saturday, Sept. 14, the Springfield Police Division was alerted to bomb threat against two hospitals in the city. Kettering Health Springfield, located on North Limestone Street, was one of the alleged targets. According to Kettering Health, police notified the hospitals security team, who put the hospital under temporary lockdown. Police officers and the hospital security team worked together to search the premises and did not find anything suspicious. Kettering Health says the lockdown has since been lifted. The safety protocols we have in place for these instances allow us to work quickly with local law enforcement to investigate threats thoroughly and ensure the safety of our patients and staff, Kettering Health said in a statement. Mercy Healths Springfield Regional Medical Center, located at 100 Medical Center Drive, was also sent into a temporary lockdown after officials heard of the alleged bomb threat around 6 a.m. Police and security on site searched and found nothing, determining the threat to be not credible at this location as well. A spokesperson with Mercy Health says the hospital continued to operate during this time. One of our most important responsibilities is the safety and security of our patients, visitors, associates and physicians, the spokesperson said. We would like to thank the Springfield Police Department as well as our onsite teams for their swift, efficient and caring response. These incidents come after multiple government buildings and schools were evacuated and closed this week due to bomb threats and safety concerns. Springfield Police Division posted to social media, reminding citizens of their commitment to ensuring safety for everyone. We recognize that the past few days have been particularly challenging for everyone in our community. Please know that we remain fully committed to ensuring the safety and well-being of each and every person, the statement reads. We take any and all threats to our communitys safety very seriously and continue to work diligently to address them. Springfield officials say these false threats throughout the week have continued to not be credible and may be indicative of swatting. Springfield is working with the Dayton office of the FBI to investigate and trace the origin of these threats. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WDTN.com. SPRINGFIELD, Mo. Springfield Public Schools notifying parents that there is an increase of online threats toward schools. This increase is on a national and state level, SPS Chief Communications Officer Stephen Hall saying there is no threat to any Springfield Schools. We wanted them to be aware of the national trend, to thank them for letting us know that they had observed the posts we wanted them to know, says Hall. We were aware we were investigating. And then at this time, we do not believe there is a credible threat in Springfield. Sara Barry has kids in elementary school, she says that when she read the SPS email it didnt shock her. Its awful to say I wasnt even surprised because its been happening all over the country, people that I know in different cities have been experiencing and dealing with this all week, says Barry. Now Springfield School Police are investigating any potentially threatening posts. They analyze those posts. They work with their law enforcement partners. They have very close relationships with Greene County Sheriffs Office, Springfield Police Department and others. And so when they look into that many times, theyre able to identify the source of the information, explains Hall. Barry says while she does not live in fear her childrens safety at school is always on her mind Probably at least once a week I look in the rearview mirror when my kids are off and think you know, could this be the day this something happens, says Barry. The email from SPS to parents included the Springfield School Police Dispatch number, 417-523-2911, and the anonymous tip line number, 417-319-2901. Hall says anyone with information on online threats can call these numbers. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KOLR - OzarksFirst.com. St. John the Apostle Catholic School cancels classes two days in a row due to threats VIRGINIA BEACH, Va. (WAVY) St. John the Apostle Catholic School cancelled classes two days in a row due to threats of violence. The school initially closed on Thursday after receiving a threatening email. In a letter, Superintendent of Catholic Schools Diocese of Richmond, Michael Riley told families the threat originated from someone outside of Virginia Beach who has no affiliation with the school. Riley also stated the threat was sent in response to news coverage the individual saw related to the schools recent disciplinary decision. Earlier this week, WAVY and other media outlets reported about the schools decision to suspend an 11-year-old boy who alerted his principal about another student brought a bullet to class. Boy suspended after reporting student with bullet at VB school Additionally, Riley informed school families that the school has temporarily hired a private security firm staffed with retired law enforcement. When we resume normal school activities next week, as an added measure of caution, we will have an increased security presence on site to ensure a smooth and safe return, wrote Riley. Continue to check WAVY.com for updates. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WAVY.com. ST. LOUIS After the feeling of a lack of transparency in how ARPA funds are awarded and granted, the St. Louis City comptroller is calling on the St. Louis Development Corporation for more integrity within the process. Comptroller Darlene Green mailed a letter to Neal Richardson, the SLDC CEO and President. According to a release, Green has expressed worries surrounding the funding process within the program that have led to a loss in trust from the public. She has also voiced that if there is a failure to comply by a federal deadline, the city could lose millions of dollars. The letter from Green to Richardson reads, in part: As comptroller, I urge you to remove all doubts concerning the integrity of the grant and award process, fix any problems, and immediately address the glaring questions about the appearance of improprieties and lack of transparency regarding the process. I strongly support Mayor Jones intention to target this $37 million in ARPA funding to help uplift long-distressed areas of north St. Louis City, which have suffered from disinvestment and economic exclusion for decades. I call on you to be open and transparent about the process by revealing the details of how it was determined that those particular final grant awards, in question, were selected to then be presented to a selection committee for approval of the federal fundsfailing to respect these deadlines will result in the loss of federal funding for this program and may impact future funding opportunities as well. Green disclosed that this informational request must be obligated by Dec. 31 of this year, and must be liquidated by Dec. 31, 2026. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 2. NASHVILLE, Tenn. (WKRN) Authorities responded to a stabbing call in downtown Nashville just before 8 p.m. on Friday night. Read todays top stories on wkrn.com Officers were called to the 200 block of Union Street and found that one person had been stabbed while at Public Square Park. Metro Dispatch confirmed that one person was taken to an area hospital. Officers on scene told News 2 that there were no witnesses to this reported stabbing. The victim was stabbed in the torso, but their injuries are not critical. No suspects have been taken into custody in relation to this case at this time. Download the News 2 app to stay updated on the go. Sign up for WKRN email alerts to have breaking news sent to your inbox. Find todays top stories on WKRN.com for Nashville, TN and all of Middle Tennessee. This is a developing story. WKRN News 2 will continue to update this article as new information becomes available. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKRN News 2. New state bill could allow San Diego City College to offer bachelors in nursing SAN DIEGO (FOX 5/KUSI) As a bill allowing California community colleges to offer bachelors degrees in nursing awaits its fate on Gov. Gavin Newsoms desk, San Diego City College is preparing for the possibility that it could be among the first to introduce the expanded program. Senate Bill 895, introduced by state Sen. Richard Roth (D-Riverside), builds off a law passed two years ago that opened up the door for state community colleges to offer bachelors programs, granted they are not duplicative of existing programs at the states public four-year universities. Under the new bill, this stipulation barring repetition of public colleges baccalaureate programs would be amended to allow a handful of community colleges with existing associates in nursing the ability to expand it to add a full bachelors. San Diego City College, which was one of the first schools to introduce a bachelors degree with the previous change for community colleges, is hopeful it will again be able to lead the charge on the expansion into baccalaureate nursing programs, should Newsom sign SB 895. California bill to rein in hate littering heads to Newsoms desk Proponents of the bill laud it as a potential gamechanger for nursing education, making it more accessible to low-income students while bolstering the number of working nurses at local hospitals in an effort to address longstanding staffing shortages. For San Diego City College, when they gain their associates degree, they are prepared to be safe, novice, entry-level nurses, Dr. Erelyn Binegas, chair of the nursing department, said in an interview with FOX 5/KUSI. When they do get back, they go back for their leadership and public health license or certificate so they can actually work in the community as public health nurses, she continued. That opens up a lot of opportunities for them. However, opponents, including the California State University system, argue it could create unnecessary competition between existing public nursing programs and place constrains on their resources, such as faculty and clinical placements. Community colleges lack the infrastructure needed to administer baccalaureate nursing degree programs, the California Association of Colleges of Nursing wrote to the legislature opposing SB 895, adding that it could strain the already limited resources available for nursing programs. CACN believes that we can increase the number of nursing students in our state faster by partnering with our community colleges and not duplicating efforts, the association continued. Nursing as an educational route is currently one of the most expensive and impacted degrees at universities across California. State-imposed restrictions on class sizes often complicate community college students efforts to make the jump from their associate degree program. Given limited spaces in public universities nursing programs, many nursing students are forced to turn to the higher-cost programs at private or independent instructions educational settings that charge significantly more in tuition, pricing out lower-income students. As CalMatters reported last year, private institutions on average cost nursing students about $130,000, compared to about $39,000 at CSU or University of California schools. A community college bachelors degree would be an even smaller fraction of that cost. My wife is a nurse, said Julius Lozada, a second-year nursing student at City College. She graduated with the ADN but she had to go through a private college [to get her BSN], which is an exorbitant amount of money. And yet holding a bachelors degree in nursing has become a growing necessity for local nursing students, especially if they want to remain in San Diego. While registered nurses are not legally required to hold a degree and those who go through associate programs leave with their license, a number of health care facilities have also begun to prioritize hiring nurses that have or are in pursuit of a bachelors a prerequisite typically enforced to obtain and maintain Magnet Recognition. The hiring process has changed, said Chris Crane, associate professor of nursing at City College. Crane is also an alumni of the colleges nursing program. It is required at this point that these students obtain a BSN. When I graduated with my ADN, I was unable to get a hospital job because the local hospitals were prioritizing BSN-prepared, registered nurses even though we take the same exam and we are capable, Crane continued. This program, if were allowed to implement it, would allow these students to quickly and easily transition into that BSN role. Student looks at manikin patient during a course at San Diego City College. (Courtesy of San Diego City College) This streamlined process, supporters say, could create a more feasible pathway for students to obtain a bachelors, find a nursing job and hit the ground running at a time when the healthcare industry is facing significant staffing shortages. Some estimates put this shortfall at about 36,000 licensed nurses across the state, but it is expected do grow even more by 2030. Having more nurses that are able to get their bachelors degree, especially at an affordable rate compared to the CSU options, thats just going to overall increase the amount of nurses that are in the pool, said Joelle Chavez, another second-year nursing student at City College. Whether SB 895 creates more competition for programs remains an open question. While it could create some short-term resource pressures, proponents say SB 895 could ultimately boost the number of clinical placements and qualified nursing faculty. I feel like we are partners. Were working together, were working collegially to expand this nursing shortage, said Dr. Dometrives Armstrong, associate dean of nursing at City College. Were supposed to be partners and we still work together as a team. Thats what nurses do. City College also argues the bill would not result in community colleges siphoning off students who would otherwise prefer to attend a four-year public university. UCs and CSUs support or provide education to different students and community colleges, City College public information officer Cesar Gumapas said. We serve our underrepresented, underserved students in our communities. City of San Diego launches second year of child care benefits for employees Gov. Newsom has until Oct. 30 to either sign or veto the bill. Should it sign it into law, there would be an application process for community colleges to participate in the program. If City College is selected, the earliest it would be able to welcome bachelors of nursing students would be in the fall of 2025, Armstrong said. Before then, the curriculum would need to be worked out and the school would need to secure resources for the program. The schools brand-new cyber defense and analysis degree, the schools first bachelors program in its over 110-year history, would serve as a roadmap for expanding its nursing program. I do think that it is a blueprint for us, so were not going in blind. We have something that has already been built, Crane said. I know that it will be a wonderful resource for us as we build our program. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 5 San Diego & KUSI News. State board of elections to make deadline for absentee ballots The North Carolina State Board of Elections officials said they will meet the federal deadline to send mail ballots to military and overseas voters. PAST COVERAGE: Officials worry NC might not meet its absentee ballot distribution deadline The ballots for those voters will go out by Sept. 20, election officials said. The state said boards will mail ballots to everyone else who requested one by Sept. 24. As of Thursday, 133,000 people in North Carolina have requested mail ballots, which includes more than 13,000 military and overseas voters. State to use Eagle tree for holiday at the Capitol EAGLE TOWNSHIP, Mich. (WLNS) Its 103 days until Christmas, but the Michigan official Christmas tree has already been selected. Itll be harvested off a property in Eagle Township on November 1st and will arrive at the capitol on the 2nd. Albertson and Dorcas Albertson are donating the now 60-foot-tall tree, which has been a staple of their front lawn since it was about head height when they moved in 27 years ago. He says it will be missed; It holds down yknow the dust, its shade in the summertime the suns over there, and yea were gonna miss it quite a bit its gonna be a lot different Michigans 2024 Holiday Tree is being harvested from a property in Eagle Township. (WLNS) He says the process began over a year ago when a tree from Onaway was selected above his. Now its his turn, and although hes known about the plans for a while, he couldnt let the cat out of the bag right away. He goes are you serious about that tree I said yea and he goes well itll go this year but he says you cant tell anybody Albertson said while chuckling. Well, now the news is out, and Albertson is happy to see his tree go to good use The favorite thing would be it will be decorated again, yknow, like when it was little. Its Michigans 38th official Christmas tree, the 14th from the Lower Peninsula and the 2nd from Clinton County. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WLNS 6 News. NEW YORK (PIX11) City Hall is facing major turmoil as the FBI continues investigating top members of Mayor Eric Adams administration for alleged corruption. Homes were raided, and the phones of high-ranking officials were seized. NYPD Commissioner Edward Caban stepped down Thursday amid the investigations. Former FBI official Tom Donlon was appointed as the interim NYPD commissioner. More Local News Amid the commotion in City Hall, New York State Senator Jessica Ramos officially launched her 2025 New York City mayoral election campaign. Ramos sat down with PIX on Politics host Dan Mannarino to discuss why she is running and share her perspective on the investigations. I didnt know there would be so much news this week, but it is the perfect time to talk about the mismanagement at City Hall that weve seen. Im very sad that Commissioner Caban had to do the responsible thing of resigning, given that hes under investigation, but hes not the only one under investigation. I think that an example is being made of him when, quite frankly, all of the others under investigation should be asked to resign as well, said Ramos. Mayor Adams addresses investigation into members of his administration Ramos also addressed whether Adams should also consider resigning. Right now, hes not at the center of the investigation that we know of. I have full confidence that the feds are doing their job; they always do. When the wrongdoing comes to light, there should be justice, and people should be prosecuted to the full extent of the law. Watch the video player for the full interview. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. BOSTON (WWLP) This week at the State House in Boston, the Healey administration dominated headlines. From an international leadership meeting to 9/11 remembrance ceremonies to a surprising budget billthe governor had a full slate of public appearances this week. Early in the week, Governor Healey met with New England governors and Eastern Canadian premieres to discuss the regions future plans for clean energy. Our close ties as a region create a unique opportunity and platform for executing, for implementing a planned vision for clean energy, said Healey at a press event following the conference. On Wednesday, the governor presented Jillian Smith from Wales with a civilian bravery award for saving her elderly neighbors from a house fire at a 9/11 remembrance ceremony. You always want a helping hand or just a kind-hearted person down the road to help you in your time of need, said Smith, when asked what she wants her neighbors to know. And I would just hope that someone would help if they had to. On Wednesday, Governor Healey filed a closeout budget bill that includes some clean energy siting and permitting reform policy. House and Senate versions of this reform have been stuck in negotiation since the end of the legislative session. The senate negotiation lead, Senator Michael Barrett, said Governor Healey is taking sides. The governor is all but killing the negotiations, Barrett told State House News Service. You cant create an altogether second track that gives one side almost everything it seeks and still claim to be interested in a true compromise. Also this week, the treasurer fired the chair of the Cannabis Control Commission after a year-long legal battle. 22News will have continuing coverage on commission leadership and other issues that matter to you on weeknights and on Statehouse Spotlight. Local News Headlines WWLP-22News, an NBC affiliate, began broadcasting in March 1953 to provide local news, network, syndicated, and local programming to western Massachusetts. Watch the 22News Digital Edition weekdays at 4 p.m. on WWLP.com. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WWLP. Stoltenberg admits NATO could have done more to prevent Russia's invasion NATO Secretary General Jens Stoltenberg believes the Alliance could have done more to prevent Russia's full-scale invasion of Ukraine in 2022. Source: FAS; European Pravda Details: Stoltenberg said that NATO could have provided Ukraine with more military aid earlier to prevent the full-scale war. Quote: "Now we are providing military equipment for the war back then, we could have provided military equipment to prevent the war." He pointed to the reluctance of NATO countries to supply Ukraine with the weapons it requested before the invasion due to concerns about escalating tensions with Russia. Stoltenberg also emphasised that the war in Ukraine can only be ended through negotiations. Quote: "To end this war, at some point, dialogue with Russia will have to resume. But it must be based on Ukrainian strength," he added. Background: Jens Stoltenberg has been NATO's Secretary General since 2014. He will be replaced by former Dutch Prime Minister Mark Rutte on 1 October. Politico reported that Stoltenberg, upon stepping down from his NATO role, will become the head of the Munich Security Conference. Support UP or become our patron! "This has to stop": Biden condemns Trump's lies about Haitian immigrants President Joe Biden strongly condemned former President Donald Trumps continued racist attacks on Haitian immigrants in an Ohio town, calling the smears simply wrong. Outside the White House on Friday, in remarks before a brunch celebrating Black excellene, Biden defended the Haitian-American community including White House Press Secretary Karine Jean-Pierre and denounced the incendiary comments comments from Trump. I want to take a moment to say something. So many Americans, like Karine [Jean-Pierre], as you point out, is a proud Haitian American, a community thats under attack in our country right now. Its simply wrong, Biden said. This has to stop, what hes doing. It has to stop. Biden points out that Press Secretary Karine Jean-Pierre is "a proud Haitian-American" and says Trump's attacks on the community "have to stop" pic.twitter.com/IZsOSIbKe5 Aaron Rupar (@atrupar) September 13, 2024 Trump has repeatedly accused Haitian immigrants in the town of Springfield, Ohio of eating local pets. The white nationalist rumor spread quickly after being boosted by Turning Point USA's Charlie Kirk and Sen. Ted Cruz. The attacks against Haitian immigrants from Trump and running mate JD Vance have motivated bomb threats at schools in Springfield. On Friday, Trump refused to back down from the bigoted rhetoric, instead promising to deport Haitian immigrants, despite their legal status. We will do large deportations from Springfield, Ohio. Large deportations. Were gonna get these people out, Trump said. The Harris campaign has also denounced the bigoted remarks, with vice presidential nominee Tim Walz shutting down chants of we dont eat cats in Michigan on Thursday, saying it would be funnier, too, if it wasnt so dangerous. GRAND JUNCTION, Colo. (KREX) WesternSlopeNow got several calls Friday afternoon about an object in the sky. It appears from the backyard of the station to be a balloon of some sort. WesternSlopeNow reached out to the National Weather Service to learn more about the object and was told they had also been receiving calls, with one caller saying the balloon was part of a search and rescue operation. Knowing the Mesa County Sheriffs Office might be involved in the operation, WesternSlopeNow called MCSO for information. They responded saying they were not involved. Still, with no sure answer, WesternSlopeNow contacted the North American Aerospace Defense Command (NORAD) and was told they were unaware of anything in the sky. Although a definitive answer for the object has not been given, one thing is for certain, it is a unique sight for this Friday the 13th. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WesternSlopeNow.com. Stuck-in-space astronauts reflect on being left behind and adjusting to life in orbit Stuck-in-space astronauts Butch Wilmore and Suni Williams said Friday its been tough dealing with their Boeing ride leaving without them and the prospect of spending several extra months in orbit. It was their first public comments since last weeks return of the Boeing Starliner capsule that took them to the International Space Station in June. They remained behind after NASA determined the problem-plagued capsule posed too much risk for them to ride back in. Their eight-day mission is now expected to last more than eight months. It was trying at times. There were some tough times all the way through, Wilmore said from 260 miles (420 kilometers) up. As spacecraft pilots, you dont want to see it go off without you, but thats where we wound up. Williams, who is from Needham, said she missed her family, walking her dogs, and seeing her friends. It would be nice if somebody put a New England apple in a space craft coming up here, Williams joked. While they never expected to be up there nearly a year, as Starliners first test pilots, they knew there could be problems that might delay their return. Thats how things go in this business, Williams said. Wilmore and Williams are now full-fledged station crew members, chipping in on routine maintenance and experiments. Williams will take over command of the space station in a few more weeks, Wilmore told reporters during a news conference only their second since blasting off from Florida on June 5. The duo, along with seven others on board, welcomed a Soyuz spacecraft carrying two Russians and an American earlier this week, temporarily raising the station population to 12, a near record. And two more astronauts will fly up on SpaceX later this month; two capsule seats will be left empty for Wilmore and Williams for the return leg. The transition to station life was not that hard since both had previous stints there, said Williams, who logged two long space station stays years ago. This is my happy place. I love being up here in space, she said. Wilmore noted that if his adjustment wasnt instantaneous, it was pretty close. The astronauts said they appreciate all the prayers and well wishes from strangers back home, and that its helped them cope with everything theyll miss out on back home. Williams couldnt help but fret for a while over losing precious face-to-face time with her mother. Wilmore wont be around for his youngest daughters final year of high school. He just requested an absentee ballot on Friday so he can vote in the November election from orbit. Both stressed the importance of carrying out their civic duties as their mission goes on. Their Starliner capsule marked the first Boeing spaceflight with astronauts. It endured a series of thruster failures and helium leaks before arriving at the space station on June 6. It landed safely in the New Mexico desert earlier this month, but Boeings path forward in NASAs commercial crew program remains uncertain. The space agency hired SpaceX and Boeing as an orbital taxi service a decade ago after the shuttles retired. SpaceX has been flying astronauts since 2020. Williams said shes excited to fly two different spacecraft on the same mission. Were testers, thats what we do, she said. We wanted to take Starliner to the completion and land it back on land at home, she added. But you have to turn the page and look at the next opportunity. For now, Wilmore says hes leaning on his faith, and both are relying on a little humor to see them through. One thing about space flight thats wonderful, your joints dont ache, Wilmore said of the zero-gravity environment. Theres no pressure on them. I know the Boston crowd is cheering us on, Williams said, adding in a thick New England accent, Well find a better place to park the car next time. ___ The Associated Press Health and Science Department receives support from the Howard Hughes Medical Institutes Science and Educational Media Group. The AP is solely responsible for all content. Download the FREE Boston 25 News app for breaking news alerts. Follow Boston 25 News on Facebook and Twitter. | Watch Boston 25 News NOW Pistols are displayed in a New Jersey gun shop on Feb. 11, 2023. A new study finds the prevalence of firearms in the United States, not mental illness, is the driving force in the number of firearm-related deaths. (Aristide Economopoulos for New Jersey Monitor) This story originally appeared on Oregon Capital Chronicle. If you or someone you know is struggling with thoughts of suicide or a mental health crisis, call or text 988 for free, immediate support 24/7. The prevalence of firearms in the U.S. not mental illness is driving the surge in gun deaths across the country, a new study concludes. The research led by an Oregon Health & Science University professor looked at mental health conditions and firearm deaths in the U.S. and 40 other countries. It found the U.S. had 20 times more deaths by firearms than the other countries even when rates of mental illness were the same. We have the same degree of mental health issues as other countries, but our firearm death rate is far greater and continuing to increase, said Dr. Archie Bleyer, a clinical research professor at OHSU and lead author of the study. In most of the countries, firearms deaths are decreasing. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX Other researchers have looked at mental illness and gun ownership but this study is the first to include this many other countries, according to Erik Robinson, a spokesman for OHSU. Bleyers findings, which were published in the journal PLOS One, challenge the common assumption that mental health disorders are to blame for the high rate of firearm deaths in the U.S., which have risen 23% since 2000 while dropping 27% in other countries. Bleyer, a pediatric oncologist, dug into the issue after when his 12-year-old grandsons classmate died by a firearm. He said he knew the boys mother and her son left a note and used the gun, but didnt need to die because he had a bad day. Suicides account for most firearm deaths in Oregon, and young people often are the victims. In 2022, 488 people died from suicide by firearm in Oregon, according to Oregon Health Authority statistics. Of those, 127 of them were people under age 35. Another 161 people died in firearm-related homicides. Overall, 674 people died of firearms in Oregon in 2022, including accidents and interactions with police. The study found that policies on gun ownership can reduce firearm deaths. It said that countries with policies that decrease the the number of guns owned by residents, including Switzerland, Australia, New Zealand, Canada and Israel, reduced their firearm death rates. In Australia, the government drove down the rate of gun ownership with a government program that purchased firearms from gun owners. Other countries take steps like limit the number of firearms an individual can own or ban assault weapons. Congress has struggled to enact legislation cracking down on gun ownership, with strong resistance from gun rights activists and many Republicans. Two years ago, following the mass school shooting in Uvalde, Texas that left 21 people dead, including 19 students, Congress passed a law to expand background checks for gun buyers. And last month a law banning ghost guns, which are untraceable, took effect in Oregon. Bleyer said efforts that decrease the number of firearms in the country are critical to stemming gun deaths. He noted that the U.S. has 4% of the worlds population, but almost one-quarter of the worlds firearms, and half of all non-military assault weapons are in the U.S. Actions that reduce the level of gun ownership will help reduce the deaths that are linked to suicides and accidents, he said. By reducing prevalence, we should be able to reduce the firearm death rate, he said. Another issue is the ease of access to firearms, especially for households with children. He and other experts recommend safe storage devices that can deter people. Even though parents more often than not think their gun is locked and their children do not know where it is or how to unlock it, theyre usually wrong, Bleyer said. More often than not, when tested, their children know where it is, how to get into it and they often will play with it when their parents are gone. At the same time, Bleyer stressed his study doesnt change the mental health crisis and lack of treatment that plagues Oregon and other states. We do have significant mental health problems, there is no doubt about that, he said. We dont have enough mental health providers, facilities, treatments. Its the way that we have facilitated killing ourselves that leads to death with firearms where we take this to the extreme. Other contributors to this study are Dr. Stuart Siegel, of the Keck School of Medicine of the University of Southern California; Dr. Jaime Estrada, of Texas Doctors for Social Responsibility; and Dr. Charles R. Thomas Jr. of Geisel School of Medicine at Dartmouth and previous chair of the Department of Radiation Medicine in the OHSU School of Medicine. Oregon Capital Chronicle is part of States Newsroom, a nonprofit news network supported by grants and a coalition of donors as a 501c(3) public charity. Oregon Capital Chronicle maintains editorial independence. Contact Editor Lynne Terry for questions: info@oregoncapitalchronicle.com. Follow Oregon Capital Chronicle on Facebook and X. SUPPORT: YOU MAKE OUR WORK POSSIBLE The UN says famine conditions have been confirmed in Zam Zam camp for internally displaced people, shown in 2015, near El-Fasher in Sudan's Darfur region (ASHRAF SHAZLY) (ASHRAF SHAZLY/AFP/AFP) Heavy fighting on Saturday shook a Sudanese city besieged by paramilitaries, witnesses told AFP, as US researchers reported unprecedented and escalating combat in the North Darfur state capital. El-Fasher is one of five state capitals in Sudan's western Darfur region and the only one not in the hands of the Rapid Support Forces (RSF), who have been battling the regular army since April 2023. The United Nations says the war across much of Sudan has created the world's largest displacement crisis, with millions uprooted, and has led to famine at a displacement camp near El-Fasher. Darfur has seen some of the war's worst atrocities, and the RSF has besieged El-Fasher since May. "Neighbourhoods are completely deserted and all you can hear are explosions and missiles," Ibrahim Ishaq, 52, told AFP. "The central market area has become unliveable because of the intensity of the explosions," said Ishaq, who fled westward from the city on Friday. Witnesses reported army bombardment south and east of the city on Saturday and said they heard air-defence batteries firing. The Yale School of Public Health's Humanitarian Research Lab said in a report Friday that its analysis confirmed "unprecedented large-scale combat operations" in El-Fasher within the previous 10 days, "with significant escalation in the past 36 hours" involving both the army and the paramilitaries. It cited reports that describe "a major multidirectional RSF attack from the northern, eastern, and southern directions" on Thursday. - 'Reduce to rubble' - Darfur governor Mini Minawi had on Thursday said on social media platform X that the army had repelled "a large attack" by the RSF. The paramilitaries, however, said they seized military sites in El-Fasher. Using satellite imagery and other data, the Yale researchers said they found munition impacts "likely related to high-tempo aerial bombardment" from the regular army, but said other structural damage resulted from "RSF bombardment" and combat activity by both sides. Whatever the battle's ultimate outcome, current levels of fighting "are likely to effectively reduce what is left of El-Fasher to rubble", the Yale study said. The United States special envoy for Sudan, Tom Perriello, on Saturday said on X: "We are extremely concerned about the RSF's renewed attacks." He urged the RSF "to stop its assault". It was not immediately possible to determine the number of victims. Sudan's war has already killed tens of thousands of people, with some estimates as high as 150,000, according to Perriello. In the capital Khartoum on Saturday, around 800 kilometres (500 miles) from El-Fasher, witnesses reported heavy explosions and strikes to the city's south. Independent UN experts earlier this month appealed for deployment of an "impartial force" to be urgently deployed in Sudan for civilian protection. Sudan's foreign ministry, loyal to the army, rejected the idea. bur-cgo/hme/it/ami When you buy through links on our articles, Future and its syndication partners may earn a commission. A partial lunar eclipse observed in Sangju, South of Seoul on Nov. 19, 2021. . | Credit: Seung-il Ryu/NurPhoto via Getty Images Editor's note: The Harvest Moon Supermoon delighted skywatchers worldwide on Sept. 17. You can read our wrap story here and marvel at some of the incredible photos that captured the lunar scene last night. A partial lunar eclipse of September's Harvest Moon Supermoon is happening tonight, and you can watch it online for free. On Tuesday (Sept. 17), the Full Harvest Moon will experience a partial lunar eclipse that will be visible for North and South America (except for Alaska), Europe, most of Africa, western Asia, and parts of Antarctica. This eclipse will take place during a "supermoon," which will make the moon appear slightly larger in the night sky. This occurs when the moon is slightly closer to Earth, which happens because the moon's orbit is slightly elliptical, or oval-shaped. If you can't watch the eclipse in person for any reason, there are luckily a number of livestreams available online for you to take in the Super Harvest Moon lunar eclipse. TOP TELESCOPE PICK: Product photo of the Celestron StarSense Explorer 130 AZ Looking for a telescope to see the moon up close? We recommend the Celestron StarSense Explorer DX 130AZ as the best for basic astronomy in our best beginner's telescope guide. Lunar eclipses occur when the sun, Earth and the moon are lined up so that Earth casts its shadow on our natural satellite. This lunar eclipse will be only a slight one, with just the top 8.7% of the moon covered by the darkest part of Earth's shadow. Still, a lunar eclipse is a celestial spectacle worth watching any time it occurs. For the Eastern time zone of the U.S., the eclipse will begin around 8:40 p.m. EDT on Sept. 17 and will peak around 10:44 p.m. EDT. Europe and Africa, meanwhile, will see the eclipse during the pre-dawn hours on Sept. 18. For London, the eclipse will appear greatest around 3:45 a.m. BST on Sept. 18. a diagram showing the sun on one side, earth in the middle, and the moon on the far side Livestreams of the partial lunar eclipse of the Super Harvest Moon are below. Watch them here on Space.com courtesy of the channels listed: Time and Date TimeAndDate.com will host a livestream of the partial lunar eclipse beginning at 9 p.m. ET on Sept. 17 (0100 GMT on Sept 18). TimeAndDate offers a number of helpful resources for the eclipse, including interactive maps and times based on your location. The Virtual Telescope Project Astronomer Gianluca Masi of the Virtual Telescope Project in Manciano, Italy will also host a livestream of the Super Harvest Moon lunar eclipse. The project's livestream will begin at 8:30 p.m. EDT on Sept. 17 (0030 GMT on Sept. 18) and will feature live telescope views of the moon throughout the stages of the eclipse. Adler Planetarium The Adler Planetarium in Chicago will also host a lunar eclipse livestream beginning at 10 p.m. EDT , or 9 p.m. CDT local time (0100 GMT on Sept. 18). Adler Planetarium's livestream will feature astronomy educators offering live commentary on the eclipse as well as helpful tips for viewing the event yourself. Viewing the moon RELATED STORIES: September full moon 2024 guide: The Super Harvest Moon gets eclipsed Partial lunar eclipse of the Harvest Moon: Everything you need to know 'International Observe the Moon Night' is Sept. 14. Here's how to participate Whether there is a lunar eclipse or not, the moon is always a beautiful sight seen up close. If you want to see craters and "seas" on the moon during lunar eclipses or at any other time, be sure to take a look at our guides to the best telescopes and best binoculars. And if you want to try your hand at taking your own photos of the moon, we have a guide for how to photograph the moon, as well as lists of the best cameras for astrophotography and best lenses for astrophotography. Be sure not to miss our night sky tonight page and monthly skywatching calendar for more celestial events to take in! Editor's note: If you get a great picture of the moon during September's partial lunar eclipse, and would like to share it with Space.com's readers, send your photo(s), comments, and your name and location to spacephotos@space.com. An air fleet has increased the chances of combating the Siphon Fire, which continues to burn in the Superstition Mountains. The fire, which ignited Tuesday at about 1 p.m., has burned 15,786 acres with 0% containment as of Friday afternoon. The rugged terrain has made it challenging for ground crews to access much of the affected area. "Super Scooper Planes" Deployed to Combat Siphon Fire The deployment of "super scoopers" brought hope for containment, according to several social media posts from officials, including Pinal County Government and the USDA Tonto National Forest Service. The USDA Forest Service expressed appreciation for the "super scooper planes," which began actively combating the Siphon Fire late Friday. The "super scooper," or CL 415 plane, is highly effective at extinguishing fires, capable of carrying and dropping 1,400 to 1,600 gallons of water on a fire within minutes. Fires: Potato Barn in Scottsdale damaged by fire after solar panel malfunction According to the USDA Forest Service, the planes water tank can be refilled in approximately 12 seconds. A Type 2 initial attack crew, a suppression module, 13 engines, and 2 Type 1 helicopters were deployed alongside the two super scoopers. Evacuations were ordered Friday for parts of the Tonto National Forest and the Apache Junction area. Tortilla Flat, Canyon Lake Marina, and SR 88 (Apache Trail) have been closed beyond Lost Dutchman State Park. A "Go" status was issued Thursday for Tortilla Flat and Canyon Lake area. A "Set" status remains in effect for parts of Apache Junction in Pinal County, particularly for residents east of Mountain View Road and west of the Tonto National Forest, between Broadway Road and Lost Dutchman State Park. No alerts have been issued in Maricopa County. Residents in Cave Creek, Carefree, and Northeast Phoenix were informed that they might see smoke, but it is coming from hotspots within the interior of the fire. Tonto National Forest ascertained that the fire was human caused, but the investigation as to specific intent or error is still ongoing. Wildfire map: Arizona wildfires 2024 map: Where fires are burning now (azcentral.com) This article originally appeared on Arizona Republic: Fleet of super scooper planes used to fight Siphon Fire Survey says most Americans plan to get flu shot, but not COVID booster Survey says most Americans plan to get flu shot, but not COVID booster A majority of respondents to a poll released Thursday said they have gotten or plan to get this years flu vaccine, while less than half said the same about the 2024 COVID-19 booster. Thirty-seven percent in the Ohio State University Wexner Medical Center survey said they have gotten vaccines in the past but do not plan to again. Some 56 percent said they will get or have already received the flu shot, but only 43 percent have gotten or plan to get the coronavirus vaccine. Were at the start of respiratory virus season when you have the triple threat of flu, COVID-19 and RSV. Unfortunately, there is a lot of misinformation about vaccinations, but the reality is that they are safe and highly effective in preventing serious illness and death, Nora Colburn, medical director of clinical epidemiology at Ohio States Richard M. Ross Heart Hospital, said in a statement. Older adults, people with certain chronic medical conditions and those who are pregnant are especially at risk during respiratory virus season, Colburn added. Doctors recommend that everyone receive updated COVID vaccines outside of infants under 6 months of age, and that children over the age of 6 receive updated flu shots annually. According to Colburn, Vaccinations play a critical role in helping keep individuals and communities healthy. Other things you can do is to stay home when sick, avoid those who are sick and wear a mask if youre not feeling well and going out of your home. All of these things can help prevent you from getting sick and spreading it to others. The survey was conducted on behalf of the Ohio State University Wexner Medical Center by SSRS from Aug. 16-18 among 1,006 respondents. It has a margin of error of plus or minus 3.8 percentage points. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Queen City News. Susan Smith is serving a life sentence for drowning her two sons in 1994 but is eligible for parole in November Dave Martin/AP; South Carolina Department of Corrections Susan Smith Three decades after his ex-wife Susan Smith drowned their two sons in 1994, David Smith is discussing whether he forgives the convicted murderer who is serving a life sentence for the killings but is eligible for parole in November. In an exclusive interview with Court TV that aired on Friday, Sept. 13, David said he has forgiven Susan but that it doesnt make the pain of losing their sons any easier. Yes, I have forgiven her but again that goes to my faith in God and that's the way I was raised. That we have to forgive, David told Court TV anchor Julie Grant. But it sure doesn't take away the act of what she did. It doesn't make it any less, it doesn't make it any easier. But I have forgiven her for what she did. After being convicted of two counts of murder in 1995, Susan was sentenced to life in prison. Shes currently being held at Leath Correctional Institution in Greenwood, S.C. On Nov. 4, 2024, Susan will be eligible for parole for the first time making the possibility of the two coming face to face a reality. Ruth Fremson/AP David Smith holding a photo of his two sons in 1995. Related: Years After She Killed Their Kids, Susan Smith's Husband Reveals What He'd Say to Her if They Came Face to Face I would just tell her that you have no idea of how much damage you have done to so many people, David said. I would tell her that in my capabilities I'm going to do everything in my power to make sure you stay behind bars. At the time of the murders, on Oct. 25, 1994, then 23 year old Susan told police that she had been carjacked by a Black man who had taken off with her two young sons still in her car. For nine days, she made tearful pleas on national television for their safe return. But it was all a lie. Want to keep up with the latest crime coverage? Sign up for PEOPLE's free True Crime newsletter for breaking crime news, ongoing trial coverage and details of intriguing unsolved cases. As her story began to unravel, Susan admitted that there was no carjacker, and that she had let her car roll into a lake with Michael, 3, and Alex, 14 months, still strapped into their car seats. Authorities said she committed the murders because she was secretly dating a man who didn't want children. Following a series of disciplinary infractions behind bars for self-mutilation, drug use and possession of narcotics or marijuana, Susan defended herself in a public letter claiming she has been misunderstood. Mr. Cahill, I am not the monster society thinks I am," she wrote in 2015 to Harrison Cahill, a reporter for The State, a South Carolina newspaper. "I am far from it." If you suspect child abuse, call the Childhelp National Child Abuse Hotline at 1-800-4-A-Child or 1-800-422-4453, or go to www.childhelp.org. All calls are toll-free and confidential. The hotline is available 24/7 in more than 170 languages. For more People news, make sure to sign up for our newsletter! Read the original article on People. Police have arrested a suspect in connection with the murder of a 17-year-old Long Beach girl. Troy Lamar Fox, 34, is accused of shooting and killing Briana Soto as she was walking home from work on March 26. On that night, Soto was walking near the intersection of 11th Street and Lewis Avenue at around 8:30 p.m. Police said she was speaking with her mother on the phone and was just steps away from her house when the suspect shot her. The teens family heard the gunshots outside. Soto was rushed to the hospital where she died three days later. It was her siblings who found her with their mother, so I couldnt even imagine what they had to go through seeing her on the ground like that, said Michelle Fierro, a neighbor. Moments after the murder, the suspect ran away and security cameras captured him removing pieces of his clothing. The motive behind the deadly shooting remains unclear. Troy Lamar Fox, 34, is seen in a photo from the Long Beach Police Department. / Briana Soto, 17, seen in a family photo. Briana Soto, 17, seen in a family photo. The Long Beach Police Department released surveillance footage of the suspected shooter in a March 26, 2024, murder. The Long Beach Police Department released surveillance footage of the suspected shooter in a March 26, 2024, murder. The street where Briana Soto was fatally shot on March 26, 2024, (KTLA) The Long Beach Police Department released surveillance footage of the suspected shooter in a March 26, 2024, murder. The Long Beach Police Department released surveillance footage of the suspected shooter in a March 26, 2024, murder. Briana Soto, 17, seen in a family photo. Troy Lamar Fox, 34, is seen in a photo from the Long Beach Police Department. After investigating several leads, including DNA, video and cell phone evidence, detectives identified Fox as the suspect. He was in custody for an unrelated weapons violation at the time. He is also believed to be involved in two other incidents on April 9 and July 7. On April 9, Fox was accused of shooting at a vehicle containing three juveniles and an adult. On July 7, officers responded to the area of 14th Street and Pine Avenue on a report of firearms seen inside a car. Officers located Fox and a juvenile suspect and learned they were both prohibited from possessing firearms. Two firearms were found on the suspects and four firearms were found inside their vehicle. Fox also had an outstanding warrant for his arrest at the time. On Sept. 11, detectives presented evidence to a Los Angeles Superior Court Judge to obtain an arrest warrant for Fox in connection with Sotos murder and the attempted murder on April 9. On Sept. 13, officers took custody of Fox from the L.A. County Sheriffs Department and booked him into the Long Beach City Jail where he is being held on $2 million bail. Briana Soto was just 17 years old when she was tragically taken away from her family by a violent individual willing to commit such a reprehensible and brazen act of violence, said Wally Hebeish, Long Beach Chief of Police. Brianas death has left her family devastated and has impacted our department immensely. This suspect has demonstrated a willingness to inflict harm on others and we will be working closely with our partners throughout the criminal justice system to ensure Briana and her family receive the justice they deserve. Detectives will present their case to the Los Angeles County District Attorneys Office for filing consideration in the coming days, police said. Anyone with information is asked to call homicide detectives Ethan Shear or Chasen Contreras at 562-570-7244. Anonymous tips can be provided to L.A. Regional Crime Stoppers at 1-800-222-8477 or online at lacrimestoppers.org. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTLA. A suspect has been arrested in the fatal shooting of a 17-year-old Long Beach girl who was killed while walking home. The suspect was identified as 34-year-old Troy Lamar Fox of Long Beach, who is accused of killing Briana Soto in March, authorities said. Authorities said they pursued DNA, video and cellphone evidence to identify Fox, who they discovered was already in Los Angeles County sheriff's custody on an unrelated weapons violation. According to the Long Beach Press-Telegram, Soto had been walking home the night of March 26 while talking to her mother on the phone. She was shot shortly after hanging up and just steps away from her door near 11th Street and Lewis Avenue, the paper quoted police as saying. Briana Soto was just 17 years old when she was tragically taken away from her family, Long Beach Police Chief Wally Hebeish said in a statement Friday. "We will be working closely with our partners throughout the criminal justice system to ensure Briana and her family receive the justice they deserve. In comments to local TV stations, those who knew Soto described her as sweet and a hard worker. In addition to being arrested in the killing of Soto, Fox was arrested on suspicion of attempted murder in a separate shooting that occurred in April near the 1300 block of Pine Avenue. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. The battle over early voting locations in Tarrant County is a window into how government should work and how it shouldnt. Deciding where to place polling sites is a matter best left to election professionals, based on data about voting and population patterns, community needs and factors such as available locations, transportation, parking and cost. County commissioners oversee elections, but they need not micromanage them. If they have concerns about their precincts, they should raise them. Instead, what weve seen for weeks is the spectacle of commissioners debating individual sites all over the county, with politics a driving factor. Worse, though, was the attempt to ram through a significant change while the two Democratic commissioners were out of town Thursday for a long-planned trip. County Judge Tim OHare insisted he was not aware they would be absent when he requested the special meeting, but he could have postponed it once he found out. Tarrant County Commissioner Alissa Simmons addresses Tarrant County Election Administrator Clint Ludwig during a special meeting at the Tarrant County Commissioners Court on Thursday, Sept. 12, 2024. Its the kind of hardball that weve come to expect from OHare, needless confrontation in an era of political one-upmanship. And yet, commissioners ultimately handed OHare a lopsided loss. The Southlake Republican was the only vote against the slate of early voting sites originally presented by Elections Administrator Clint Ludwig. Democrats Roy Brooks of Fort Worth and Alissa Simmons of Arlington participated by video. Whether the treatment of the Democratic commissioners who represent half the county was a factor, we cant say. But let it stand as a blow for fair play and cooperation on an increasingly divided Commissioners Court. The main flashpoint of the debate over early voting sites, as so often happens with the most divisive partisan issues, was overblown. Democrats and Republicans alike went to the mats over whether to have a polling place at the University of Texas at Arlington and several Tarrant County College campuses. Were glad the college sites were maintained. Theres no harm in making voting easier for populations with transportation issues, including older, poorer residents who have used the TCC sites. But with two weeks of early voting at 50 sites, absentee ballots available for many and hundreds of polling places open on Election Day, its not voter suppression to move a few locations. Tarrant County Judge Tim OHare tells the attendees of the court room to silence their unsolicited comments during the public comment portion of a special meeting at the Tarrant County Commissioners Court in the Tarrant County Administration Building in Fort Worth on Thursday, Sept. 12, 2024. Some Republicans seem to think that when it comes to voting, though, convenience is the opposite of security. Its as if they see virtue in standing in long lines and scorn any effort to help more-vulnerable people exercise their rights. The countys decisions to no longer help fund rides to the polls through Trinity Metro or to allow voter registration drives in government buildings are petty and betray a lack of confidence from a few that they can win elections with robust turnout. The real news out of the whole early voting debate, though, is Ludwigs lament that his department sees the need for about 20% more sites. Even in a large county, its a challenge to find churches, schools and other facilities that are useful locations with ample parking. And given the tension around our elections these days, fewer managers of such facilities want to participate. Some are probably even fearful of violence as poll watchers, convinced theres fraud in every ballot box, confront harried election workers. Finding those workers is increasingly difficult, too. So, while the county comes up short of the ideal early voting capacity, county commissioners argue about the merits of a few locations. Ideally, elected partisans would step back and let the elections staff use data on population, voting patterns and obstacles for groups such as for seniors and, yes, college students. The county is constantly growing, and needs will change. These are not decisions that should be made over partisanship, emotion or nostalgia. Commissioners should exercise oversight, of course. But the best way to do it is to let professionals do their jobs, give them as much of what they ask for as possible, and then get out of the way. Do you have an opinion on this topic? Tell us! We love to hear from Texans with opinions on the news and to publish those views in the Opinion section. Letters should be no more than 150 words. Writers should submit letters only once every 30 days. Include your name, address (including city of residence), phone number and email address, so we can contact you if we have questions. You can submit a letter to the editor two ways: Email letters@star-telegram.com (preferred). Fill out this online form. Please note: Letters will be edited for style and clarity. Publication is not guaranteed. The best letters are focused on one topic. RALEIGH, N.C. (WNCN) If American Swifties are willing to travel across the globe for her, what will they do for Taylor Swift back here at home? Swift is unlike any celebrity on the planet and her fans listen. And yeah, we think we know how celebrity endorsements work and we think they have a very minimal impact at best. But Im very open to the possibility that, hey, things are different now that Taylor Swift is different, that social media supercharges things in a way. Especially for a public figure like Taylor Swift, said N.C. State political scientist Steven Greene. An AI generated photo of Swift was used to try and convince people she had endorsed Donald Trump for president. Just after Tuesdays debate she denounced that, urged people to register to vote and endorsed Kamala Harris. Since then her post on Instagram has been shared 1.5 million times and liked by 10 million people in just 48 hours. Taylor Swift endorsement was Google searched by more than one million people in two days. Professor Greene is keeping tabs on whether any of this will matter. After all, younger voters dont always show up. Am I going to suggest that Taylor Swift is going to lead Kamala Harris to a landslide? No, obviously not. But is it possible that she could have more of an impact as a celebrity endorser than weve seen in the past? I think thats certainly possible, he said. This isnt to say that Swifts endorsement didnt upset some of her conservative fans. But, those voters were likely to vote for Donald Trump anyway. That factor may or may not be enough to counter-balance younger people inspired by Swift to register and vote for Harris. It all comes down to just how close the election may be, particularly in swing states like North Carolina. In a very close election do you want Taylor Swift telling your supporters to make sure to register and get out and vote and could that make the difference? Absolutely. Weve seen states in recent elections decided by 10-thousand, 20-thousand, 30-thousand votes. Could Taylor Swift be responsible for that level of votes in a state? I think thats entirely reasonable, said Green. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CBS17.com. Teen accused of killing groom-to-be in wrong-way crash in NYC, police say Teen accused of killing groom-to-be in wrong-way crash in NYC, police say NEW YORK (PIX11) A 17-year-old was arrested and faces multiple charges in connection with the death of a groom-to-be a day before his wedding, police say. The teen was taken into custody just before midnight on Friday and was charged with second degree vehicular manslaughter, criminally negligent homicide, and leaving the scene of an accident resulting in death. More Local News Kirk Walker, 38, and his cousin Rob McLaurin, 40, were killed when a wrong way driver ran into them on the Henry Hudson Parkway on Aug. 24, officials previously reported. The incident happening just a day before Walkers wedding in New Jersey, his family says. The 17-year-old was allegedly driving a Chevrolet Silverado pickup truck, with a 21-year-old passenger, just before 2:30 a.m. on Aug. 24. He slammed head on into Walkers Dodge Challenger near West 165th Street, according to authorities. More Manhattan News The pickup truck burst into flames while the 17-year-old ran from the scene, police say. Sources tell PIX11 News the teen also hit the personal vehicle of an off-duty NYPD officer before the deadly crash. New York City Mayor Eric Adams previously pledged $1,000 of his own money, towards helping to find and arrest the wrong way driver. Police are still continuing to investigate the accident to see if any additional parties would be charged in connection with the crash. Dominique Jack is a digital content producer from Brooklyn with more than five years of experience covering news. She joined PIX11 in 2024. More of her work can be found here. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. NASHVILLE, Tenn. (WKRN) The Tennessee Sheriffs Association released a letter stating that they will not tolerate anyone making threats and inciting fear within our schools and community in light of a recent increase in school threat calls. The joint statement, released on Friday, was signed by association president and Sullivan County Sheriff Jeff Cassidy. The joint statement said that the goal of an elected sheriff is to provide public safety and help citizens feel secure. Tennessee teen suspended, charged with felony for pointing finger gun on school bus We urge parents to monitor what their child is posting on social media platforms and to talk to them about the seriousness of making or even sharing threatening posts, the joint statement reads, in part. As always, we continue to urge the community to report anything suspicious or potentially threatening in nature toward schools or any public building. The letter comes after News 2 reported on multiple false school threats made across several Middle Tennessee school districts. Cannon County, Franklin County, Humphreys County, Warren County and Macon County responded to threats last week. Tullahoma and Nashville also responded to threat calls. Multiple arrests were made. Wilson County Schools share how they communicate with parents after several school threats In Wilson County, school officials and law enforcement investigated multiple false threats, leading to the arrest of a teenage girl. On Wednesday, an anonymous tip about a threat circulating on snapchat prompted a soft lockdown. We understand the frustration, stress, and fear communities across our state are dealing with, and we will continue to investigate every threat received in an effort to ensure the safety of our schools and all citizens, the joint statement added. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKRN News 2. Texas AMBER Alert: Ingram boy found; at least 8 kids still missing over past 20 years An AMBER Alert has been issued for Kameron Parrish, 6, who is missing from Ingram, TX. An AMBER Alert for a missing 6-year-old from Ingram, Texas, was discontinued late Friday night. Kameron Parrish was found after being reported missing at 11:45 a.m. Wednesday, Sept. 11, at 125 Brave Run in Ingram. The Ingram Police Department was also looking for Talaya Graham and Joseph Graham in connection to the child's abduction. Law enforcement officials believed Parrish was in grave or immediate danger. AMBER Alerts: At least 8 Texas children still missing over past 20 years The National Center for Missing and Exploited Children says most alerts for missing children are resolved within a few hours. However, dozens of kids across the U.S. are still missing, including at least eight from Texas spanning back to 2004. Below is a list of names, locations and when they went missing: Jesus Alvarado Martinez: Last seen near his home in Houston on Oct. 20, 2004. Now 24 years old, he may be accompanied by his father, Avelino Martinez, who should be considered armed and dangerous. Kendrick T. Jackson : Last seen at his home in Houston on April 7, 2006. He was wearing a white T-shirt and pajama shorts with baseball and football designs. He is now 21 years old. Elian Amilcar Majano : Last seen at a park in Irving on June 21, 2006. He was wearing floral print shorts, a white T-shirt, and Spiderman sandals. He is now 20 years old. Danielle Jimenez : Last seen at her home in Channelview on July 31, 2006. She may be in the company of her father, Leandro Jimenez, who was issued a felony warrant for homicide on Aug. 1, 2006. They may be traveling to Del Rio or Mexico in a silver 2004 Chevrolet pickup with Texas license plate 9NGH92. She is now 21 years old. Daisja Weaver : Last seen at her home in Dallas on June 10, 2009, under suspicious circumstances. She is now 16 years old. Armaidre Antwan Marquie Argumon : Last seen at his home in Wells on Sept. 18, 2020, wearing a diaper. He is now 4 years old. Lina Sardar Khil : Last seen in San Antonio on Dec. 20, 2021. She was wearing a red dress and a black jacket. She is now 6 years old. Noel Angel Rodriguez-Alvarez: Last seen in Everman on March 24, 2023. He is now 7 years old. This article originally appeared on Austin American-Statesman: Texas AMBER Alert: Ingram boy found; 8 kids still missing since 2004 Texas woman convicted of car theft after borrowing co-workers car for 5 months SHREVEPORT, La. (KTAL/KMSS) A Texas woman was found guilty and sentenced for vehicle theft in Caddo Parish on Friday. According to a news release, Roneisha Hogan, 27, from Irving, Texas, was convicted by a jury after testimony proved that a coworker allowed her to borrow her car, which Hogan promised to return in three days. Man guilty of attempted manslaughter of Shreveport police officer The owner of the vehicle waited a week before reporting it stolen. Five months later, Hogan was arrested in Dallas with the car. Hogan was sentenced to a five-year suspended sentence, three years of active probation, and 240 hours of community service. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTALnews.com. Drivers wrongly issued with ultra low emission zone (Ulez) charges due to vandalised enforcement cameras will have their penalties cancelled, Transport for London (TfL) has pledged. Two Ulez cameras in Chingford in north-east London, had been twisted the wrong way so that they were facing roads outside the Ulez boundary. It meant non-compliant vehicles were being captured by the cameras and incorrectly charged 12.50 per day. Emma Best, a Tory member of the London Assembly, said dozens of residents had contacted her after being fined. 'Vandalism will not stop Ulez' TfL have continually shown that they have completely lost control of the administration of fees and fines, and are not even able to correctly identify the zone," she said. Dozens of residents contacted me after being fined for driving outside the zone and subsequently having their appeals rejected by TfL. For those with auto-pay, they have discovered there is no way to challenge a charge on their account. Its absolutely ridiculous. A TfL spokesperson said: We are aware that some cameras at the Ulez boundary in Chingford became misaligned through acts of vandalism to point outside the boundary. "The cameras have since been fixed and realigned, and any penalty charges issued incorrectly during this short period will be cancelled. Camera vandalism will not stop the Ulez operating London-wide. All vandalised cameras are repaired or replaced as soon as possible. The Ulez was expanded in August 2023 to cover the whole of London. The charge of 12.50 a day for vehicles that did not meet emissions standards was introduced for inner London in 2019. Listen to the best of BBC Radio London on Sounds and follow BBC London on Facebook, X and Instagram. Send your story ideas to hello.bbclondon@bbc.co.uk Related Internet Links Two hospitals in Springfield, Ohio went into lockdown on Saturday morning, as bomb threats plagued the town for a third day. Officials at Kettering Health Springfield and Mercy Healths Springfield Regional Medical Center placed the two healthcare facilities on lockdown after they became aware of bomb threats made early on Saturday morning, prompting a search of the premises before they could re-open. Bomb threats shuttered schools and government buildings, including City Hall and DMV offices, on Thursday and Friday, with evacuations and closures disrupting town life. The threats come amid an onslaught of racist smears against a community of Haitian immigrants in Springfield, promoted by Trump, his running mate JD Vance and other far-right figures. Haitian Americans across the country have warned that the rhetoric is leading to threats of violence against their community, an assertion Trump shook off on Friday. Representatives for at least one of the hospitals, Kettering, confirmed that they were able to re-open later on Saturday. The Springfield Police Department issued a statement on Saturday, alerting residents to the continued threats and promising safety as threats against the Haitian community accelerate. We recognize that the past few days have been particularly challenging for everyone in our community. Please know that we remain fully committed to ensuring the safety and well-being of each and every person, the statement read. We take any and all threats to our communitys safety very seriously and continue to work diligently to address them. BOARDMAN, Ohio (WKBN) The 39th Spartan Invitational was held at Boardman High School Saturday morning, with thousands of runners racing. Its one of the biggest cross-country meets in the entire country, said Boardman High School Assistant Director Rick Sybert. Were going to see about 5,000 runners. Races started at 9 a.m and end in the late afternoon. Organizers say the event usually has anywhere from 15,000 to 22,000 fans. For any athletes in high school, its the last step before you go to state, Sybert said. Weve been working hard for weeks to get this thing ready. Runners grade levels range from middle school to high school and they come from all across Ohio, West Virginia, Virginia and Pennsylvania. Its a sense of pride. We get a lot of local businesses and a lot of corporate sponsors involved with the invitational, Sybert said. Its a lot of pride, it gives us an opportunity to show off all of our grounds and all the wonderful things that we have here at Boardman. Next year, Sybert says they hope to be USA Track & Field (USATF) certified so any runner from across the country can come and compete at the event. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. Supporters of Honduran President Xiomara Castro rally in the capital Tegucigalpa (Orlando SIERRA) (Orlando SIERRA/AFP/AFP) Thousands of Hondurans took to the streets Saturday to show their support for embattled President Xiomara Castro, after a video emerged allegedly showing her brother-in-law meeting drug traffickers. "Xiomara is not alone!" supporters chanted in front of the presidential palace. The video, which appeared to reveal brother-in-law Carlos Zelaya seeking funding from the traffickers for Castro's 2013 campaign, came to light days after Castro declared the end of an extradition treaty with the United States. Making the surprise announcement on August 28, Castro said she feared the agreement would be used to stage a "coup." She repeated those claims in an address to supporters, who travelled to the capital from across the country by bus. Flanked by her husband Manuel Zelaya and cabinet members, Castro declared: "I will not allow them to stage a new coup." Zelaya, a former president, was overthrown in 2009 in a military coup supported by business elites and the political right. The opposition has accused Castro of ending the extradition treaty and inventing conspiracies to protect members of her government and family. Carlos Zelaya resigned as a lawmaker after the video emerged. Shortly afterwards, Castro's nephew Jose Manuel Zelaya quit as defense minister. Last week, thousands of people attended a torch-lit rally in Tegucigalpa against the scrapping of the extradition treaty, under which 50 Hondurans accused of drug trafficking have been sent to the United States to face trial over the past decade. They include former president Juan Orlando Hernandez, who was sentenced in June in New York to 45 years in prison. nl-hma/cb-dr/sst Thousands rally in Tunisia in run-up to presidential vote People take part in a protest from Republic Square towards Habib Bourghiba Avenue in central Tunis ahead of the upcoming presidential elections. Demonstrators called for rejected candidates to be allowed to stand in the 6th October presidential elections. Hasan Mrad/IMAGESLIVE via ZUMA Press Wire/dpa Thousands of Tunisians have taken to the streets to protest against suppression of dissent and the exclusion of serious challengers in the North African country's upcoming presidential election. The October 6 polls are pitting incumbent President Kais Saied against only two rivals, one of whom is in detention. The electoral commission recently refused to reinstate three more presidential hopefuls who won court appeals to run for president. Critics have accused the panel of lacking in independence and clearing the way for Saied to win a second term, accusations that the commission has denied. Protesters converged on the centre of the capital Tunis on Friday evening decrying "authoritarianism." Some demonstrators chanted, "No fear. No intimidation. Power is in people's hands." Others chanted, "The people want the regime's fall," a slogan reminiscent of a 2010 uprising that ended long-time dictator Zine El Abidine Ben Ali's rule. Some observers are sceptical that the upcoming vote will be held according to democratic rules. "There are indications that really threaten to blow up the course of elections," said Ramadan Ben Omar from non-governmental think tank Tunisian Forum for Economic and Social Rights. "The atmosphere and measures do not reflect a political will to hold free and honest elections," he told dpa. The vote will take place against a backdrop of "increased repression of dissent, muzzling of the media and continued attacks on judicial independence," Human Rights Watch said earlier this month. "Holding elections amid such repression makes a mockery of Tunisians' right to participate in free and fair elections," Bassam Khawaja, the watchdog's deputy director for Middle East and North Africa, said. Since 2021, Saied has consolidated his power by dissolving the parliament and calling early elections, steps that the opposition has branded a "coup." Saied also held a controversial constitutional referendum, which granted him wider powers. Dozens have since been arrested, including opposition politicians and journalists, over alleged corruption and conspiracy against the state security. Saied, who took office in 2019, has repeatedly defended his moves, saying they were in line with the constitution. Once hailed as the sole democratic success story of the 2010-11 Arab Spring revolts, Tunisia has seen a significant decline in public confidence amid political turmoil and economic woes. People take part in a protest from Republic Square towards Habib Bourghiba Avenue in central Tunis ahead of the upcoming presidential elections. Demonstrators called for rejected candidates to be allowed to stand in the 6th October presidential elections. Hasan Mrad/IMAGESLIVE via ZUMA Press Wire/dpa Three civilians killed in Russian strike on agricultural facility in Zaporizhzhia Oblast Three employees of an agricultural facility have been killed in a Russian attack on Huliaipole hromada in Zaporizhzhia Oblast. [A hromada is an administrative unit designating a village, several villages, or a town, and their adjacent territories ed.] Source: Ivan Fedorov, Head of Zaporizhzhia Oblast State Administration, on Telegram Quote: "The Russians have struck the oblast yet again. They bombarded Huliaipole hromada this time. They hit the premises of an agricultural company. Three people have been killed. All the deceased were employees of this facility." Support UP or become our patron! What we know about the triple homicide in an Antioch residential neighborhood Friday night Three people died in an Antioch shooting on Friday night. One woman fled from the scene unharmed, and a fourth man who police believe is connected to the case was shot in the area, according to Nashville police. The Metro Nashville Police Department received a call requesting officers to Bart Drive at 11:11 p.m., according to the police dispatch log. A short time after, officers arrived at the residential neighborhood and found three unidentified men dead at the home. One man's body was on the front porch, and the other two were inside. Three men were shot and killed inside of this house in Antioch Friday night, according to the Metro Nashville Police Department Officials said an unidentified woman was also present in the house during the shooting, and she "managed to run away." MNPD has not located the woman. Police released a statement urging her to contact police and offering resources to help ensure her safety. Based on neighborhood surveillance footage, MNPD determined that the woman arrived at the Bart Drive house with two of the male victims around 10:35 p.m. Friday night in a white Honda Civic with a temporary Georgia tag. Minutes after the three people entered the house, multiple other individuals approached the house on foot, and shots were fired. "Several suspects parked down the street and approached the house," MNPD said in a statement on social media. "They shot the victims and fled." Police said suspects returned to their vehicles and drove off before law enforcement was called to the scene at 11:11 p.m. A fourth man who police say is connected to the case was found at an apartment complex on Wallace Road with a gunshot wound to his hip. He is being treated at Vanderbilt University Medical Center, but he had not spoken with police as of 12:11 p.m. "It was initially reported that he was shot while riding a bicycle Friday night in the area of Bart Drive," an MNPD statement said on Saturday afternoon. "Detectives have not yet been able to speak with him to confirm that due to his medical condition." Law enforcement said the motive for the shooting is unclear, and police did not release any information about the potential suspects. Police encourage anyone with information about the shooting to call Crime Stoppers at (615)742-7463, where community members can leave anonymous crime tips. Hadley Hitson covers trending business, dining and health care for The Tennessean. She can be reached at hhitson@gannett.com. To support her work, subscribe to The Tennessean. This article originally appeared on Nashville Tennessean: Three people dead in overnight Antioch shooting, Nashville police say Tim Walz to visit Georgia next week, campaign says SAVANNAH, Ga. (WSAV) Minnesota Governor and Democratic vice-presidential nominee Tim Waltz will visit Georgia next week, the Harris-Walz campaign announced Saturday. According to the campaign, on the morning of Tuesday, Sept. 17, Walz will deliver brief remarks at a political event in Macon. On Tuesday afternoon, the campaign says Walz will fly into Atlanta to participate in political events. Prior to heading to Georgia, Walz will be in North Carolina on Sunday, Sept. 15, to deliver remarks at a Harris-Walz campaign rally in Asheville. Last month, Vice President Kamala Harris and Walz, campaigned in Georgia, a critical battleground state, state that Democrats narrowly won four years ago. With just several weeks to go till the 2024 Presidential Election, Georgia is among a handful of battleground states that Harris and former President Donald Trump want to capture, as Trumps campaign makes their visit to Savannah on Saturday to hold a town hall event. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WSAV-TV. WAUSAU Democratic vice presidential nominee Tim Walz made the Harris-Walz campaign's first high-profile visit to central Wisconsin on Friday, launching a battleground state push in the final weeks of the presidential campaign. Walz, the governor of Minnesota, met with Democratic volunteers in Wausau following stops in Grand Rapids and Lansing, Michigan. On Saturday, Walz planned to hold a rally at the University of Wisconsin-Superior in northwestern Wisconsin. The stops are part of what the campaign says is a "more aggressive phase on the campaign trail" following Vice President Kamala Harris' debate performance against former President Donald Trump on Tuesday night. That strategy, the campaign said in a memo, involves "stumping across battleground states" as Harris seeks to build post-debate momentum. Trump rallied in nearby Mosinee last week. The area is part of Wisconsin's 7th Congressional District that has voted heavily for Trump in the past two elections. Walz meets with Ukrainian refugees in Wausau Democratic vice presidential candidate Tim Walz and Lt. Gov. Sara Rodriguez make an off-record visit to local bakery Just Right: Cakes, Gifts, Coffee and chat with owners Anton Chystiukhin and Anastasiia Chystiukhina in Wausau on Friday. Walz's first stop in Wausau was Just Right: Cakes, Coffee, Gifts, where Walz and his daughter, Hope, met with Anastasiia Chystiukhina and Anton Chystiukhin, and their son, Mark. The family settled in Wausau in 2022 and opened the store in 2023. The family fled Ukraine after Russia invaded the country. During his stop, Walz acknowledged the struggle and told Anastasiia and Anton "the Russian aggression can't stand." During his visit, he bought two pieces of cake, one called "Black Sea" and the other "Heart of Ukraine." When Walz asked his daughter if she wanted anything else. "We can share," she said, to laughs from the owners. At his next stop, Walz also addressed the ongoing conflict in the country and committed to supporting residents. "The answer is simple: Ukraine must win the war," he said later at Whitewater Music Hall. Volunteers urged to talk to family and neighbors about voting this fall Democratic vice presidential candidate Tim Walz speaks at a voter appreciation event at Whitewater Music Hall in Wausau on Friday. Walz, along with Wisconsin Lt. Gov. Sara Rodriguez, rallied volunteers and voters during his stop at Whitewater Music Hall in Wausau's downtown, urging them to have "difficult conversations" with family and friends to boost the Harris-Walz campaign in Wausau. "You've got to have those difficult conversations. I live here. I've got some relatives and some neighbors that may not have voted for me, but I don't ask them, because I'd like to have them over for Thanksgiving," she said. "But these are the kind of conversations that we're going to need to have. They need to know what is on the line." Walz said America can't be "that frog in that slowly rising water temperature." "What we know is the way to put an end to that is to simply work our butts off, call our neighbors, get people to the ballot box, win this election and let him go complain for however long he wants," he said. With only 53 days left until the election this fall, Walz said in places like Wausau a few votes can make the difference in whether Wisconsin elects Harris or Trump. "A couple thousand votes in a county like this, the way our system is set up, is worth more than 20,000 votes in California," he said. "Just imagine the first time you're going to get the opportunity to say, Madam President," he said to raucous applause. Walz highlights Harris' debate performance Walz said some people were surprised by Harris' performance during Tuesday's debate. "Why were you surprised? She was the prosecutor, county attorney, attorney general of California, a United States senator and the Vice President of the United States," he said. As for Trump: "All the other Democratic leaders have no respect for him," he said of U.S. allies. "They know where he's at. He continues to brag about being friends with the dictators." Trump campaign hits back at Walz The Trump campaign painted Walz's tenure as Minnesota governor as far left. Tim Walz, the Radical Leftist Governor of Minnesota, is now attempting to bring his failed policies to Wisconsin, a move that should concern every Wisconsinite.," said Jacob Fischer, the Trump campaign's Wisconsin press secretary, who said Walz advanced a "socialist agenda" as governor. "In stark contrast, President Donald J. Trump will fight to restore safety, security, and prosperity to every corner of the nation," Fischer said. Polling shows Harris and ahead of Trump in Wisconsin A Marquette University Law School poll released Wednesday showed Walz was the only candidate on either ticket with a net positive favorability rating. Walz is viewed favorably by 43% of registered voters in Wisconsin and unfavorably by 37%, the poll found. Ohio Republican Sen. JD Vance, Trump's running mate, is viewed favorably by 37% and unfavorably by 47%. More: JD Vance heading back to Wisconsin Wednesday for campaign stop in Eau Claire The poll showed Harris with a 4-point lead over Trump in Wisconsin, within the poll's margin of error. The Harris campaign said Thursday it plans to launch "a suite of new TV and digital ads" in addition to the visits featuring clips from Tuesday's debate. In Wisconsin, Harris and Democrats have out-dueled Trump and Republicans on the airwaves in Wisconsin's main media markets. Advertising data showed Democrats have spent more on ads so far this cycle and have more TV ad space reserved than Republicans in the weeks until Nov. 5. Walz will face off with Vance in a vice presidential debate on Oct. 1. Journal Sentinel reporter Jessie Opoien contributed. Laura Schulte can be reached at leschulte@jrn.com and on X at @SchulteLaura. This article originally appeared on Milwaukee Journal Sentinel: Tim Walz visits a Ukrainian bakery, rallies volunteers in Wausau TN Most Wanted fugitive found and taken into custody NASHVILLE, Tenn. (WKRN) The Tennessee Bureau of Investigation (TBI) said that a fugitive wanted out of Maury County for homicide has been found and taken into custody. PREVIOUS: Man wanted for homicide in Maury County, considered armed and dangerous Michael Van Dobbs was taken into custody on Friday, according to a social media post from the TBI. He was accused of killing 37-year-old Harold BJ Grisham III. Michael Van Dobbs (Courtesy: Maury County Sheriffs Department) Based on documents from the Maury County Sheriffs Department, Dobbs criminal history there started in 2002. Records show a total of 44 charges against Dobbs in the span of 21 years, including theft, burglary, drug-related offenses, child endangerment, and assault. The Williamson County Sheriffs Office said in a Facebook post that he was taken into custody around 7 p.m. near the Garrison Creek Trail Head and the Natchez Trace Scenic Trail. A tip from an alert citizen who recognized Dobbs in the area and immediately notified law enforcement enabled deputies to locate and apprehend Dobbs without incident, the post added. RELATED: Family mourns mans death in Maury County as search continues for homicide suspect He had been considered armed and dangerous and was placed on Tennessees Most Wanted list of fugitives. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKRN News 2. Clouds gather over the South Dakota Capitol building in Pierre on Sept. 17, 2022. (Seth Tupper/South Dakota Searchlight) As the nation celebrates Democracy Day, its a good time to be thankful for the freedoms that we have but also be wary of the threats that democracy faces. Those threats arent limited to Washington, D.C. They exist in the statehouse and the local courthouse as well. Democracy is threatened whenever government at any level wants to keep the public in the dark. In July, South Dakota Searchlight reported on one such government official who prefers to stay away from the prying eyes of the public, Department of Corrections Secretary Kellie Wasko. The secretary was speaking to the Legislatures Government Operations and Audit Committee after two bouts of violence at two different prisons. According to Wasko, the less said in public about those incidents, the better. At issue was her relationship with the states Corrections Commission. The citizen commission is bound by law to weigh in on criminal justice issues as well as sign off on funding requests for prison industries. Commission members complained that they were kept in the dark about the violent incidents at the prisons. This article is part of U.S. Democracy Day, a nationwide collaborative on Sept. 15, the International Day of Democracy, in which news organizations cover how democracy works and the threats it faces. To learn more, visit usdemocracyday.org. Wasko said she would prefer to answer to lawmakers because Corrections Commission meetings are open to the public. Wasko said she would feel better about talking to legislators if they could close the door and get into the nitty-gritty. She actually said close the door on what should be public business. However, If Wasko wants to keep the public in the dark, shes in the right place: state government. Twice during the 2021 session, legislators brought bills designed to unearth the cost of protecting Gov. Kristi Noem. The much-traveled governor was a rising star in the Republican Party, often accompanied by two Highway Patrol troopers. Lawmakers, who were under the impression that they held the purse strings for state government, wanted an accounting. The response from the Noem administration: Youre not getting one. There would be no accounting for the governors protection detail, lawmakers were told, because anyone who posed a threat could use those figures to determine how well the governor was protected. The administration would not even allow a lump sum to be released. It seems bad guys have an algorithm that could take that figure to deduce how well the governor is protected, whether shes in Pierpont or Paris. Majority Republicans, in the same party as the governor and, in 2021, getting along with her better than they have of late, accepted the premise that the budget for protecting Noem needed to be kept secret. Thats because Republican lawmakers have secrecy penciled into their daily schedule during the legislative session. Each day before the afternoon session, the super majority Republicans in the House and Senate are squirreled away for caucuses that shut out the public. Not only do they meet in secret, away from the prying eyes of the public they serve, they do so in the Capitol, a public building. That means the very people who are being shut out of the meeting get to pay the cost of the heat, lights and furnishings, not to mention the payroll for the people they employ to make their laws. Thats a chore many of us thought they were supposed to do in public. Occasionally, during legislative sessions, a bill comes along to tweak the states weak executive session law. That law is used whenever local governments city, school, county want to go into closed session away from public view for various reasons: personnel, student expulsion, attorney consultation, contract negotiation. While executive session bills appear infrequently, their committee hearings are filled with irony as Republican lawmakers extoll the virtues of openness in government all the while knowing that after lunch they will head into a caucus that slams the door on the public. Democracy works best when the public is informed. Its the role of citizens to show up at the voting booth, at public meetings and at candidate forums to let elected officials know that someone is watching. For their part, officers holders and those charged with running our government have to do so in the light of day. Whenever they close the door on the public, they damage the democratic ideals of this nation. SUBSCRIBE: GET THE MORNING HEADLINES DELIVERED TO YOUR INBOX TOPEKA (KSNT) A Topeka Police Department officer has filed a second federal lawsuit against the city accusing the police department of discriminating against female officers and creating a hostile work environment. The filing comes just days before the start of the federal trial of on a 2023 discrimination case against the City of Topeka and former Topeka Police Chief Bryan Wheeles. In this latest lawsuit, filed on Sept. 13, Topeka Police Captain Jennifer Cross claimed the officers who filed the original discrimination case have been retaliated against since that filing. She continued to allege female officers in the Topeka Police Department are treated negatively in such a way that is discriminatory and creates a hostile work environment. Cross was one of three female administrators who filed the initial discrimination case. In August, U.S. District Judge John Broomes wrote the plaintiffs failed to provide evidence they were not promoted because of their sex and that Wheeles is entitled to qualified immunity on those claims. In this new lawsuit, Cross detailed allegations of discrimination she said she faced during an investigation into her alleged workplace bullying of a subordinate officer. She claimed the investigation was conducted in a way to ensure its outcome. Cross said the selection of witnesses, duration of the investigation, lack of communication and inconsistent treatment of the parties involved were retaliatory in nature. Cross claimed the lieutenant who accused her of workplace bullying and another lieutenant involved in the investigation lied to ensure the grievance against her would be substantiated. She also accused Wheeles and now Interim Police Chief Jamey Haltom of turning a blind eye to evidence she did not violate policy or bully the subordinate officer. Cross reiterated and added to claims made in the 2023 lawsuit that the police department discriminates against female officers and creates a hostile work environment. She accused the City of Topeka of violating Title VII by allowing officers to retaliate against her and other female administrators following the filing of the 2023 lawsuit. She also filed a charge of discrimination with the Equal Employment Opportunity Commission. Cross asked that this latest lawsuit be taken to trial. The federal jury trial in the 2023 discrimination lawsuit is set to begin on Sept. 16. KSNT 27 News has reached out to the City of Topeka for comment but had not yet received a response by the time this report was filed. For more crime news, click here. Keep up with the latest breaking news in northeast Kansas by downloading our mobile app and by signing up for our news email alerts. Sign up for our Storm Track Weather app by clicking here. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KSNT 27 News. FLORENCE, S.C. (WBTW) Florence man Jeffrey Leibowitz was bitten by the most venomous snake in the world the inland taipan, in his home on Sept. 6, according to city police, and a lifelong snake keeper was flabbergasted at the way Leibowitz handled the snakes. After seeing various posts of Leibowitzs videos on Facebook, Al Coritz said Leibowitz was not handling or caring for them properly in his Marion Street home. On Friday, Leibowitz posted a video on Facebook, confirming he was bit by the inland taipan. Coritz said the plastic containers shown in Leibowitzs posts are not suitable for snakes over a long period of time as they can easily slither through the cracks. He also said that handling them freely without a snake hook or tongs made it only a matter of time before the snake would strike back. Theres no predicting these animals, Coritz said. I think I was totally flabbergasted when I was watching him handle the little taipan and I saw its little tail wagging, which means that Im very upset. Dont bother me. I dont want you to touch me.' Having owned a variety of 67 venomous snake species since 1973 and an important permit to purchase some of his snakes, Coritz said he has loved the unique reptiles since he was young and grew a passion for educating people about the venomous creatures he owns. Some of the snakes he owned have consisted of a blue insular pit viper, water cobras and even a taipan himself. This has been a lifelong passion. I first started keeping nonvenomous snakes when I was a teenager and acquired my first venomous snakes in probably 1973, Coritz said. I had my own passion towards these very specialized animals that have modified over the centuries. Certain components available inside their bodies, turning them into toxins and developing a delivery system for these toxins, primarily for predation, he continued. So Ive always been very interested in that, you know, a biologist pretty much by training. So naturally this all all fell into place. Having invested so much time and passion into learning about his pet snakes, Coritz said having antivenom on-hand should be a necessity for all venomous snake owners. Though Coritz said it can be very expensive, some upwards of $4,000 a vile its worth it. Leibowitz is having his antivenom flown in from another state. This one happens to be a polyvalent, which means it will neutralize the venom of many of the Australian snakes all in one bottle, he said. Its like, you live 10 hours from the nearest firehouse and your house catches fire. Whats going to be left in your house in 10 hours when the fire department finally arrives? Not much. So, this is having your own local fire department in your house. As many in the reptile community purchase their nonvenomous and venomous snakes from reptile shows, owner of the Ultimate Reptile show, Maria Marinopoulos, said that their show travels across the U.S and was at the Myrtle Beach Convention Center last month. She said each state has different laws and regulations, but that Leibowitz did not get his from their show. It wasnt sold at our show. It was delivered to the show, she said. It had nothing to do with the show. It was a deal that was made over Facebook and another vendor that was a friend of the person that sold the snake. They delivered it for Jeff. She said although the Ultimate Reptile Show holds no liability toward Leibowitzs situation, their staff will continue to go through protocols with snake vendors and implement new safety measures in the near future. Coritz said that the venomous snake community should come together and practice safe handling, wishing Leibowitz a safe recovery. * * * Gabby Jonas joined the News13 team as a multimedia journalist in April 2024. She is from Columbus, Ohio, and graduated from Kent State University in May 2023. Follow Gabby on X, formerly Twitter, Facebook or Instagram, and read more of her work here. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WBTW. Alexis McGill Johnson, president of the Planned Parenthood Action Fund, talks with Alex Wagner about the effectiveness of Kamala Harris on the campaign trail discussing the personal health stories of women in need of abortion care, and the steady awakening of Republicans to the reality of women's health. View comments It was 'tough on China' week in Congress, one of the few issues both parties agree on BEIJING From drones to drugs, House lawmakers sought to portray themselves as tough on China as they returned to work this week, taking up more than two dozen measures aimed at countering Beijings technological, political and economic influence. The blitz of 28 mostly Republican-led bills during China Week has been criticized by Democrats as a ploy to make Republicans look stronger against China, a key foreign policy issue, in the final weeks before the November election. But many of the measures passed with bipartisan support at a time when viewing the worlds second-largest economy primarily as a geopolitical rival is one of the few issues both Republicans and Democrats can agree on. Members now see little downside risk in adopting a tougher stance on China, said Craig Singleton, a senior China fellow at the Foundation for Defense of Democracies, a think tank based in Washington. China says the legislation could strain what both countries have called one of the worlds most important bilateral relationships, even as Beijing and Washington take steps to improve ties. It has vowed to take strong and effective measures in response. Republican House Speaker Mike Johnson at the Capitol in Washington on Thursday. All legislation must also be passed by the Senate before it can be sent to President Joe Biden to be signed into law. It is unclear how many of the measures will make it that far given the limited number of days the Senate is in session for the rest of the year. But their success in the House could pave the way for even stronger regulatory moves in the next Congress, Singleton said. Here are some of the most notable measures that were approved: Biotech companies One of the first bills to pass, the Biosecure Act, would prohibit federal contracts with several Chinese biotech companies and those that do business with them, with supporters saying the measure is necessary to protect Americans genetic and other health data from Beijing. The Chinese companies, whose work includes cancer research and manufacturing for American drugmakers, say data privacy is not at risk and that the measure will limit competition. We are disappointed that the U.S. legislative process is being used to pick winners and losers, Shenzhen-based BGI Group said in a statement. A spokesperson for WuXi AppTec, another of the targeted companies, said it was deeply concerned about the legislations impact on U.S. leadership in biotechnology innovation, drug development and patient care. Hong Kong Lawmakers overwhelmingly passed a bill that could lead to the closure of Hong Kongs economic and trade offices in Washington, New York and San Francisco if they are found not to be running with a high degree of autonomy from China. Authorities have cracked down on dissent in the Chinese territory, a former British colony that returned to Chinese rule in 1997 under the principle of one country, two systems, since mass anti-government protests in 2019. The Hong Kong government criticized the bill on Wednesday as politically motivated, saying that normal functioning of the trade offices is mutually beneficial to both places and that their closure would ultimately harm the interests of the U.S. The Chinese Foreign Ministry said Beijing had lodged stern representations with the U.S. A DJI drone shop in Shanghai in July. Drones Another bill would bar new drones from the Chinese manufacturer DJI from operating on U.S. communications infrastructure, citing national security risks that the company has rejected. DJI, which sells more than half of all drones in the U.S., said in May that lawmakers had amplified xenophobic narratives in a quest to support local drone manufacturers and eliminate market competition. It added that the move would hurt not just American hobbyists but also a broad ecosystem of operators, businesses and public safety agencies. China said the U.S. should stop suppressing Chinese companies under various pretexts. A new China Initiative Lawmakers were more divided over an effort to revive the Justice Departments China Initiative, a Trump-era national security program meant to counter intellectual property theft at universities and research institutions. Asian American advocacy groups said the program unfairly targeted ethnic Chinese scientists and upended their lives, and it ended in 2022 after a string of failed prosecutions. The measure passed in the House 237-180. Foreign farmland purchases Another bill that raised discrimination concerns would limit the sale of agricultural land to foreign nationals from Russia, China, North Korea and Iran. Supporters said it would improve oversight of foreign farmland purchases, including those near sensitive sites. A review by NBC News found that the total amount of U.S. agricultural land owned by Chinese interests is less than three-hundredths of 1%. Electric vehicles Lawmakers narrowly passed a measure that would tighten the definition of Chinese components that disqualify vehicles from receiving U.S. EV tax credits. Opponents argued that it would slow U.S. efforts to get more EVs on its roads as part of the broader transition to green technology. Though China is a world leader in the manufacture of electric vehicles and dominates the supply chain for EV batteries, very few of its EVs are sold in the U.S. In May, Biden announced that the tariff on Chinese EVs would increase from 27.5% to 100%. Scientific cooperation Lawmakers passed a bill that would require congressional notification before the renewal or extension of the Science and Technology Agreement (STA) or the creation, renewal or extension of any similar agreement with China. For too long, the Chinese Communist Party has exploited these partnerships to gain access to sensitive technologies that could threaten our national security, Rep. Andy Barr, R-Ky., said after the bills passage. The STA, the first accord between the U.S. and China after they normalized diplomatic relations in 1979, expired on Aug. 27 after two six-month extensions. Supporters say the pacts lapse would hinder academic cooperation and could imperil U.S.-China government collaboration in areas such as climate change and public health. Janis Mackey Frayer reported from Beijing, and Mithil Aggarwal and Peter Guo reported from Hong Kong. This article was originally published on NBCNews.com Town to get public defibrillators in ad displays One of the displays will be located outside Broad Street Mall [JCDecaux ] Life-saving defibrillators built in to digital advertising displays could be installed in Reading town centre. Advertising multinational JCDecaux UK has applied for planning permission for the digital technology in at least four locations in the Berkshire town, including St Marys Butts and Friar Street. They are part of a national campaign in partnership with the Community Heartbeat Trust charity. Automated external defibrillators (AEDs) are designed to be used by anyone, without training, in the event of someone suffering a cardiac arrest. People are advised to call 999 before using the AED device, which gives clear voice commands to the user. It checks the heart rhythm and gives a high-energy shock to the heart to restore normal rhythm, but only if needed. Defibrillators are used for people suffering a cardiac arrest and not a heart attack. JCDecaux said the project has already seen more than 180 AEDs installed in towns and cities across the UK, describing them as "life-saving street furniture". The outdoor advertiser said they had been used 500 times so far. You can follow BBC Berkshire on Facebook, X (Twitter), or Instagram. See also Related Links New treatment for common but deadly heart condition now available in Fresno FRESNO, Calif. (KSEE/KGPE) A new way to repair a common but deadly heart valve disease is now available in the Central Valley, according to the Saint Agnes Medical Center. According to the American Heart Association, tricuspid regurgitation occurs when the heart valves do not close properly. The disease affects 5% to 20% of U.S. adults. Saint Agnes Medical Center in Fresno says past therapies have had little effect on treating the disease, and traditional surgeries are rarely performed due to significant operative risk. Heart procedure improves patients life The hospital says they now have a low-risk non-surgical treatment option to help repair leaky valves and improve the quality of life of those suffering from severe tricuspid regurgitation. On Aug. 15, Fresnos Saint Agnes Medical Center successfully performed the first Abbott TriClip Transcatheter Edge-to-Edge Repair operation in the Central Valley. Individuals who are candidates for TriClip are really sick with an elevated risk for surgery meaning if they were to undergo open heart surgery they would likely not survive, said Dr. Usman Javed, who performed the first TEER surgery in the Central Valley. This procedure helps them improve quality of life, functionality and decrease their need for future hospitalizations. Doctors say the TriClip is delivered to the heart with a catheter that is inserted through the femoral vein in the leg and works by clipping together a portion of the leaflets of the tricuspid valve to reduce the backflow of blood. Saint Agnes first in Central Valley to use FARAPULSE for heart treatments Before this, we felt that a lot of heart patients had no option but to travel up north or down south for care, said Dr. Javed. These are sick patients and for many, travel is not an option. To have this technology in Fresno and keep patients where they like to be and let them be treated so close to home is huge. According to the Mayo Clinic, tricuspid regurgitation can occur silently. Doctors from Penn Medicine recommend those experiencing unusual fatigue, abnormal heart rhythms, pulsing in the neck, shortness of breath, or swelling in the abdomen, legs, or neck veins, get checked by their primary care provider. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to YourCentralValley.com | KSEE24 and CBS47. RANKIN COUNTY, Miss. (WJTV) The trial for the Rankin County teenager accused of killing her mother will begin on Monday, September 16 with jury selection. Earlier this week, the court denied a motion to transfer Carly Greggs case to Youth Court. The state said Gregg cannot plead insanity because of voluntary intoxication. They said she admitted she used marijuana just days before her mother was killed. During a court appearance in August, Gregg rejected a plea deal in which she would be sentenced to 40 years in the custody of the Mississippi Department of Corrections (MDOC). The case will now go to trial, and Gregg faces up to life in prison. A grand jury previously indicted Gregg on the counts of first-degree murder, attempted murder and tampering with evidence. Motion to move Carly Greggs trial to Youth Court denied The shooting happened just after 5:00 p.m. on Tuesday, March 19 in the 200 block of Ashton Way. When deputies arrived at the location, they found a man suffering from a gunshot wound to the shoulder. The man told deputies that he arrived home and found his wife, who was identified as 40-year-old Ashley Smylie, deceased from an apparent gunshot wound. He claimed his 14-year-old step-daughter was armed with a pistol. According to Rankin County Sheriff Bryan Bailey, the victim stated Gregg shot him in the shoulder. The man was able to take the weapon from the teenager, who then ran into the backyard and jumped over a fence. Carly Madison Gregg (Courtesy: Rankin County Sheriffs Office) Ashley Smylie (Courtesy: Rankin County School District) Bailey said additional deputies responded to the scene, along with the Mississippi Highway Patrols (MHP) helicopter. Just after 5:30 p.m., Gregg was located not far from the residence and taken into custody without incident. During her initial court appearance, Gregg pled not guilty to the charges. Her bond was set at $1 million. She is being held in the Rankin County Adult Detention Center. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJTV. Decision to progressively raise retirement age unveiled 08:22, September 14, 2024 By Cheng Si ( China Daily China will progressively raise the statutory retirement age in next 15 years starting from 2025, after conducting thorough research of the nation's current population structure and people's health condition, with the move aiming to facilitate more reasonable and better use of human resources. The 11th session of the Standing Committee of the 14th National People's Congress, which concluded on Friday, approved a decision to raise the retirement age of male workers to 63, and females to 55 or 58, depending on their jobs or occupations. The current retirement age for men in urban areas is 60, and women 50 or 55 depending on their jobs which is among the world's lowest for major economies. It's the nation's first adjustment of retirement ages since the current system was launched in the 1950s. The changes will take effect from Jan 1, 2025, and are based on a proposed policy by the State Council, China's Cabinet. The new policy also stresses "being voluntary and flexible", giving people greater latitude to plan or choose their own retirement. Under the new policy, for male employees who originally retired at the age of 60 and females who left the workforce at 55, the retirement age will be adjusted accordingly to facilitate compliance with the new system. The government launched retirement age calculating services on official websites and WeChat mini programs at the official account of the Ministry of Human Resources and Social Security. People will be allowed to voluntarily retire no more than three years in advance after reaching the minimum year of pension contributions, which will gradually rise from 15 to 20 years from 2030. However, they are not permitted to retire earlier than the previous statutory age. Also, people can voluntarily postpone their retirement age for no more than three years after reaching the new statuary retirement and reaching an agreement with their employers. Employers should not force employees to choose retirement ages against their will. Wang Xiaoping, minister of human resources and social security, said that the retirement policy has become more flexible compared with the previous "one-size-fits-all" approach. Wang said that the adjustment is based on the current population structure, people's improving health conditions, and the public's concerns about a relative lack of flexibility in arranging their working and retiring lives. "Chinese people's average life expectancy rose to 78.6 years in 2023 from around just 40 in the 1950s. Years of schooling also increased from eight years in the late 1970s and early 1980s, to currently 14 years, thus postponing people's time for landing their first job," she said. The new policy will also help ease the decline in the working-age population, address the aging problem, and maintain vibrant economic growth and social development, she added. "The number of people in China aged 60 and above reached 297 million by the end of 2023, accounting for 21.1 percent of the nation's total population. Among them, the number of those ages 65 and over was 217 million," she said. "Meanwhile, the working-age population saw continuous decreases from 2012 at a rate of 3 million per year, and the fall may intensify in the future." The new policy also highlights employment support for youths and workers at older ages, and encourages local authorities to tighten prevention of age-related job discrimination while prompting employers to hire more senior candidates. Under the new policy, employers who hire workers older than the statuary retirement age should give such new employees basic working benefits related to income, right to rest, safety, and job-related injury insurance. Li Zhong, vice-minister of human resources and social security, said that the new retirement policy will be implemented steadily and at a modest pace, and positions vacated by new retirees may not be that suitable for youths, so the changes will likely have a mild impact on youth employment. Li said the nation will continue to prioritize youth employment to let it grow at a reasonable rate and with higher quality. (Web editor: Tian Yi, Liang Jun) More men have come forward to the BBC accusing the former chief executive of Abercrombie & Fitch and his British partner of sexual exploitation. Some allege they were abused, and some that they were injected with drugs. Luke says he was shocked as he was guided into Mike Jeffries presidential suite in a hotel in Spain. "It was like a movie set of an Abercrombie store," he recalls of the event in 2011. "And I thought we were going to do a photoshoot." He says the room was dimly lit with erotic photos of mens abs adorning the dark walls. In the middle, a group of assistants dressed in Abercrombie & Fitch uniforms - polos, blue jeans and flip-flops - were casually folding clothes on a table, pretending to be shop workers, he says. Then aged 20, Luke says he had been offered the chance of being in a company advert if he flew from his home in Los Angeles to Madrid to meet the CEO of Abercrombie & Fitch (A&F). Luke says the proposal had come via a modelling website from a man who said he worked as a talent scout and executive assistant for Mr Jeffries - then head of the billion-dollar teen retailer. Warning: This story contains accounts of sexual violence In the suite, he says Mr Jeffries' assistants began engaging in role-play, encouraging him to act as a shirtless greeter, a hallmark of A&F stores at the time. Luke says he remembers the talent scout saying: "Now I have two very important guests, and these are going to be the customers that you need to impress and entertain because they're going to be buying a lot of clothes from you." Luke says he thought he was meeting Mike Jeffries for a modelling job [BBC] At that moment, he says Mr Jeffries and his life partner, Matthew Smith, came out of a corner of the room. They immediately started touching him and Mr Jeffries forcibly kissed him, he says. "I was trying to avoid the whole situation as much as I could, but Michael was very aggressive." He says the Abercrombie boss then performed oral sex on him. I tried to say no repeatedly. And then I just got kind of convinced to do something. But I constantly was saying no, and I wanted to go. ___ Luke (not his real name) is one of eight more men who have spoken to the BBC in the past year since we revealed allegations of sexual exploitation at events hosted by Mr Jeffries and Mr Smith. The FBI launched an investigation following the BBCs reporting, and 20 men in total have now told us they attended or helped organise these events. As well as Lukes allegation, the new witnesses reveal fresh details about the scale of the events, which took place from at least 2009 until 2015 while Mr Jeffries was chief executive. The BBC previously found there had been a sophisticated operation involving a middleman tasked with finding men for these events, but the new testimonies detail additional recruitment methods. The men also raise new questions about the role of Mr Jeffries' assistants - a select group of young men in A&F uniforms who travelled around the world with him and supervised these sex events. According to multiple men, Mr Jeffries' assistants injected some attendees in the penis with what they were told was liquid Viagra. Mr Smith, left, and Mr Jeffries hosted events with men recruited by middleman James Jacobson, right [Getty Images / Handout] Chris, not his real name, told the BBC he felt he was "going to die" after one of these injections caused an extreme reaction during an event at one of Mr Jeffries' New York homes. Feeling "hot, dizzy" and in shock, he said nobody called for an ambulance. Still disorientated, he said Mr Jeffries and Mr Smith, who had been waiting in another room, then tried to have sex with him. Former model Keith Milkie, 31, says one of Mr Jeffries' assistants had also "bragged" about having done some work for Abercrombie & Fitch at the same time as working at these sex events. He says this assistant was named on an event itinerary and the BBC found he also had an A&F company email. While personal assistants of Mr Jeffries were often dressed in A&F uniforms, this is the first claim that a member of A&F staff was involved in the running of Mr Jeffries' sex events. When the BBC asked the company about this, it declined to answer, saying it does not comment on legal matters. [BBC] World Of Secrets - The Abercrombie Guys Hear two new episodes on BBC Sounds or here if you are outside the UK Mr Jeffries, 80, Mr Smith, 61, and A&F - which also owns the brand Hollister - are facing a civil lawsuit alleging the retailer funded a sex-trafficking operation over the two decades he had been in charge. Mr Smith and Mr Jeffries did not respond to requests for comment. However, their lawyers have previously said they deny allegations of wrongdoing, adding: "The courtroom is where we will deal with this matter." A roster of attendees One former attendee, Diego Guillen, who says he has been interviewed by the FBI, told the BBC he was paid $500 (380) every Saturday to make wake-up calls to men expected to attend these sex events in 2011. He estimated he made about 80 calls over seven months. Mr Guillen, 42, says there was also a roster of attendees. Other sources have said this "database" could have as many as 60 different men on it at any given time, revealing a snapshot of the scale of those recruited. He says he had initially attended sex events at Mr Jeffries' former New York homes after being recruited on the street by the couples middleman, James Jacobson. Mr Guillen, now a lawyer and real estate broker who runs his own firm, says he had never had sex for money before, but at the time he was unemployed and homeless, sleeping in a friends office. Despite his circumstances then, he says he did not feel exploited. After the FBI turned up at his door, Mr Guillen says he contacted Mr Jeffries' lawyer who sent a private investigator to interview him to help build their legal defence. Mr Guillen says the other men present at the events he attended had been "under no obligation, under zero pressure" and "paid quite well". "Michael and Matthew are high profile gay men and liked having sex with young, handsome men. And being older, they knew that the real way to get this done was to be generous," he says. "But with full consent and making sure that the [men] wanted it and liked it. And that's it." 'An immense amount of shame' Unlike other men who were recruited by the middleman, Luke says his initial contact was an assistant working for Mr Jeffries family office - a private company run by Mr Smith, which managed the then-CEOs wealth and properties. Luke says this assistant interviewed him over Skype, telling him to expect to be topless for the Madrid hotel photoshoot, but there were no obvious red flags. This man then organised his travel and accommodation, he says. "It didn't seem like anything too out of the ordinary for me because even working at an Abercrombie store when I was younger, there was guys who would stand outside shirtless. That was like a trademark thing," says Luke. Leaked travel plans show Mr Jeffries was scheduled to be in Madrid several times in 2011 ahead of opening a real A&F store. The night before the event, Luke says he was paid 3,500 (2,950) in cash, which he believed was "general spending money" for the three days he was in Madrid. But he says the assistant was "vague" about the plan. He says in the hotel suite, Mr Jeffries and Mr Smith began having sex with two slightly older men - one he thought was in his 30s and the other in his 40s - present for the same event. Luke says Mr Jeffries' then started kissing him. Soon after, he says Mr Jeffries performed oral sex on him and Mr Smith attempted to do the same. He says he tried to perform "some sort of oral" sex on Mr Jeffries, but "couldn't". "I'm getting fired because I didn't do what this guy wanted," Luke remembers thinking, believing he was about to lose his chance of a modelling job. "I could have just ran out of that room, but I didn't even know how I would have gotten out." Luke says he felt unable to leave as Mr Jeffries' assistants - whom he perceived as security staff - were "watching exits". Luke says Mr Jeffries' hotel suite was made to resemble an A&F store, like this one in New York [Getty Images] Back home in the US, he says he felt unable to report what happened because of the non-disclosure agreement he had signed prior to the event. "There's an immense amount of shame associated with this idea that you're not a masculine man if you've been molested or taken advantage of by another man," says Luke, who identifies as straight. "My whole life I've struggled with people thinking that I'm gay and I got bullied in high school because I have a soft voice. The last thing on earth I was going to do is say something emasculating, like, I got molested and orally raped by a guy." Luke says what happened in Madrid was "rocket fuel" for a drug addiction he later developed. In 2016, he was arrested for selling drugs and served six months in a correctional boot camp. He now runs his own business alongside helping people with addictions. 'It was like fantasy land' Keith Milkie says he attended numerous events hosted by Mr Jeffries and Mr Smith between 2012 and 2014. He says he understood these events would be sexual but that nothing Mr Jacobson said could "prepare you for what's going to happen" next. Then aged about 20, Mr Milkie says he had been struggling to pay his rent after being invited to move to New York by an agent, who ran a house full of aspiring models. He says a housemate soon introduced the idea of escorting, and a contact later introduced him to Mr Jacobson. Mr Milkie, who identified as straight at the time, says he found some of the events "uncomfortable" and "painful". On one occasion, in Paris, he says Mr Jeffries instructed him to have sex with another man, which he "did not want or enjoy". During another, he says he was verbally abused by Mr Jeffries after saying "no" to a risky sexual act while on board the Queen Mary 2, an ocean liner which sails from England to New York. He says Mr Jeffries was drunk and tried to insert a "bleeding finger" into him. "I was in the bed putting on a fake smile, crying on the inside," he says. "Here I am in the middle of the ocean having this person four times my age in that position of power and influence belittle me to death and literally call me worthless simply because I said no to something." He says Mr Jacobson paid him about $24,000 (18,400) in cash for the seven-night cruise. Mr Milkie says he was berated and called worthless when he said "no" to Mr Jeffries [Keith Milkie] According to his event itineraries, which had been sent by Mr Jacobson, another of these sex events was just days after it had been publicly announced Mr Jeffries was stepping down as CEO of A&F in December 2014. Mr Milkie believes that final meeting marked the end of these events. "The personification of Mike Jeffries is Abercrombie. He had the hair plugs, the plastic surgery, he wore the clothes, he wore the flip-flops. I mean, you talk about power. He projected his image on the entire country. His places where he lived were literally an Abercrombie store. It was like fantasy land," he says. "Without that sort of power, that sort of fear and influence, I imagine it's just like a lot harder to keep people quiet, which is why years later people are talking about it." After the BBCs initial investigation was published last year, A&F announced it was opening an independent investigation into the allegations raised. When we recently asked when this report will be completed - and if the findings would be made public - the company declined to answer. Like Mr Jeffries and Mr Smith, the brand has been trying to get the civil lawsuit against it dismissed, arguing it had no knowledge of "the supposed sex-trafficking venture" led by its former CEO - which it has been accused of having funded. Earlier this year, a US court ruled that A&F must cover the cost of Mike Jeffries' legal defence as he continues to fight the civil allegations of sex-trafficking and rape. The judge ruled the allegations were tied to his corporate role after he sued the brand for refusing to pay his legal fees. The brand said it does not comment on legal matters. However, in its defence submitted to court, A&F said its current leadership team was "previously unaware of" the allegations until the BBC contacted it, adding the company "abhors sexual abuse and condemns the alleged conduct" by Mr Jeffries and others. Mr Jacobson - the middleman - previously said in a statement through his lawyer that he took offence at the suggestion of "any coercive, deceptive or forceful behaviour on my part" and had "no knowledge of any such conduct by others". MIDLAND, Texas (KMID/KPEJ) The Trinity School in Midland teamed up with the West Texas Food Bank on Friday, in honor of Hunger Action Month. Students ran a cereal drive and celebrated the collection with a domino effect display, made from those boxes to show the impact these events can have on a community as they gain more attention. Trinity School said more than 1,500 cereal boxes were collected and turned into a domino chain that spanned across the campus. We know that theres a need of kids who dont have enough to eat even in our own community. On Monday we started, we kicked this off with the idea of collecting cereal, having really no idea of how many we would get. On Tuesday our count was 238 and then today we end, and you can see behind me, with over 1,500 boxes of cereal that will go out to families and children of need in our community through our helpers at the West Texas Food Bank. West Texas Food Bank sees longest line for food since pandemic I think what was really great about today was being able to witness the joy and the excitement and truly put that connection together that little, that they had this huge project and they all came together and they truly are making a large impact. Hunger Action Month brings awareness to the issue of food insecurity across the nation. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Yourbasin. Why Donald Trumps plan to target non-voters could open the door to the White House The Trump campaign is targeting non-voters in battleground states in the hope they can secure his return to the White House. Volunteers known as Trump Force Captains are being tasked with knocking on the doors of low propensity voters - those who do not cast a ballot every election. The Republican nominees campaign is focusing on infrequent voters in the critical battleground states where just tens of thousands of votes could decide the election. Analysts have warned that it is a risky move to funnel the campaigns limited resources into voters who are traditionally difficult to mobilise - but it is one that could pay off in spades. The deadline to register to vote is fast approaching, and while each state has a different cut off, many - including the key state of Pennsylvania - are in mid-October. A recent New York Times/Siena College survey showed that while Trump held a slight lead on Kamala Harris among all likely voters polled, 48 per cent to 47 per cent, he was ahead of her by 9 percentage points, 49 per cent to 40 per cent, among those who did not vote in 2020. Trump campaign training materials obtained by Axios show how it is prioritising contacting hard-to-reach, low-propensity voters who might be sympathetic to Trump but arent likely to vote. The volunteers are given a list of 25 such voters and told to visit at least 10 in person. They are incentivised by the promise of prizes ranging from expedited entry to rallies, to invitations to an exclusive party at Mar-a-Lago if they contact dozens of voters and bag the title Trump Force Precinct Caption. Volunteer Rachel Gottberg, 34, said the strategy is definitely a new focus that wasnt the case in 2020. Michael Berkman, a political science professor at Pennsylvania State University, told The Telegraph that it does seem clear from polling... that people who are less committed to voting are more likely to support Trump, so hes relying upon a [larger] pool of lower propensity voters than she is. Prof Berkman said Trump often fares worse in polling because pollsters are trying to weed people out by propensity to vote and many of Trumps supporters are lower propensity voters. But, he added, while the incentive techniques for volunteers might be novel, the concept does not appear to be particularly original. Risky strategy for Trump Amy Koch, a Republican strategist, said both the campaigns have to find every vote they can and when you find these like lower propensity voters, sort of independent, they maybe are a little bit more Trump-minded. I think thats a strategy that can work for Trump, but it is also risky in that it is hard and time consuming to do, for not as much return as, say, driving out your base that always votes, that always loves you.... You just have to make sure to remind them, did you vote? I think, in this race that is going to be razor thin close... its not a bad strategy, but its also a very risky strategy and were down to the last two months. While Trump is targeting unlikely voters, the Harris campaign is using a broader approach, largely helped by the Democratic candidates finances and manpower. Ms Harris received a near $50 million boost in fundraising after Tuesdays debate, adding to $361 million raised by the campaign and its allies in August, nearly three times as much as Trump. The Trump campaign has said it has around 27,000 top volunteers and hundreds of thousands more in other roles in battleground states. The Harris campaign claims to have 60,000 volunteers in Pennsylvania alone, according to Axios. When you break down the numbers, you realise theres 300,000 low-propensity, conservative-leaning votes in Arizona alone, said Andrew Kolvet, a spokesman for Turning Point Action, a pro-Trump group helping to mobilise these voters. When youre losing these states by 10,000 ballots or 20,000 ballots, you realise just how much potential there is if we do the work of engaging these voters beforehand, he added. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Trump loses 'Electric Avenue' lawsuit as judge finds he has zero defense for tweeting the song Trump loses 'Electric Avenue' lawsuit as judge finds he has zero defense for tweeting the song A federal judge in Manhattan found Trump liable for damages in the "Electric Avenue" copyright case. Trump has zero defense for a 2020 tweet that included 40 seconds of the dance hit, the judge found. Now all that remains is for damages to be set, either with or without a jury's help. A federal judge in Manhattan has found former president Donald Trump liable for damages in a hotly-contested copyright battle over Eddy Grant's '80s dance hit "Electric Avenue." In a 30-page decision, the judge on Friday delivered a one-two blow that essentially ends the case pretrial, with nothing now left to determine but damages. In the first legal blow, the judge found that the song was properly copyrighted. And in the second blow, the judge threw out the only defense offered in the case: a claim that Trump had made "fair use" of the song. "It's everything we asked for," Grant attorney Brett Van Benthysen told Business Insider. "One-hundred percent." Grant, a UK citizen who lives in Barbados, has been told of the decision, said another of his lawyers, Brian Caplan. "Mr. Grant believes that the ruling will help other artists and owners of copyrights defend against similar infringement," Caplan said. "This is a complete victory for Plaintiffs as to liability. Plaintiffs will be seeking attorney's fees in the subsequent damages phase," he added. It remained unclear Friday night if the parties would agree to damages among themselves, or go to trial and let a jury pick a number. "There will either be a trial just on damages, assumedly before a jury, or we could agree to a number without a trial," Van Benthysen said. Grant's lawsuit demanded that Trump pay him $300,000, though that could rise if the former president must also pay the thousands of dollars in legal fees the artist has spent during four years of litigation. Both Eddy Grant and Trump were forced by subpoena to give dueling depositions in the case, and former Trump adviser Dan Scavino was also deposed. Grant had sued Trump in 2020, over a campaign tweet a crudely-drawn, 55-second animation that showed then-presidential candidate Joe Biden feebly puttering along a railroad track in a push cart while a high-speed "Trump-Pence" train zoomed past. About 40 seconds of "Electric Avenue" plays as part of the soundtrack. In summarizing the history of the case in his decision, US District Judge John G. Koeltl revealed that it was Scavino Trump's director of social media and deputy chief of staff for communications at the time who uploaded the video to Trump's personal Twitter account on August 12, 2020. "Scavino testified that he saw the video on a Trump supporter's social media page either on the same day or the day before he posted the tweet," the judge wrote Friday. "Scavino also testified that he spoke with former President Trump before posting the tweet and that former President Trump 'let [him] go with [his] instinct on it and post it,'" the judge wrote. The video was viewed more than 13.7 million times, was liked more than 350,000 times, and was retweeted more than 139,000 times, the judge wrote. Grant's lawyers immediately sent Trump's lawyers a cease and desist letter, but it wasn't until Grant sued on September 1, 2020 that the video was taken down. In rejecting Trump's claim that Grant had never properly secured a copyright for the Electric Avenue sound recording, the judge said it was enough that Grant held the copyright for a compilation record that included the song. Decisions in multiple prior legal cases support that finding, the judge said. Trump, meanwhile, was unable to cite a single supporting case, the judge said. And in rejecting Trump's claim that the animation was a "fair use" of the song, the judge went methodically in his decision through the four-factor standard for fair-use exemptions to copyright. The first factor looks at how the copyrighted work was used. In Trump's case, Electric Avenue was used for a commercial purpose, not for an allowable non-profit, research, or educational purpose, the judge wrote. The second factor looks at whether the copyrighted work was "creative" or "factual." "It is clear that "Electric Avenue is a creative work and therefore is closer to the core of copyright protection," the judge wrote. The third factor weighs how much of the copyrighted work was taken for an unauthorized use. Here the judge found that "the song plays for the majority of the animation; the excerpt is of central importance." The final factor asks "whether, if the challenged use becomes widespread, it will adversely affect the potential market for the copyrighted work," the judge wrote. "In this case, there is no public benefit as a result of the defendants' use of 'Electric Avenue'" the judge wrote. "As the plaintiffs correctly argue, the defendants 'could have used any song, created a new song, or used no song at all, to convey the same political message in the Infringing video.'" But the damage to Grant could be significant if the copyright to his songs was not strictly enforced, the judge noted. "Widespread, uncompensated use of Grant's music in promotional videos political or otherwise would embolden would-be infringers and undermine Grant's ability to obtain compensation in exchange for licensing his music," the judge wrote. An attorney for Trump did not immediately return a request for comment. This embedded content is not available in your region. Read the original article on Business Insider Donald Trump made a feeble attempt to fend off bipartisan outrage over his association this week with Laura Loomer, the far-right social media activist and failed congressional candidate. As he tried to distance himself somewhat from her controversial opinions, Trump said she is not connected to his campaign and that he disagrees with her statements. Laura Loomer doesnt work for the Campaign. Shes a private citizen and longtime supporter, Trump wrote in a post on Truth Social on Friday afternoon. I disagree with the statements she made but, like the many millions of people who support me, she is tired of watching the Radical Left Marxists and Fascists violently attack and smear me, even to the point of doing anything to stop their Political Opponent, ME! Trump did not say specifically which of Loomers statements he disagreed with, but he has plenty to choose from. Loomer, 31, has a long history of making racist, offensive statements: She has called herself a proud Islamophobe, cheered the death of thousands of migrants, said 9/11 was an inside job, spread conspiracy theories about mass shootings and, more recently, posted a racist attack against Vice President Kamala Harris. Loomer accompanied Trump to Tuesdays debate and then to Sept. 11 memorial services the next day, which sparked especially intense criticism, given her past comments about 9/11. Trumps fraternizing with Loomer this week caused such a stir that even Republicans Rep. Marjorie Taylor Greene and Sen. Lindsey Graham have publicly urged him to disavow her. Trumps allies have long regarded the far-right provocateur as a liability, according to NBC News. But the former presidents affinity for Loomer is not new. He endorsed her in 2020 during the first of two failed runs for Congress. He has called her a terrific person and talked glowingly of her support for him. And The New York Times reported last year that Trump wanted to hire Loomer for a role in his campaign. Now, Loomers high-profile appearances alongside Trump this week suggest that cracks may be widening in his campaign, NBC News reports, as his aides and advisers struggle to keep him away from people who encourage his worst political instincts. Amid the backlash to her proximity, Trump has tried to walk a line between defending his association with Loomer and distancing himself from her beliefs. He told reporters on Friday that he does not control her and described her as a free spirit. Yet when asked about her conspiracy theories, he has pleaded ignorance, saying, I dont know that much about it. This article was originally published on MSNBC.com Trump rally at The Expo at World Market Center on Sept. 13, 2024. (Photo: April Corbin Girnus/Nevada Current) Between attacks on Vice President Kamala Harris and haranguing the media for what he saw as a rigged primetime debate, former President Donald Trump used much of his Las Vegas rally on Friday night to stoke fears about immigrants. The Republican nominee for president repeatedly claimed that under the Biden-Harris administration hyperbolic numbers of immigrants have poured into the United States to take American jobs, rape and murder women and children, get transgender surgery, vote in elections, take over whole apartment buildings to house their gangs, and conquer our country. We have thousands and thousands and thousands of terrorists coming into our country, Trump declared. He claimed immigrants are coming from other countries prisons, jails, mental institutions, and insane asylums. Kamala would be the president of invasion, said Trump, and I will be the president of making this country stronger, better, more beautiful, bigger, more powerful, wealthier, safer than ever before. He promised that, if given a second term, there will be mass deportations. Parts of the country including Aurora, Colorado need to be liberated from migrant gangs that have taken over, he said. The Trump campaign estimated 6,000 supporters attended the rally at The Expo at World Market Center in downtown Las Vegas. It marked Trumps first public event in Nevada since Harris replaced President Joe Biden as the Democratic presidential nominee. (A visit to a Mexican restaurant in Las Vegas three weeks ago was closed to the public.) Anti-immigrant rhetoric came up repeatedly during his remarks, which stretched longer than an hour. At one point, Trump recited The Snake, a song whose lyrics tell a fable of a tender woman who helps a half-frozen snake only to get bitten by the poisonous creature. In the lyrics, the woman asks the snake why it bit her when shed saved it, and the snake replies, You knew damn well I was a snake before you took me in! Trump has spun the song into a warning about immigration, telling the crowd in Las Vegas it was very accurate to what is happening in this country. The Snake was a staple of his rallies during his first presidential campaign in 2016. On video screens flanking the stage, the campaign displayed images connecting immigrants to crime. In one, labeled The Harris plan for sex traffickers, a red carpet leads to open gates at the U.S. border. Another read no one is safe with Kamalas open borders and featured a man with a weapon lurking behind a woman in a dark alley. A third showed a group of tattooed Latino men and read your new apartment managers if Kamalas re-elected. Trump referenced real crimes involving immigrants, including an alleged abduction in Virginia earlier this month. He also made reference to Springfield, Ohio a community that was thrust into the spotlight during the presidential debate earlier in the week when Trump falsely claimed that Haitian immigrants are eating the pets of the people that live there. Trump criticized the moderators of the debate, who had fact checked him in real time and pointed out that city leaders in Springfield have said there are no credible claims of pets being eaten by immigrants. (Trumps response to the fact check was that he saw it on tv.) He also repeated a false claim that has circulated online suggesting Harris wore an earpiece and might have been fed answers. At one point, Trump paused his speech to air a video clip of Harris happily laughing and saying thank you repeatedly to a crowd of her supporters, calling her behavior weird. Before Trump went on stage, video screens at the venue displayed a screenshot of a 2017 headline referencing Harris as the first Indian-American to serve in the U.S. Senate. (Harris is Black and Indian American.) Trump brought out on stage with him Sam Brown, the Republican challenging Democratic U.S. Sen. Jacky Rosen. Trump praised Brown, saying he was committed to being a border senator to his border president. Brown gave Trump a copy of his memoir. Other Trump supporters who spoke at the event included Nevada Republican Party chair Michael McDonald, Clark County Republican Party chair Jesse Law, right-wing radio personality Wayne Allen Root, Pawn Stars personality Rick Harrison, UFC fighter and Olympic gold medalist Henry Cejudo, and Tulsi Gabbard, the former Democratic representative from Hawaii. WASHINGTON - Republican presidential nominee Donald Trump capped off a rough week on Friday with a West Coast press conference that featured frequent rants against Vice President Kamala Harris, defense of his friendship with volatile conspiracy theorist Laura Loomer and protests about the news coverage of his problematic debate with his Democratic rival. "I've been right about everything," Trump said at one point during the 65-minute event at his oceanside golf course near Los Angeles. Trump's remarks came a week after he took no questions from reporters while spending a nearly 50-minute "news conference" in New York airing his grievances about a range of topics, including the attacks he was facing from Democrats and the many legal actions brought against him. With the Pacific Ocean in the background, Trump rambled for nearly 40 minutes before taking questions. Some takeaways: Republican presidential nominee Donald Trump addresses journalists at Trump National Golf Club Los Angeles on Sept. 13, 2024, in Rancho Palos Verdes, Calif. Spinning the debate From the moment he stepped off the stage on Tuesday, Trump - and his allies - have tried to persuade people that he really did win the debate, despite all the evidence to the contrary. "Polls have gone way up since the debate," Trump said at one point on Friday, although the non-Internet, scientific surveys favor Harris. A Reuters/Ipsos poll released Thursday showed Harris leading the election over Trump by 47% to 42% in the wake of the debate. Reuters also reported that "among voters who said they had heard at least something about Tuesday's debate, 53% said Harris won and 24% said Trump won, with the rest saying neither had or not answering." In one question, Trump simply rejected comments by some fellow Republicans that his angry and divisive debate performance - including false claims that migrants are eating dogs and cats - was a missed opportunity to appeal to moderate voters. Trump said most Republicans told him he did great, although "some said I could have been tougher." Doubling down on immigration - without cats & dogs The former president did not repeat the lie he spread during the debate about Haitians in Springfield, Ohio, eating dogs and cats, but Trump did say that they could be subject to deportation - never mind that the vast majority of the migrants in Springfield are in the country legally. Continuing to argue that migrants are dangerous, Trump maintained: "I will be your border president." The false claims about pets have led to intense criticism of Springfield residents, including evacuations of city hall and schools because of bomb threats. Trump dismissed the incidents, saying "the real threat is what's happening at our border." Laura Loomer arrives ahead of former U.S. President Donald Trump's debate with Democratic presidential nominee and U.S. Vice President Kamala Harris, in Philadelphia, Pennsylvania, U.S., September 10, 2024. REUTERS/Eduardo Munoz Laura Loomer? Barely know her Trump during Friday's Los Angeles event also defended his association with Loomer, part of his entourage to the debate in Philadelphia and a 9/11 memorial service at Ground Zero in New York - the latter despite the fact that she has described the terrorist attacks of Sept. 11, 2001, as an "inside job." The former president in his remarks claimed he does not know what Loomer said about 9/11, and that she is one of her many backers. "Laura's a supporter," Trump said. "I don't control Laura. Laura has to say what she wants ... She's a free spirit." During the news conference, Loomer - a self-described investigative reporter who has made racist attacks on Harris and others - mixed it up with a prominent Republican, Sen. Thom Tillis, R-N.C., who suggested that Loomer is a double agent for the Democratic National Committee. "Laura Loomer is a crazy conspiracy theorist who regularly utters disgusting garbage intended to divide Republicans," Tillis said on social media site X. "A DNC plant couldn't do a better job than she is doing to hurt President Trump's chances of winning re-election. Enough." Responding on X, Loomer said Tillis is a "RINO" - Republican In Name Only - "who attacked President Trump after January 6 and called for all of the January 6 political prisoners to remain in jail. Thom Thillis IS the DNC Plant he accuses me of being." PHILADELPHIA, PENNSYLVANIA - SEPTEMBER 10: Republican presidential nominee, former U.S. President Donald Trump and Democratic presidential nominee, U.S. Vice President Kamala Harris debate for the first time during the presidential election campaign at The National Constitution Center on September 10, 2024 in Philadelphia, Pennsylvania. After earning the Democratic Party nomination following President Joe Biden's decision to leave the race, Harris faced off with Trump in what may be the only debate of the 2024 race for the White House. The Harris team also talks about the debate Trump said he called the news conference to blame California's problems on Harris, a former San Francisco district attorney, state attorney general, and U.S. senator. His list included crime and immigration, everything from gang violence to the quality of a public toilet in San Francisco. "And it's not even nice," he said. "I saw pictures of it." While Trump tried to spin the debate while out in California, the Harris campaign promoted her performance and disdained that of Trump. In one statement, the Harris campaign cited five "massively toxic" positions Trump took during the debate that will haunt him until Election Day on Nov. 5. Trump refused to say whether he would veto a national abortion ban, wouldn't admit he lost the 2020 election, associated himself with Jan. 6 rioters (using the term "we"), said he had the "concepts of a plan" to change health care, and declined to say whether Ukraine should win the war against Russian invasion, the Harris campaign said in a statement. Others Democrats, such as Transportation Secretary Pete Buttigieg said Trump's bombast is designed to distract from his other problems. In an interview on CNN, Buttigieg said: "This is a strategy to get us talking about the latest crazy thing that he did, whatever urban legend he amplifies right now. It's about people eating cats or geese or whatever because he cannot afford for us to be talking about his record." On Thursday, Trump said he would not debate Harris again. This article originally appeared on USA TODAY: Trump defends debate performance and friendship with Laura Loomer Former President Trump said ABC News David Muir , one of the co-moderators of Tuesdays presidential debate, should have fact-checked Vice President Kamala Harris after she claimed the GOP nominee said there would be a "bloodbath" if he doesnt win the election. Trump joined Fox News host Trace Gallagher on Friday for an exclusive interview on "Fox News @ Night." "Donald Trump, the candidate, has said, in this election, there will be a bloodbath if this and the outcome of this election is not to his liking. Lets turn the page on this. Lets not go back. Lets chart a course for the future and not go backwards to the past," Harris said onstage at the National Constitution Center in Philadelphia. Trump tried to explain his comments on "Fox News @ Night." US Vice President Kamala Harris, right, and former US President Donald Trump during the second presidential debate at the Pennsylvania Convention Center in Philadelphia, Pennsylvania, US, on Tuesday, Sept. 10, 2024. ABC DEBATE MODERATORS SPARK FURY FOR AGGRESSIVE FACT-CHECKING OF TRUMP, EASY TREATMENT OF HARRIS "They say anything they can say bad. You know, when she went over those points, all of those points, like the bloodshed -- that was referring to the car industry, it's going to, you know, it's a bloodbath," Trump said. He maintains that the "bloodbath" comment he made at a rally in Ohio earlier this year was about what would happen to the auto industry if he isnt elected in November. READ ON THE FOX NEWS APP "If youre listening, President Xi and you and I are friends but he understands the way I deal. Those big monster car manufacturing plants that youre building in Mexico right now youre going to not hire Americans and youre going to sell the cars to us, no. Were going to put a 100% tariff on every single car that comes across the line, and youre not going to be able to sell those cars if I get elected," Trump said in March. "Now, if I dont get elected, its going to be a bloodbath for the whole thats gonna be the least of it. Its going to be a bloodbath for the country. That will be the least of it. But theyre not going to sell those cars. Theyre building massive factories." Trump told Gallagher that he believed Harris should have been fact-checked for her claims about his comments on the auto industry and the Unite the Right rally in Charlottesville, Va., in 2017. "Every one of them should have been questioned by David Muir, who I've lost a lot of respect for. Everyone's lost respect for him because it was so... Such a one-sided It was one against three. And I had a great debate because my poll numbers are all up very substantially after the debates. The people... So... but look, these are lying people. These are very bad people," he said. Gallagher also asked Trump in the "Fox News @ Night" exclusive about the remarks he made about Haitian immigrants in Springfield, Ohio. SPRINGFIELD RESIDENT SAYS ROADS ARE LIKE ESCAPE FROM NEW YORK AFTER HAITIAN MIGRANTS OVERRUN RURAL TOWN Trump falsely claimed Haitian immigrants were eating the dogs, cats and pets of local residents. Officials said there have been no credible or detailed reports about the claims. A Springfield police spokesperson addressed Trumps remarks, saying in a statement to Reuters : "In response to recent rumors alleging criminal activity by the immigrant population in our city, we wish to clarify that there have been no credible reports or specific claims of pets being harmed, injured or abused by individuals within the immigrant community." Trump spoke about the impact tens of thousands of Haitian immigrants have on the population of Springfield, a city of roughly 59,000 people . "If you go to Ohio, you know, there they're talking about 25 to 30,000 people coming in from Haiti. And this is a town that is small, beautiful, no problems, no crime, no nothing. And I heard this morning there was 31,000 people coming in. Nobody can do that," Trump said. "And it's a bad criminal element, too. A bad criminal element. This is a town with no crime at all, Springfield. It's got no crime. It's got no problems. And now they have 31,000 people that just came down on them. This is not survivable. We're going to have the largest deportation effort in the history of our country." The Associated Press reported some 15,000 Haitian immigrants have arrived in Springfield since 2020 under the Temporary Protected Status program. Springfields government website notes that Haitian immigrants are in the country legally under the Immigration Parole Program. "Once here, immigrants are then eligible to apply for Temporary Protected Status (TPS). Haiti is designated by the Secretary of Homeland Security for TPS. Current TPS is granted through February 3, 2026," the website stated. Tune in to watch more of the exclusive interview with former President Trump on "Fox News @ Night" on Monday at 11 pm ET! Original article source: Trump talks ABC News Presidential Debate, what's happening in Springfield, Ohio, in FOX News interview The crypto lobby has been pouring boatloads of cash into the latest presidential campaign of former president Donald Trump, who has entirely abandoned his once-hardline stance against digital currencies as the campaign money has rolled in. Now, on the back of recent promises to make America the "crypto capital of the world," Trump is prepping to publicly roll out a wildly dubious and ethically fraught new crypto project started by none other than his sons Eric and Donald Trump, Jr. The project is called World Liberty Financial (WLFI), and it centers on "stablecoins," or coins that creators claim are pegged to stable commodities or government currencies. In an X-formerly-Twitter thread posted last week, the WLFI team claimed their stablecoin would be pegged to the US dollar. "For too long, the average American has been squeezed by the big banks and financial elites," Trump, a financial elite whose penthouse is caked in gold, said in a Thursday X-formerly-Twitter video promoting the forthcoming crypto venture. "It's time we take a stand together." But while stablecoins sound like they should be stable, they've historically been disastrous for investors and economies. The collapse of the so-called stablecoin Terra-Luna was central to the 2022 crypto crash that wiped nearly $2 trillion from the market, resulting not only in the catastrophic fall of billion-dollar crypto ventures like FTX but in people's entire life savings vanishing into thin air. What's more, as The Wall Street Journal reported last year, stablecoins are also a favorite financing tool of organized crime and terror groups, who use the sleazy digital currencies to launder cash, traffic drugs, and even buy and sell humans. And on top of all that, there are too many conflicts of interest to count. If elected, Trump has already promised to slash crypto regulations and bring digital currency into the mainstream American economic fold. WLFI would capitalize on those pro-crypto regulatory shifts, thus putting a sitting president in the position of supporting a possibly lucrative family business through the powers of the federal government. And like Trump's Truth Social meme stock, WLFI would also offer obvious new inroads to currying Trump family favor, as wealthy operators looking to suck up could simply pour investments into the Trump sons' stablecoins. Some of the non-Trump family characters reportedly involved in the project are too seedy to even make up. As Bloomberg News reports, a key WLFI "dealmaker" is a person named Chase Herro, a former colon cleanse salesman who stated in a 2018 YouTube video while driving around in a Rolls-Royce that "you can literally sell shit in a can, wrapped in piss, covered in human skin, for a billion dollars if the story's right, because people will buy it." "I'm not going to question the right and wrong of all that," Herro added. Clearly the kind of guy you'd want to be entangled in the American economy. WLFI defended its stablecoin effort in an X post this month as a means of ensuring the "dominance" of the US dollar and maintaining America's financial leadership on the international stage. In the same thread, the company claimed without evidence that the US dollar is actively under attack by unnamed "foreign-nation states." But economic experts overwhelmingly agree that fearmongering claims around the allegedly imminent downfall of the American dollar are exaggerated and that knocking the US dollar out of its top spot would likely take decades. Through one lens, Trump's very public backing for WLFI is just the latest example of the former president using his campaign to promote a personal or family business. In addition to standard campaign merch, he's selling less-conventional golden sneakers for nearly $500, as well as a $299 pair of "Trump Crypto President" low-tops in the shade "Bitcoin Orange"; $99 NFTs of himself; a $59.99 "patriotic" take on the Bible; and a recently-published coffee table book in which he threatens to jail Meta CEO Mark Zuckerberg, which is available for $99 (though you can get a signed version for $499.) But the implications of WLFI are far more serious than expensive Bibles and random junk. In addition to being used to fund illicit activities around the world, stablecoins have proven to be anything but stable. Coupled with the clear risk of corruption that the Trump family's involvement in the crypto world would pose should the former president retake the Oval Office, and everything about WLFI's scheme is as dangerous as it is bankrupt. More on Trump and crypto: After Calling Crypto a "Scam," Donald Trump Now Owns Millions in Crypto In scenes out of a comedy sketch, like Yes, Minister or The Thick of It, Dame Diana Johnson, the Policing Minister, had her purse stolen whilst attending a police conference to give a speech and talk about an epidemic of theft. What does it say about modern Britain that someone at the four-star hotel sandwiched in the countryside between Kenilworth and Warwick thought they could get away with stealing in the vicinity of hundreds of cops? The fact that the senior officers present were in civilian clothes wouldnt have helped deter any would-be thieves. Little wonder too that so little importance is placed on uniform standards when senior officers seem all too happy much like prison governors to ditch their uniform at any opportunity. But it also reveals the reality that criminals feel and know that the chances of being caught are at record-lows and that, even if unfortunate enough to be apprehended, the consequences will be little more than a minor inconvenience. As it happens, an investigation subsequently took place and an individual was arrested though many will be left wondering whether the response would have been as convincing had the victim not been the Policing Minister. The last statement to the press revealed the arrested person had been bailed. The beneficiaries of the status quo are criminals. From the nearly 2,000 prisoners being released early this week, to the thieves and knife-wielding teenagers and adults we see going viral in clips on social media, something is increasingly clear. Westminster and Whitehall seem determined to favour the criminal over the law-abiding, just as they favour political correctness over public safety. Why else would they permit groups to gather and pop open the champagne on prison grounds when thousands of individuals are being released early from prison? What else explains the fact that the manifesto commitment in 2019 to introduce new targeted stop and search powers to tackle those already convicted of carrying weapons, ended up as a watered-down series of pilots in just four police forces aimed only at adults? Why else would we have, over decades, consistently failed to adequately expand the prison system and failed to ensure that the prison regimes themselves were built on a foundation of control and order? The simple truth that needs to be rammed home is that our political elites have, for decades, failed to properly value and prioritise public safety. From my own time in policing, I know that very often the only thing that is going to stop a criminal today, tomorrow, next week, or next month is a confident, proactive police officer and a charge, remand, and prison sentence. Thats not to say we must lock up every criminal but we certainly need to get real and get serious about the need to ensure we are locking up the recidivists, the prolifics and the dangerous. As it stands, too many Westminster MPs and more than a few police leaders have been far too quick to subscribe to naive ideas that you can tackle crime without locking people up or having the capacity to do so. Its a reality that is obvious to those who joined the police for the right reasons: to make Britain safer by using their unique powers to prevent and detect crime. For most of those who joined to be social workers or to play dress up until they could get off the streets, the realities of crime-fighting are rarely grasped and often rapidly forgotten. Labour has undoubtedly inherited a mess, but theres no sign yet that they have a plan to make it better rather than worse. Based on recent events, Labour can expect to experience what happens when governments go even softer on crime. As this week shows, the consequences can be personal. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Tucson voters to decide on half-cent sales tax in March. What would it pay for? TUCSON The city's residents will decide in March whether they want to approve a half-cent sales tax for 10 years to help compensate for a $400 million loss in state revenue over the next decade. The City Council voted Wednesday to bring the tax proposal before voters in a special election March 11 over opposition by some community groups over how much of tax revenue would be earmarked for police. The tax was estimated to bring $80 million annually in revenue. It would fund community investments such as the citys Housing First Program as well as additional Fire Department personnel, police officers, police vehicles, operators for the city's 311 citizens hotline and more projects and programs outlined in the citys Safe and Vibrant City Initiative plan. About two-thirds of the money would be earmarked for public safety-related used. Tucson faces a $27 million cut this year and a $400 million loss in state-shared revenue over the next 10 fiscal years after changes to state-shared revenues including the states income tax, transaction privilege tax and highway user revenue. City officials said the new tax revenue would add $13.4 million to neighborhood and community programs, $14 million to funds for affordable housing and shelter, $24.6 million for police and fire vehicles and other equipment, $18.2 million for emergency response including additional police and fire personnel and $9 million in technology investments in crime response, from police body cameras and radios and a fixed-wing aircraft for police surveillance support. The funds also would contribute to youth workforce development, early childhood education, incentivizing affordable housing projects and low-barrier housing efforts. If approved, the sales tax rate in Tucson would rise to 9.2%. The current minimum sales tax rate in Tucson is 8.7%: The state sales tax rate is 5.6%; the Pima County rate, 0.5%; and the city tax rate, 2.6%. The Tucson City Council voted Wednesday to bring a hlaf-cent sales tax proposal before voters in a special election March 11, 2025. Funds for police, police equipment come under scrutiny With about 65% of the revenue from the tax earmarked for police, police vehicles and other first responder investments, many community members who spoke at the council meeting criticized proposed allocations. Some of the frustration stemmed from a survey sent in April, in which residents were asked to rank community safety initiative priorities. Many people felt the city did not listen to their priorities. Enrique Olivares-Pelayo, a community organizer with Just Communities Arizona, said at the meeting he noticed alarming discrepancies between the actual feedback the city has received, and the budget allotments proposed. The results of the survey showed the top priority for Tucson residents was expanding mental health services, followed by investing in a mobile health and wellness unit. Next, the community prioritized expanding community safety and housing navigators, followed by an increase in professional staffing in the Tucson Police Department. Although Pelayo applauded the proposals investment in housing, workforce development and community-based violence reduction, among other programs, he said it was unclear why the additional police expenditures including $6 million for patrol vehicles and $5.6 million for sworn officers were necessary, especially after seeing the list of needs prioritized by Tucson residents. Others reiterated similar concerns and vowed not to pass the tax. The plan does not reflect what the community continues to beg and demand we will make sure this ballot measure will be a no vote, Xavier Martinez said at the meeting. City officials say they're aiming for 'holistic' approach City Manager Tim Thomure said the city has received mixed feedback about the tax. Some has been positive, primarily from city staff and labor groups, while others have expressed concerns about the burden of a new city tax. While two-thirds of the funding goes to public safety and one-third to housing and other community investments, all the funding areas are interrelated, Thomure said. For example, the proposed additional funding allocated to the 311 operation would help community safety programs succeed. The plan allocates $700,000 for 10 additional 311 operators. Thomure said additional materials would be available at a future date to address concerns and provide more information about how the holistic approach would work. In addition, a citizen oversight commission would be created to guide the program over the 10 years. Tucson Mayor Regina Romero introduces second gentleman Doug Emhoff on Sept. 12, 2024, at a rally in Tucson. Mayor Regina Romero said the tax is just one part of a plan to improve life in Tucson and listed a range of other programs the City Council has launched, including the Housing First program, the Community Safety, Health & Wellness program, 311, and the addition of housing navigators. This initiative is not the cure-all. It is a continuation of investing in a holistic and comprehensive approach to community safety, quality of life, and a safe and vibrant city, she said. This is not the end; it's just a part of the puzzle. Reach the reporter at sarah.lapidus@gannett.com. The Republics coverage of southern Arizona is funded, in part, with a grant from Report for America. Support Arizona news coverage with a tax-deductible donation at supportjournalism.azcentral.com. This article originally appeared on Arizona Republic: Residents push back against Tucson sales tax proposal Tunisian fisherwoman Sara Souissi, 43, defied men who believed she had no place at sea (Mohamed KHALIL) (Mohamed KHALIL/AFP/AFP) Off a quiet Tunisian island, Sara Souissi readies her small fishing boat. As a woman in the male-dominated trade, she rows against entrenched patriarchy but also environmental threats to her livelihood. Souissi began fishing as a teenager in a family of fishers off their native Kerkennah Islands near the city of Sfax, defying men who believed she had no place at sea. "Our society didn't accept that a woman would fish," she said, hauling a catch onto her turquoise-coloured boat. "But I persisted, because I love fishing and I love the sea," said Souissi, 43, who is married to a fisherman and is a mother of one. A substantial portion of Tunisia is coastal or near the coast, making the sea an essential component of everyday life. Seafood, a staple in Tunisian cuisine, is also a major export commodity for the North African country, with Italy, Spain and Malta top buyers, and revenues nearing 900 million dinars ($295 million) last year, according to official figures. Tunisian women have long played a major role in this vital sector. But their work has been undervalued and unsupported, a recent study by the United Nations Food and Agriculture Organization (FAO) found. The study said that while women were actively involved throughout the fishing value chain, they remained "generally not considered as an actual worker" by their male counterparts. Fisherwomen also have less access to administrative benefits, training and banking services, where they are viewed as "high-risk borrowers" compared to men, the study said. As a result, many don't own their own boats, and those working with male relatives are "considered as family help and therefore not remunerated", it added. - Under the table - In Raoued, a coastal town on the edge of the capital Tunis, the Tunisian Society for Sustainable Fishing launched a workshop in June for women's integration into the trade. But most of the women attending the training told AFP they were only there to help male relatives. "I want to help develop this field. Women can make fish nets," said Safa Ben Khalifa, a participant. There are currently no official numbers for fisherwomen in Tunisia. Although Souissi is formally registered in her trade, many Tunisian women can work only under the table -- the World Economic Forum estimates 60 percent of workers in informal sectors are women. "We want to create additional resources amid climate change, a decrease in marine resources, and poor fishing practices," said Ryma Moussaoui, the Raoued workshop coordinator. Last month, the Mediterranean Sea reached its highest temperature on record at a daily median of 28.9 degrees Celsius (84 Fahrenheit), Spain's leading institute of marine sciences said. The strain on sea life and resources has been compounded in countries like Tunisia by pollution and overfishing. Rising temperatures make the waters uninhabitable for various species, and unsustainable fishing like trawling or using plastic traps indiscriminately sweeps up the dwindling sea life and exacerbates pollution. "They don't respect the rules," Souissi said about fishers using those methods. "They catch anything they can, even off-season." - 'Unfavourable environment' - In 2017 in Skhira, a port town on the Gulf of Gabes, 40 women clam collectors formed an association to enhance their income -- only to see their hard-won gains later erased by pollution. Before its formation, the women earned about a tenth of the clams' final selling price in Europe, said its president, Houda Mansour. By cutting out "exploitative middlemen", the association helped boost their earnings, she added. In 2020, however, the government issued a ban on clam collecting due to a severe drop in shellfish populations, leaving the women unemployed. "They don't have diplomas and can't do other jobs," Mansour, now a baker, explained. In hotter, polluted waters, clams struggle to build strong shells and survive. Industrial waste discharged into the Gulf of Gabes for decades has contributed to the problem. It has also forced other species out, said Emna Benkahla, a fishing economics researcher at the University of Tunis El Manar. "The water became an unfavourable environment for them to live and reproduce," undermining the fishers' revenue, she said. "Because they couldn't fish anymore, some sold their boats to migrants looking to cross the Mediterranean illegally," she added, calling for more sustainable practices. Souissi, who only uses relatively small nets with no motor on her boat, said she and others should fish responsibly in order to survive. "Otherwise, what else can I do?" she said, rowing her boat back to shore. "Staying at home and cleaning? No, I want to keep fishing." bou/it/smw An undated handout picture of an Islamic State militant after he was arrested in istanbul ANKARA (Reuters) - Turkish authorities have arrested an Islamic State militant believed to be involved in planning an attack on the Santa Maria Italian Church in Istanbul earlier this year, the country's intelligence agency said on Saturday. The National Intelligence Organization (MIT) said the suspect, whom it identified as Viskhan Soltamatov, was believed to be the key figure behind the Jan. 28, 2024 attack. He was detained by MIT and police during a joint operation in Istanbul, the agency said. MIT said Soltamatov was also believed to have supplied the weapon used in the assault. One Turkish citizen was killed by two Islamic State gunmen at the Italian Santa Maria Catholic Church in Istanbul in January. The church attack was orchestrated by IS-linked operatives from the group's Khorasan Province (ISKP), a faction active in Afghanistan. In April, Turkey had arrested 48 people believed to be linked to the attack. (Reporting by Ece Toksabay; Editing by Alex Richardson) Some mourners have already attended a prayer ceremony in memory of Aysenur Ezgi Eygi at a mosque in Istanbul (Yasin AKGUL) (Yasin AKGUL/AFP/AFP) Mourners will gather in southwest Turkey Saturday for the funeral of a US-Turkish activist shot dead while protesting Israeli settlements in the West Bank. The killing last week of 26-year-old Aysenur Ezgi Eygi has sparked international condemnation and infuriated Turkey, further escalating tensions over the war in Gaza that began with Hamas's October 7 attack on Israel. Eygi's body, wrapped in the Turkish flag, arrived at its final resting place in the Aegean town of Didim on Friday following a martyrs' ceremony at Istanbul's airport. Eygi was a frequent visitor to the seaside resort. The family wanted Eygi to be buried in Didim, where her grandfather lives and her grandmother has been laid to rest. Ankara said this week it was probing her death and pressed the United Nations for an independent inquiry. Turkey is also planning to issue international arrest warrants for those responsible for Eygi's death depending on the findings of its investigation. The Israeli military has said it was likely Eygi was hit "unintentionally" by forces while they were responding to a "violent riot". A large crowd is expected at the funeral, including members of President Recep Tayyip Erdogan's Islamic-rooted AKP party, as well as activists advocating the Palestinian cause. The burial is scheduled to take place after midday prayers. - 'Seek justice'- The young woman's body arrived in Istanbul on Friday morning before being transferred to Turkey's third-biggest city Izmir, where an autopsy was carried out. Turkish officials said the findings from the autopsy would be used as evidence for Turkey's own probe. Eygi was shot in the head while taking part in a demonstration on September 6 in the northern part of the occupied West Bank, near Nablus. Her mother Rabia Birden on Friday urged Turkish officials to pursue justice. "The only thing I ask of our state is to seek justice for my daughter," she was quoted as saying by Anadolu news agency. Erdogan, dedicated to the Palestinian cause, has vowed to ensure "that Aysenur Ezgi's death does not go unpunished". The United Nations said Eygi had been taking part in a "peaceful anti-settlement protest" in Beita, the scene of weekly demonstrations. Israeli settlements, where about 490,000 people live in the West Bank, are illegal under international law. US President Joe Biden on Wednesday called for Israel to provide "full accountability" for Eygi's death. The Israeli army has acknowledged opening fire in the area and has said it is looking into the case. An autopsy carried out by three Palestinian doctors pointed to a direct hit that passed through the victim's skull. "Aysenur was a very special person. She was sensitive to human rights, to nature, to everything," said her father Mehmet Suat Eygi, on Thursday outside the family home in Didim. fo/rlp/smw Two arrested in connection with deadly hotel shooting in the Midlands, SC police say Two Wedgefield men have been arrested in connection with a hotel shooting that left two people dead last month, the Sumter Police Department announced Friday. At about 4:30 a.m. on Aug. 18, a police officer responded to a call about a shooting, according to a news release. Two men later identified as Saquan Logan, 20, and Tyriq Demari Spann, 19 were found dead outside the Sleep Inn at 2510 Broad St. in Sumter, an area packed with hotels and restaurants. The investigation led police to charge Tavion Melki Mickens, 19, with possession of a weapon during a violent crime and two counts of murder. Mickens was later located in a Georgia jail where he had been stopped for speeding and also charged with possession of a weapon. He was extradited to Sumter and booked at the Sumter-Lee Regional Detention Center on Sept. 7. Before Mickens arrest, police had also said Toney Williams Jr., 23, was involved in the incident. Williams allegedly helped Mickens cover up evidence and elude police. He was charged with accessory after the fact of murder and booked at the detention center on Aug. 27. Sumter police ask anyone with additional information about the shooting to contact them at 803-436-2700. The department is offering a reward up to $5,000 for information that leads to additional arrests in the case. Tips can also be shared with Crime Stoppers by calling 1-888-CRIME-SC, visiting P3tips.com or downloading the Crime Stoppers app. Two arrested in connection with shoplifting incident in Back Bay Two people have been arrested in connection with a shoplifting incident in Back Bay. On September 13th, officers were assigned to patrol the Prudential Mall due to an increase in larceny and shoplifting incidents. Officers were advised that there was a repeat shoplifter inside the Lululemon. Upon arrival, police observed two females leave the store together without paying for merchandise. Police were able to stop the two suspects, recover $758 in stolen merchandise, and arrest them. The suspects, identified as Kiara Ragland, 19, of Boston, and Jacarie Allen, 20, of Roxbury are facing larceny charges. Officers also determined that Allen had four active warrants for various shoplifting, larceny, and assault and battery charges. Ragland and Allen are expected to be arraigned in Boston Municipal Court. This is a developing story. Check back for updates as more information becomes available. Download the FREE Boston 25 News app for breaking news alerts. Follow Boston 25 News on Facebook and Twitter. | Watch Boston 25 News NOW KANSAS CITY, Mo. Police confirmed that two juveniles with airsoft guns were arrested on Friday outside of Pleasant Hill High School. According to law enforcement, the high school received information earlier in the day that two people, who appeared to be armed, were in the parking lot and may have had intentions of entering the building. Local parents react to school threats across the Kansas City metro Police said this led the high school to activate ALICE an active shooter drill that stands for Alert, Lockdown, Inform, Counter, and Evacuate as Pleasant Hill police started investigating. As police searched the property, they found and arrested two homeschooled students with airsoft guns. According to law enforcement, the students were not a part of the Pleasant Hill R-III School District. After Pleasant Hill police gave the high school more information, the ALICE alert was canceled, and the district was placed under lockdown. De Soto student arrested after threatening to kill classmate online: sheriffs office We are very appreciative of the Pleasant Hill Police Department, Cass County Sheriffs Department, and our building administrators for taking quick action to keep our students and staff safe, the Pleasant Hill Police Department said. We are pleased that all individuals are safe. Our staff and students followed all the procedures and did a phenomenal job. The students did an amazing job of following directions. Thank you to our community for your patience as we gathered and communicated factual information. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. Two Oklahoma schools make second, third school threats in same week OKLAHOMA (KFDX/KJTL) Two schools in southwestern Oklahoma are impacted by threats following a threat to City View ISD. Waurika Public Schools in Jefferson County closed Friday due to threats made on social media that morning. School officials said the Waurika Police Department was notified of the threat around 6 a.m. and immediately opened an investigation. RELATED: City View student charged with terroristic threat The district later posted on Facebook, After an intense investigation, the threat was determined as non-viable by the authorities, and school would resume on Monday. Then, just north of Waurika, Duncan Public Schools in Stephens County released a statement saying the district there had received threats through statements and photos online Thursday night. Duncan PD immediately began investigating the posts and announced Friday morning that they were unable to identify any credible threat. Duncan public schools remained open Friday, but the district increased the police and security presence on all school campuses as a precaution. This is a developing story. Stick with Texomas Homepage for updates as more information becomes available. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Texomashomepage.com. Two publications that distribute news to prisoners in Missouri and beyond say the state Department of Corrections is blocking access to information. Paul Wright, editor of Prison Legal News, and Erin Eife, a volunteer with Prison Health News, said both publications have faced challenges under a policy implemented by the Missouri Department of Corrections in September 2023. The more restrictive policy prevents people from sending reading materials to prisoners. Instead, friends, family and others are required to add funds to a prisoners account for them to order books, magazines and other reading materials through the correction departments approved vendor. The agency said they made the change in an effort to prevent drugs from getting into facilities. Wright said Prison Legal News, an organization covering legal developments impacting prisons and jails, gained subscribers in Missouri prisons soon after the publication was established in 1990. There werent any problems until late 2018 when the Department of Corrections began returning the publications mailings. That included monthly editions of Prison Legal News and Criminal Legal News. They filed a lawsuit in August 2023 alleging First Amendment and due process violations. The case remains ongoing in federal court. Wright said now the publication is also having problems getting its books to prisoners under the new policy, and they are planning to file a second lawsuit in the the next month. Prisoners have a right to receive publications and information through the mail, and as publishers, we also have a right to send it to prisoners, he said. He noted that the prison system already censors what books are available. The last thing you want is an informed and educated prison population, he said. Karen Pojmann, a spokeswoman for the Missouri Department of Corrections, said a publications newsletter may have to be distributed electronically or mailed to a center that scans in letters and sends them electronically to prisoners tablets. She said that prisoners have free access to more than 220,000 books, magazines and other publications through the prisons libraries. They can also purchase periodical subscriptions through an ordering process. Antwann Johnson, a prisoner at Jefferson City Correctional Center, said he wants to further his knowledge on topics including religion and the law. But the DOCs policy has made it difficult to access materials. Now I cant get the things that used to give me a sense of peace, he told The Star. When a family member adds money to a prisoners account for a book, he said, that money can be diverted to pay restitution costs. He said the DOC keeps chipping away at the small things that help prisoners feel human, which makes them lose hope and turn to drugs. Taking, taking, taking, its not solving anything, Johnson said. Benjamin Cope, a prisoner at Crossroads Correctional Center, about an hour north of Kansas City, said ordering books now is a hassle. Eife said that Prison Health News, which was founded in 2003, is exploring various legal options after their newsletters began getting rejected by Missouri prisons shortly before the new policy went into effect last year. The newsletters contain information about health issues that impact people who are incarcerated and answer questions sent in by prisoners. In August 2023, 20 of the 32 copies they sent to Missouri prisoners were returned. That number grew with the winter 2023 and the spring 2024 editions. It also spread from one institution to seven of the states prisons. My understanding is that this is happening because of this new policy, Eife said. She noted that the newsletters are sent directly from the printing press, so there is no way they are being laced with drugs. The work that we do is to help people and nothing that we send would be something that questions or weakens the security of the system or creates any kind of risk for the people that are incarcerated, the people that work in the prisons, or the security of the system in general, she said. She also said that it was important for prisoners to get health information because of the challenges they face with the quality of health care within prisons, to learn about preventative health measures and because of the unique circumstances incarceration presents when it comes to things like communicable diseases. Following this week's debate between former President Donald Trump and Vice President Kamala Harris, Colorado's Democratic and Republican party chairs shared their reactions with Colorado Politics, opining on who won and more importantly if their nominee accomplished what they needed to in what could be the last pivotal event before votes are counted. Sudan has been plagued by civil war, with soldiers of the Sudan Peoples Liberation Army involved in the nearly two-decade-long conflict in 1983 - ALBERT GONZALEZ FARRAN/AFP The United Arab Emirates has used Russias notorious Wagner mercenary group to ship arms to rebels in Sudans civil war, experts and a paramilitary group say. The Kremlin-funded military contractor used the neighbouring Central African Republic (CAR) to smuggle weapons to Sudans Rapid Support Forces (RSF), fighting against the Sudanese army. Rebels fighting the CAR government told an investigation by SourceMaterial that they had captured Wagner-escorted consignments of weapons supplied by the UAE and destined for the RSF. Shipments continued until at least April 2024, the rebels said, with diplomatic sources believing they have now tailed off as Moscow has tilted away from the RSF and towards the Sudanese armed forces (SAF). As many as 150,000 people have been killed in Sudan and more than 10 million people have fled their homes since the simmering rivalry between the army and RSF last year erupted into war. Both sides are accused of atrocities. The conflict has set off one of the planets worst humanitarian crises and triggered the worlds first formal declaration of famine in seven years. Wagner mercenaries are said to be heavily involved in the neighbouring CAR - COORDINATION OF AZAWAD MOVEMENTS/REUTERS United Nations investigators this week accused the RSF of horrific ethnically-driven assaults against non-Arab Sudanese in the Darfur region. The UAE, traditionally one of Britains closest allies in the Gulf, has long-standing dealings with the RSF and has repeatedly been accused of ferrying weapons to them. It strongly denies all involvement though UN experts have called previous accusations credible. The Emirati government declined to comment on the latest allegations. Russia has also emerged as a key participant as the war has become a tangled global battlefield, waged by competing opportunistic powers. Moscow has been playing both sides of the bloodshed, analysts say, in hopes that it will be rewarded with access to gold mines and a strategic Red Sea port. Wagner mercenaries are heavily involved in the neighbouring CAR, bolstering the government against opposition rebels and have used the country as a conduit for weapons bound for the RSF. A rebel leader claims Wagner forces have been transporting arms into South Darfur - AP A rebel leader said Wagner forces now rebranded Africa Corps after the failed uprising by Yevgeny Prighozin had been ferrying arms across the border crossing at Um Dafog into South Darfur. Abdu Buda, a spokesman for the Coalition of Patriots for Change, said the paramilitary group had intercepted two shipments, the most recent in April, and also captured Russian Wagner mercenaries. He said two were dead and two still in captivity. He said: These shipments were transported by Wagner mercenaries who are fighting against our forces, controlling the gold and diamond mining area and backing the government in Bangui. We arrested fighters from the Russian mercenaries of Wagner during the battles between us and the CAR government forces... We arrested them with weapons coming from UAE to CAR. During the investigation with the Wagner captives they told us that they have coordination with UAE and the CAR government to send the weapons to RSF. The Coalition of Patriots for Change rebel group says it captured consignments of UAE weapons escorted by Wagner mercenaries - SOURCE MATERIAL Wagners smuggling route passes through Bangui, the capital, to Birao near the Sudanese border, said Nathalia Dukhan, a Central Africa specialist at the Global Initiative against Transnational Organized Crime. Local sources mentioned planes, which they believe were Emirati, arriving in Bangui at night with military equipment, she said. Wagner collected the shipments, transported them via helicopters and military aeroplanes to Birao, and then transferred them to the RSF in Sudan. Diplomatic sources said supplies to the RSF appeared to have slowed earlier this year, after Kremlin relations with the Sudanese army warmed. Wagner and the UAE had already worked together closely elsewhere in Africa, notably in Libya. Strategic alignment of interest Andreas Krieg, a Kings College London academic who studies the conflict, said: The story of Wagner in the African continent starts in the UAE, they gave them the seed funding to found their base in Libya. There is a strategic alignment of interest between Russia and UAE because oppose political Islam and civil society more generally. The CAR shipments have been just part of an arsenal of UAE weapons being transferred to the RSF, the Sudanese military alleges. The rebel militia has committed violations and atrocities with unlimited support from the UAE, according to a leaked 78-page dossier of allegations, compiled by Al-Harith Idriss al-Harith Mohamed, Sudans permanent representative to the UN. His letter to the Security Council, dated March 28, lists 43 flights from the UAE and to an airport in Chad on the Sudanese border between July 2023 and March 2024. Many of the flights were allegedly carrying cargoes of weapons. The letter includes photos, allegedly taken at Amdjarass airport in Chad, one of which shows a crate of Kalashnikovs rifles offloaded from a UAE plane. Mohamed Abushahab, the UAEs ambassador to the UN, this week told the Security Council that Sudans claims it was supplying the RSF were a cynical attempt to deflect attention from the failings of the Sudanese Armed Forces. Russia, like the UAE, has been heavily involved in Sudan since long before the current war. Mohamed Abushahab has disputed Sudans claims it was supplying shipments to the RSF - PACIFIC PRESS/LIGHT ROCKET In 2017, Omar al-Bashir, Sudans then president, signed deals in Moscow, agreeing for Russia to set up a naval base in Port Sudan and granting concessions on gold mining to Wagner front companies. Jonas Horner, former Horn of Africa senior analyst for Crisis Group, said: By having Wagner/Africa Corps retain ties with the RSF and the Kremlin provide support to SAF, Russia has been able to fudge this parallel support. Equally, short on friends internationally, neither of the belligerents in Sudan felt able to alienate Moscow by cutting ties. While the RSF has made gains in much of the country, the army appears difficult to dislodge from the north east coast, leaving it still crucial to Moscows dreams of a naval base. Mr Horner said: I would surmise that for Russia, the equation has become that SAF on the Red Sea are looking fairly comfortable in their defence of that north eastern corner of the country, aided by the delivery of Iranian weaponry. That may become the sovereign corner of Sudan as we know it under a SAF-controlled government, regardless of their control of the rest of the country, making close relations with SAF the shortest route for Moscow to procure a Red Sea base. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. LONDON - Britain is facing a free speech crisis as the new left-wing government, overzealous police and courts crackdown on freedom of expression. Prime Minister Keir Starmer, the leader of the ruling Labour Party, and his government of barely two months have been accused of rolling back free speech protections on safety grounds and failing to root out selective enforcement of laws. "Every Brit fundamentally has the right to free speech, but for several years now, weve seen a growing trend," Lois McLatchie Miller, Senior Legal Communications Officer for Alliance Defending Freedom (ADF) U.K., told Fox News Digital. "Its only now becoming widely recognized that certain groups, depending on their beliefs, seem to have their free speech curtailed much more easily than others with different viewpoints." NEW ONLINE 'MISINFORMATION' BILL SLAMMED AS 'BIGGEST ATTACK' ON FREEDOMS IN AUSTRALIA Widespread riots in the streets of England last month and a heavy-handed approach in response to the social unrest reignited the debate about free speech. The U.K. has been grappling with harsh policing of online speech for years. In 2019, ex-police officer Harry Miller was investigated over social media posts deemed transphobic for questioning whether transgender women were real women. Millers posts were recorded by the police as a "non-crime hate incident," prompting him to challenge the designation in court. In 2020, the U.K. court ruled in Millers favor but stopped short of changing the guidelines that allow police to pursue people over comments made online. READ ON THE FOX NEWS APP During a speech to parliament, Reform Party leader Nigel Farage complained of the double standards in applying the law evenly. Farage wrote on X "Establishment MPs can heckle me all they like, but the British people are angry that we are living through a two-tier policing and justice system." Last month, the government issued a direct reminder of such laws and warned its citizens to be mindful of posting content deemed offensive and threatening with imprisonment. The Crown Prosecution Service posted a warning to social media platform X, which was amplified by the governments official social media accounts, warning citizens, "Think before you post!" "Content that incites violence or hatred isn't just harmful it can be illegal," the agency wrote. "The CPS takes online violence seriously and will prosecute when the legal test is met. Remind those close to you to share responsibly or face the consequences." The post added: "The British government is cracking down on people who share social media posts about the U.K. riots that it judges are 'likely to start racial hatred.'" The government simultaneously began working on measures to force social media companies to suppress perceived "fake news" and legal content deemed harmful, to avoid fueling social unrest. The new measures would expand the scope of Britains Online Safety Act by targeting and making social media companies liable for "legal but harmful" content. UK LOOKS TO TREAT MISOGYNY AS EXTREMIST VIOLENCE, RAISING FREE SPEECH CRACKDOWN CONCERNS Anti-Israel protesters gather in central London for a march to the Israeli Embassy in London, United Kingdom on September 7, 2024. London Mayor Sadiq Khan encouraged the Stammer government to swiftly implement changes to the online safety law, saying that currently, "its not fit for purpose." "I think very swiftly the government has realized there needs to be amendments to the Online Safety Act," Khan said in an interview with the Guardian. "I think what the government should do very quickly is check if it is fit for purpose. I think its not fit for purpose." But concerns over free speech in the U.K. extend beyond online, with double standards applied to different viewpoints and political protests. Last weekend, two pro-Israel counter-protesters, Mark Birbeck and Niyak Ghorbani, carrying a "Hamas is terrorist" sign, were arrested during the pro-Hamas march in London on suspicion of breach of peace. The counter-protesters presence allegedly led to the march being paused, and they were arrested following a struggle with police officers. Ghorbani is a well-known anti-Hamas Iranian dissident whom Londons Metropolitan Police tried to ban from attending future anti-Israel protests as part of his bail conditions after he was arrested for opposing the protests. A court rebuked the force and ruled in April that such bail conditions were neither proportionate nor necessary. The moniker Two-tier Kier is how some on social media have responded to the new prime minister's policies. UK POLICE COMMISSIONER THREATENS TO EXTRADITE, JAIL US CITIZENS OVER ONLINE POSTS: WELL COME AFTER YOU "On one hand, we see groups like environmental protesters, such as Stop Oil activists, or pro-Palestinian, and even in some cases, pro-Hamas protesters being given a wide berth to express their beliefs, sometimes using very violent language," Lois said. "Yet, when we consider different types of protests, for example, Christians going out to pray near places of worship, they often face much stricter restrictions." For example, Dia Moodley, a Christian pastor who occasionally engages in street evangelism, was forced to sue the local police after the force forbade him from "passing comments on any other religion or comparing them to Christianity" and "passing comments on beliefs held by Atheists or those who believe in evolution." Moodley won in court earlier this year, and the police admitted that the restrictions on free speech imposed on Moodley were "disproportionate." Adam Smith-Connor, a Christian military veteran, meanwhile, is set to appear in court next week after being fined and criminally prosecuted over praying silently near an abortion facility. Local authorities alleged that Smith-Connors silent prayer violated the so-called "buffer zone," a designated area where individuals are allowed to express approval or disapproval of abortion. "Silent prayer is not, and can never be, a crime. Yet, the prosecution of Adam Smith-Connor who served in Afghanistan to uphold fundamental freedoms for everyone shows an authoritarian move towards thought-policing in the U.K. This isn't 1984, but 2024. And yet, the determination of the state to clamp down hard on even silent Christian beliefs while protecting the free expression of others with different views is clearly exposed," said McLatchie Miller. Yet, there is a growing backlash against the governments anti-free speech stance, particularly the decision to pause the implementation and potentially scrap entirely the free speech law in higher education over safety concerns. CLICK TO GET THE FOX NEWS APP Over 600 academics and intellectuals, including seven Nobel laureates, signed a letter urging the government to reconsider the decision to shelve the law, the Times of London reported. The law was a flagship policy passed by the previous Conservative government to protect students' and academics free speech rights on campus. "The decision to halt [the act] appears to reflect the view, widespread among opponents, that there is no free speech problem in U.K. universities. Nothing could be more false. Hundreds of academics and students have been hounded, censured, silenced or even sacked over the last 20 years for the expression of legal opinions," the letter read. Original article source: UK government accused of cracking down on free speech: 'Think before you post' UK Defence Intelligence has revealed satellite images showing how the Armed Forces of Ukraine continue to destroy bridges across the Seym River in Russia's Kursk Oblast. Source: UK Defence Intelligence review dated 14 September, as reported by European Pravda Details: The intelligence said that Ukrainian forces are targeting Russian military logistics in the region. Quote: "Ukraine continue to hamper Russian logistics in the Kursk region in a series of strikes which destroyed road and pontoon bridges over the Seym river," the statement reads. Latest Defence Intelligence update on the situation in Ukraine - 14 September 2024. Ukraine continue to hamper Russian logistics in the Kursk region in a series of strikes which destroyed road and pontoon bridges over the Seym river.#StandWithUkraine pic.twitter.com/C8VgcAHmXQ Ministry of Defence (@DefenceHQ) September 14, 2024 Background: Estonia's Defence Minister Hanno Pevkur expressed the opinion that Ukraine's operations in Kursk Oblast could give it better negotiating leverage with Russia. In addition, NATO Secretary General Jens Stoltenberg noted that Ukraine has achieved "a lot" during its operations in Russia's Kursk Oblast. Support UP or become our patron! UK Prime Minister Keir Starmer has said that a final decision on the use of Storm Shadow missiles deep into Russian territory has not yet been made but hinted that further developments are possible. Source: Politico, as reported by European Pravda Details: Starmer's statement came after his meeting with US President Joe Biden. He noted that there has been no final decision on whether to allow long-range strikes for Ukraine, but at the same time hinted that further developments could take place at a meeting of the UN General Assembly later this month. "We'll obviously pick up again in UNGA in just a few days time with a wider group of individuals," the UK Prime Minister said. Starmer emphasised that "this wasnt a meeting about a particular capability" but added: "Weve come to a strong position". Background: Earlier, the media reported that the US and the UK had agreed, along with other allies, to allow Ukraine to strike military targets in Russia with Storm Shadow missiles, a long-standing demand by Kyiv. However, the White House later said that the US would not make any announcements about changing its policy on allowing Ukraine to use long-range missiles after Biden's meeting with Starmer. Support UP or become our patron! A group of exchanged soldiers standing together after their release from captivity. Photo: Zelenskyy on Telegram Ukraine brought back 103 soldiers from Russian captivity on 14 September. Source: Ukraines President Volodymyr Zelenskyy on Telegram; Coordination Headquarters for the Treatment of Prisoners of War Details: The president said that the group includes 82 privates and sergeants, as well as 21 officers. Quote: "These are the defenders of Kyiv, Donetsk, Mariupol, and Azovstal [steel plant in Mariupol ed.], as well as those from Luhansk, Zaporizhzhia, and Kharkiv oblasts. Soldiers from the Armed Forces of Ukraine, the National Guard, border guards and police. I thank our team handling prisoner exchanges for bringing such good news to Ukraine." Details: The exchange was mediated by the UAE, with both Moscow and Kyiv exchanging 103 soldiers each. Ukraine handed over Russian soldiers who had been captured in Kursk Oblast. The exact location of the exchange remains undisclosed, but the exchanged Russian soldiers are currently in Belarus. The Coordination Headquarters for the Treatment of Prisoners of War clarifies that this time, 38 National Guardsmen were freed. The exchange included 28 Ukrainian servicemen: two from the Kraken special unit, two from the International Legion, three from the Special Operations Forces and one from the Territorial Defence Forces. Eight border guards, four police officers, 21 naval personnel, three representatives of the State Special Transport Service, and one from the State Emergency Service of Ukraine are heading home. All those released this time are men: 82 privates and sergeants, and 21 are officers. The freed servicemen had participated in defending the city of Mariupol from the Russians, including 69 people from Donetsk, Luhansk, Zaporizhzhia, Kherson, Kharkiv, and Kyiv oblasts (including 31 defenders of Azovstal). There are also two Chornobyl Nuclear Power Plant guards among them. Quote: "Most of them have sustained severe injuries or health issues and require urgent medical care. Ukraine has worked for a long time to organise the exchange of these citizens. Ukraine expresses its gratitude to the United Arab Emirates for their assistance in arranging this exchange." Background: On 13 September, Ukraine brought back 49 more military and civilians from Russian captivity, including 23 women for the first time in a long time. Support UP or become our patron! Ukraine already produces its own 155 mm artillery ammunition, said Alexander Kamyshin, newly appointed by President Zelensky as external adviser for strategic issues. Kamyshin, who resigned from his post as Strategic Industries Minister during the biggest wartime government reshuffle on Sept. 4, told Norwegian media Nettavisen that Ukraine's production of defense material doubled under his leadership. "By the end of the year, it will have tripled. We continue to drive on," Kamyshin added. Ukraine has long tried to ramp up domestic ammunition production to become more independent from Western partners. In the summer of 2023, Ukroboronprom said that it had already mastered the production of 82 mm mortar mines, 122 mm, and 152 mm artillery rounds, as well as 125 mm tank shells. Earlier media reports cited Ukrainian officials hoping to begin producing "desperately needed" NATO-standard 155 mm artillery rounds in the 'second half' of 2024 at the earliest. "This is a very complicated process. This is something that Ukraine has never done before," Kamyshin said, adding that he "can't say much" on the matter. Despite domestic efforts, the Ukrainian army still mainly depends on supplying 155 mm shells from partners, as European countries join forces to buy the rounds outside Europe. The U.S. also opened a new factory last May to produce 155 mm munitions for Ukraine and significantly increased production in some existing factories. Read also: ATACMS restrictions subject of intense consultations between Ukraine and allies, Sullivan says Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. PRAGUE (Reuters) - Ukraine would have a good military reason to strike deeper into Russia using Western weapons, a senior NATO military official said on Saturday. British Prime Minister Keir Starmer met U.S. President Joe Biden at the White House on Friday as Ukraine's allies discuss whether to give a go-ahead for Kyiv to use long-range missiles against targets in Russia. President Vladimir Putin has said the West would be directly fighting Russia if it allowed Ukraine to strike with Western-made long-range missiles. At a meeting in Prague of the North Atlantic Treaty Organization's Military Committee - the alliance's highest military authority - its chairman Admiral Rob Bauer said the law on armed conflict gave a nation the right to defend itself and that did not stop at its border. At the same time, he said, nations providing weapons also had a right to place limitations on their use. He said: "In military terms, you do (those attacks) because you want to weaken the enemy that attacks you in order to not only fight the arrows that come your way, but also attack the archer. "So, militarily, there is a good reason to do that; to weaken the enemy, to weaken its logistics lines, fuel, ammunition that comes to the front." The other side of the discussion was political, he said, as nations supplying weapons may feel responsible for them, and those political talks continue. Ukraine's President Volodymyr Zelenskiy has been asking allies to allow Ukraine to use Western missiles, including long-range U.S. ATACMS and British Storm Shadows, deep into Russian territory to limit Moscow's ability to launch attacks. Putin said on Thursday the actual programming of the missiles' flight paths would have to be done by NATO military personnel, because Kyiv did not have the capabilities itself. (Reporting by Jason Hovet) President Volodymyr Zelensky has announced that 103 Ukrainian POWs were returned from Russian captivity on Sept. 14. "Our people are home," he said in a post on social media. In a separate post, the Azov Angels charity fund said 23 of those released were Azov fighters, returning "after more than two years of captivity." Azov fighters became a symbol of Ukraine's resistance through their tenacious defense of the Azovstal steel plant in Mariupol in the first three months of the all-out war. Russian forces eventually occupied Mariupol in May 2022, capturing the remaining defenders. Our people are home. We have successfully brought back another 103 warriors from Russian captivity to Ukraine. 82 privates and sergeants. 21 officers. Defenders of the Kyiv and Donetsk regions, Mariupol and Azovstal, Luhansk, Zaporizhzhia, and Kharkiv regions. Warriors of the pic.twitter.com/M9YsZjpFct Volodymyr Zelenskyy / (@ZelenskyyUa) September 14, 2024 Zelensky said the released POWs consisted of 82 privates and sergeants, and 21 officers, adding they were "defenders of Kyiv and Donetsk oblasts, Mariupol and Azovstal, Luhansk, Zaporizhzhia, and Kharkiv oblasts. Warriors of the Armed Forces of Ukraine, the National Guard of Ukraine, border guards, and police officers." "The vast majority of those released are people who had been held (in captivity) since the first days of the war, said Ukraine's Ombudsman Dmytro Lubinets in a statement. Lubinets stressed that people who returned need serious rehabilitation, as their health has deteriorated severely during captivity. Previously, the United Nations commission published several reports describing the torture of Ukrainian POWs as widespread and systematic, and the conditions of their detention as "shocking." Some Ukrainian POWs died in captivity from causes such as "blunt force trauma". This is the 57th prisoner exchange since the outbreak of the full-scale war, and the second exchange carried out in the last two days. Earlier on Sept. 13, 49 Ukrainian soldiers and civilians were released from Russian captivity. They included personnel of the Armed Forces, the National Guard, the National Police, and border guards. A total of 3,672 Ukrainians have been brought back from Russian captivity. Kyiv aims to conduct an all-for-all prisoner exchange, which was one of the subjects at Ukraine's peace summit in Switzerland in mid-June. Read also: Is Russians at War propaganda? We asked 7 people in film who saw it Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Dozens of Russian drones were launched overnight in an attack targeting regions throughout Ukraine, President Volodymyr Zelenskyy said. Seventy-two of the 76 Shahed drones that were launched were destroyed by Ukrainian anti-aircraft defenses, the Ukrainian air force said. The drones targeted regions throughout Ukraine, including Kyiv, the capital, officials said. At least two regions in Russia were also targeted overnight by Ukrainian drones, the Russian Ministry of Defense said early Saturday. "Ten UAVs were destroyed over the territory of the Kursk region and nine over the territory of the Belgorod region by air defense systems on duty," the ministry said on Telegram. PHOTO: The Spasskaya tower of the Kremlin and St. Basil's Cathedral are seen at the sunset in Moscow, Russia, Friday, Sept. 13, 2024. (Pavel Bednyakov/AP) The overnight launches between Ukraine and Russia followed talks on Friday in Washington, where President Joe Biden and British Prime Minister Keir Starmer discussed possibly lifting restrictions on the use of some Western weapons that could be used by Ukraine to strike deeper within Russia. MORE: UK's Starmer, Biden discuss Ukraine's use of deep-strike missiles inside Russia A decision on whether to ease those restrictions, which Starmer described as a "particular tactical strategy," was not announced following the meeting. Russian President Vladimir Putin on Thursday said allowing such long-range strikes would amount to NATO forces becoming "directly involved" in the war. "If this decision is made, it will mean nothing short of direct involvement -- it will mean that NATO countries, the United States and European countries are parties to the war in Ukraine," Putin said, according to a transcript published by the Kremlin. "This will mean their direct involvement in the conflict, and it will clearly change the very essence, the very nature of the conflict dramatically." Zelenskyy and other Ukrainian officials have long been pressing the U.S. and Western allies for permission to conduct strikes deeper in Russia with long-range U.S.-made weapons. PHOTO: People walk across a pedestrian bridge in city center of Kyiv, Ukraine, Friday, Sept. 13, 2024. (Evgeniy Maloletka/AP) MORE: Ukraine war enters volatile phase after offensive into Russia "We need more air-shield, air-defense and long-range capabilities to continue to protect life and our people," Zelenskyy said in a message in Ukrainian on Saturday on the messaging app Telegram. The U.S. State Department said Saturday on its official Russian-language social media channels that America's "goal is to make sure that Ukrainians resist Russian aggression as effectively as possible," adding, "Putin will continue to suffer strategic failures in Ukraine." U.S. Secretary of State Antony Blinken and British Foreign Secretary David Lammy traveled to Kyiv this week to discuss those long-range capabilities, among other topics, with Ukrainian leadership. MORE: No decision made on long-range missiles during Blinken visit to Kyiv: Zelenskyy adviser "We have adjusted and adapted as needs have changed, as the battlefield has changed, and I have no doubt that we'll continue to do that as this evolves," Blinken said on Wednesday. The two diplomats briefed Biden and Starmer during their White House meeting, according to Downing Street. Russian Foreign Minister Sergey Lavrov on Thursday said he had "no doubt" that the decision "was taken long ago" to lift restrictions on long-range weapons. "[A]nd now they are only trying to find the most suitable, acceptable and elegant way of presenting it to the public," he said in remarks in Moscow. ABC News' Will Gretsky, Michelle Stoddart, Molly Nagle, Anne Flaherty, David Brennan, Meredith Deliso and Oleksiy Pshemyskiy contributed to this report. Ukraine and Russia trade overnight drone attacks, military officials say originally appeared on abcnews.go.com On September 12, a memorial service was held in Kyiv for Anton Samborskyi, the adopted son of Ukraine's Chief Rabbi Moshe Azman, who was killed in combat. Samborskyi, 32, had been missing since late July, with his death confirmed weeks later. Rabbi Azman, who adopted Samborskyi when he was 10, expressed his sorrow, recalling their last conversation and noting that Samborskyi had recently become a father before being drafted into the army. The service at the Central Synagogue of Kyiv was attended by servicemen, veterans, and others, and Samborskyi was buried in the citys Jewish cemetery afterward. Rabbi Azman expressed his gratitude for the overwhelming support he received after the passing of his son on X. Friends, I am grateful to each of you, he wrote on X. Your warm words, coming from the heart, fill me with confidence in our victory over evil. He also announced a fundraising campaign in memory of his son, to support those affected by the war, including refugees, the elderly, widows, and orphans. Read also: Jewish pilgrims warned against travel to Uman for Rosh Hashanah Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Ukraine's foreign minister believes EU should be ready to regard Ukraine as member state The European Union needs to change its vision of Ukraine and prepare to perceive it as a member state. Source: Andrii Sybiha, Ukraine's Foreign Minister, at the annual Yalta European Strategy (YES) meeting, as reported by European Pravda Quote from Sybiha: "We are a country of the European Union this is the logic that should be followed [by European institutions ed.] today." Details: An example of this logic is the preparation of the EU budget for the next seven-year period, which will take effect from 2027. Quote: "The next seven-year EU budget must reflect the logic of enlargement and Ukraine's membership." However, this logic also imposes additional obligations on Ukraine. Quote: "Ukraine must support all the EU's statements and be 100 percent in line with the EU's position." Sybiha hopes that Poland's presidency in the first half of next year will help change the EU's vision of Ukraine. Quote: "We also hope that Poland will support the parallel opening of clusters not step by step, but parallel and we need the support of our allies in the EU on this." [Ukraine's EU membership negotiations are being conducted in line with the 33 chapters of the acquis. The chapters are grouped into six clusters. Ukraine is insisting that all these clusters be considered simultaneously rather than consecutively ed.] Background: Prime Minister Denys Shmyhal has stated that Ukraines goal is to be ready for EU accession no later than the end of 2025. Shmyhal said in March that Ukraine could join the EU after winning the war and would complete its "homework" in two years. Support UP or become our patron! Ukraine's Foreign Minister Andrii Sybiha has urged states that did not attend the first Peace Summit in Switzerland to join the next summit, as the Peace Formula proposed by Kyiv is the only way to achieve a just peace in Ukraine. Source: Sybiha on X (Twitter), as reported by European Pravda Quote: "The Peace Formula has no alternatives and is the only path toward a comprehensive, just, and lasting peace. We invite every state, leader, and organisation that truly wishes peace to be restored to join the Peace Formula efforts alongside already a hundred global participants." Details: Sybiha stressed that the Russo-Ukrainian war cannot be seen as a conflict between two states, as it is a colonial war of aggression waged by one country against another. "This war must end fairly in order to preserve international peace. We need a global diplomatic front to force the aggressor to peace," he stressed. Sybiha noted that the declaration of the first summit had been signed by 94 states and preparations for the next one were underway. "We invite everyone to join our peace efforts," he tweeted. Background: Earlier, Andrii Yermak, Head of the Ukrainian President's Office, said that the invitation to the second Peace Summit for a Russian representative should not be interpreted as the start of negotiations with Russia. He also stressed that Ukraine wants to hold the second Peace Summit no sooner than the preparation of a joint plan to implement each point of the Peace Formula is completed. President Zelenskyy expressed hope that the preparatory document for the second Peace Summit would be ready by November. Support UP or become our patron! Ukraine's long-range missile strike hopes on hold once again but encouraging signs remain Ukraine's hopes of being allowed to use Western-supplied long range missiles to strike deep inside Russian territory were put on hold once again on Sept. 13, after the leaders of the U.S. and U.K. stopped short of making the announcement Kyiv wanted. Anticipation had been high ahead of meetings between President Joe Biden and U.K. Prime Minister Keir Starmer in Washington, but the White House dampened expectations even before the pair had finished talks. "There is no change to our view on the provision of long-range strike capabilities for Ukraine to use inside of Russia," National Security Council spokesperson John Kirby told reporters. Ukraine was hoping for permission to use two Western-supplied long range missiles that it already possesses to strike military targets such as airfields located deep inside Russian territory. With the bans in place, Kyiv says it cannot effectively defend Ukrainian cities from intensifying aerial attacks. The two missiles are the U.S.-supplied ATACMS, a short-range supersonic tactical ballistic missile, and the U.K.-France-supplied Storm Shadow. Both Storm Shadows and ATACMS were initially given to Kyiv on the provision that they only be used to strike Russian targets within Ukraine or in Russian-occupied parts of the country. Western fears of escalating the war with Russia have been behind the restrictions. The tide began to shift after Russias renewed offensive in Kharkiv Oblast in May, when the U.S. and other Western allies eased restrictions, allowing Ukraine to strike targets with Western weapons in a defensive fashion inside Russia. In the lead up to Starmer and Biden meeting on Sept. 13, multiple media reports suggested both countries might be on the verge of allowing Kyiv to use their long-range weapons to strike targets deep in Russian territory. According to Politico, on Sept. 11, Biden was said to be finalizing the details of a plan to lift some restrictions. On the same day, the Guardian reported that the U.K. had already privately decided to allow Ukraine to use its British-supplied Storm Shadow missiles for long-range strikes, though no public announcement had been made at the time. Hopes were understandably high that an announcement would come after the meeting between Biden and Starmer. Speaking to reporters at the White House, Starmer said the meeting with Biden had been a "wide-ranging discussion about strategy" rather than a decision-making process about "a particular capability." "Weve had a long and productive discussion on a number of problems, including Ukraine, as youd expect, the Middle East, and the Indo-Pacific, talking strategically about tactical decisions," he said. According to reports, the U.K. has already decided to grant Ukraine permission to use Storm Shadows to strike targets deep inside Russia. The White House said the meeting between the two leaders was called at the request of the U.K., and according to The New York Times (NYT), Britain wants explicit permission from Biden so a coordinated strategy can be presented. While Kirby was very clear that a decision was not coming on Sept, 13, he didn't rule out that one could be made in the near future. According to the Guardian, Starmer suggested the plan would be further discussed "with a wider group of individuals" at the UN general assembly in New York later this month. The NYT reported that it's likely a decision will be made about Storm Shadows before one is reached over ATACMS. While Ukraine has been pleading for permission for months, it's understood the stance of Western nations has changed in light of Iran supplying Russia with ballistic missiles. "We've now seen this action of Russia acquiring ballistic missiles from Iran, which will further empower their aggression in Ukraine. So if anyone is taking escalatory action, it would appear to be Mr. Putin and Russia," U.K. Foreign Secretary David Lammy, said while visiting Kyiv earlier this week. Lammy added that the Iran supplying ballistic missiles to Russia "clearly changes the debate" around the issue, as it allows the Kremlin to gain "further penetration into Ukraine." Russian President Vladimir Putin and other Russian officials have upped their saber-rattling in response, claiming that the move would mean NATO is directly at war with Russia. "I do not think much about Vladimir Putin," Biden said when asked on Sept. 13 what he thought about the Russian president's remarks. Read also: The long-range missiles Ukraine could soon be firing deep into Russia Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. KYIV (Reuters) - Ukraine's spy chief said on Saturday that Russia's increased production of guided bombs as well as artillery ammunition deliveries from North Korea present major problems for Ukrainian forces on the battlefield. The head of Ukraine's military intelligence agency GUR, Kyrylo Budanov, said North Korean military aid to Russia presented the biggest concern compared to support provided by Moscow's other allies. "They supply huge amounts of artillery ammunition, which is critical for Russia," he said, pointing to the ramp up in the battlefield hostilities following such deliveries. Ukraine and the United States, among other countries and independent analysts, say North Korean leader Kim Jong Un is helping Russia in the war against Ukraine by supplying missiles and ammunition in return for economic and other military assistance from Moscow. Russia's boost in the production of guided bombs also presented a "huge problem for the frontline", Budanov said at the Yalta European Strategy conference organised by the Victor Pinchuk Foundation in Kyiv. Ukraine's forces are stretched thin more than 30 months into the full-scale invasion, working to stave off Russian advance toward key towns in the country's east. Ukrainian forces have also made an incursion into the western Russian region of Kursk. A ramp up in the production of the Iskander-type missiles has resulted in Russia's "massive use" of weapons to attack Ukraine, Budanov said. This year's strikes on Ukraine's critical infrastructure have caused significant damage to the country's power grid, leading to power cuts. President Volodymyr Zelenskiy has renewed pleas for air defence support from Ukraine's allies. Budanov said Russian internal planning showed that Moscow will face a recruitment crunch in the middle of next year. "During this period (summer 2025) they will face a dilemma: either to declare mobilisation or to somehow reduce the intensity of hostilities, which may ultimately be critical for them," Budanov said. (Reporting by Anastasiia Malenko; Editing by Ros Russell) A Russian armoured vehicle being destroyed. Photo: Screenshot from video by Ukraine's Air Assault Forces The Russians deployed 14 pieces of military equipment to storm the positions of Ukrainian airborne forces in Russia's Kursk Oblast on Friday. Ukrainian troops crushed them in a several-hour battle. Source: Ukraine's Air Assault Forces Details: The Russians used 2 tanks, 11 airborne infantry fighting vehicles (IFVs) and one armoured personnel carrier (APC) belonging to a unit from Russia's Airborne Forces. Quote: "This attempt to break through the defensive positions of the Ukrainian Armed Forces failed: Ukrainian paratroopers proved in a heavy battle that lasted several hours that they are better at military affairs, having mastered the science of winning with flying colours. Ultimately, five airborne IFVs, an enemy tank and an APC [have been destroyed] and several dozens of Russians [have been killed]. The rest of the surviving Russian troops fled." Support UP or become our patron! Nine shahed drones were downed. Infographic: Ukrainian Navy The Ukrainian Navy downed nine Shahed kamikaze drones over Odesa Oblast during a Russian air attack on the night of 13-14 September. Source: Ukrainian Navy Details: Russia attacked Odesa Oblast with Shahed drones from the Black Sea. Air defence systems were responding at around 02:00 and explosions were heard in the oblast. Support UP or become our patron! Ukrainians warn of being surrounded as Russia advances in east Ukrainian troops are now at risk of encirclement on the front line near Pokrovsk [Reuters] The situation is critical, a Ukrainian military officer in the east told the BBC near the front line south of Pokrovsk. Russias military strategy now appears to be surrounding the city, which is a key transportation hub in the region. The officer, who preferred to stay anonymous, said his military leadership want to hold their positions at all costs, often leading to the loss of troops and resources. That approach, he says, was resulting in a number of cauldrons, large territories surrounded by the Russian forces. One of them is south of Pokrovsk - between Nevelske, Hirnyk and Krasnohorivka. We are not planning to advance towards the city of Donetsk any time soon, so why are we holding positions near Nevelske when were losing Hirnyk? said the officer. Far better to retreat to Hirnyk, he believes, with a minimum loss of resources and hold those positions. When your enemy has more people and resources than you do, this strategy is reckless, the Ukrainian officer added. Look at the Donetsk region, it looks like a squid. [To defend all the] tentacles, you need a far bigger number of positions, observation posts. You need to hold back far bigger assault groups because the Russians are trying to attack from all sides." So, instead of withdrawing and reduce the length of the line they need to defend, the officer says, brigades get wiped out fighting along the entire perimeter of the "cauldron" simply because the main criteria of success for generals is to hold positions. [BBC] Roman Pohorily, an analyst and co-founder of the Deep State map that monitors the latest frontline developments in Ukraine, says Ukrainian troops have now pulled back from the village of Nevelske to avoid an encirclement. That means the threat of being trapped is less acute, but the military officer at the front says pulling back should have been done long before. Lives and resources have been wasted on something that they couldnt hold anyway, he argues. Russian troops are now advancing towards Kurakhove, a city 35km (21 miles) south of Pokrovsk. Ukrainian forces in that area confirm the fighting in their sectors has intensified lately. This development is also reflected in the daily briefings of Ukraines General Staff. On Thursday they reported that there were 32 clashes in the Pokrovsk direction and 48 in the Kurakhove direction. Theyre trying to strengthen their flanks so that they can get closer to Pokrovsk, half encircle it and then start erasing the city to the ground, says Maj Serhiy Tsekhotsky from the 59th Brigade. Civilians are fleeing the area as Russian forces approach [Getty Images] Lt Col Oleh Demyanenko, who commands a tank battalion of the 110th brigade, also says that Russian forces are now pushing along the sides, in addition to a direct assault on Pokrovsk. However, he claims that the Russians are now focusing mostly on the southern flank thats the Kurakhove direction. Russian troops assault Ukrainian positions with small groups and often theyre not accompanied by armoured vehicles, soldiers say. They send two or three people who try to reach a certain point in the field," explains Maj Tsekhotsky. Then others try to get to that point as well. And when they have 10-15 people, they try to attack us. What makes the Kurakhove area challenging both to defend and to advance is that its flat, says Nazar Voytenkov from the 33rd Brigade. We constantly shell fields. Russians lose their vehicles and people. He says his brigade is successfully holding its position on the front line. Ukraine is fighting hard to repel the Russian invaders, but they are slowly making gains [Getty Images] Kurakhove is linked to Pokrovsk with roads that are part of the infrastructure to move troops and supplies on the front line. If the Russians take that city, then they can go north to attack Pokrovsk from a new direction, says analyst Roman Pohorily. Another possibility is that they might attack Ukrainian troops in Vuhledar from behind, he adds. Thats a city on the southern part of the Donbas frontline that the Russians have been trying to seize since the beginning of their full-scale invasion. Strategic mistakes made in the past mean that there is only one way left to defend Pokrovsk and stop the Russians seizing the entire Donetsk region, according to the officer on the front line. "To have another Bakhmut, in his words, referring to the city in eastern Ukraine that Kyiv defended for nearly a year before retreating, with the city in ruins. [They] will throw a lot of people and let them die there. UN official says staff fear they are 'a target' as Israel hits Gaza shelters Palestinians inspect the damage at Al-Jawni School in central Gaza after an Israeli strike on the school turned shelter on Wednesday killed 18 people, including six UN staff (Eyad BABA) (Eyad BABA/AFP/AFP) A senior UN official said Saturday that teachers and other UN staff working in Gaza fear they are now targets after an Israeli air strike hit a school-turned-shelter in the territory this week. Wednesday's strike on the UN-run Al-Jawni School in central Gaza, which is housing displaced Palestinians, killed 18 people. including six employees of the United Nations agency for Palestinian refugees (UNRWA). It was the deadliest single incident for the agency in more than 11 months of war and drew international condemnation. "One colleague said that they're not wearing the UNRWA vest anymore because they feel that that turns them into a target," UNRWA senior deputy director Sam Rose told AFP on Saturday after visiting the shelter in Nuseirat. "Another one said that that morning, their children had stopped them from coming into the shelter," he said in an online interview from Gaza. The colleagues were gathering for a post-work meal in a classroom when the strike flattened part of the building, leaving only a charred heap of rebar and concrete. "A son of one of the staff had brought a meal into the building," Rose said, adding the group then debated whether to eat it in the principal's office before settling on what appeared to be a classroom decorated with pictures of scientists. "They were eating when the bomb hit." The Israeli military said it had conducted a "precise strike" on Hamas militants within the school grounds and had taken steps to reduce the risk to civilians. - 'Bereft and desperate' - The Israeli military published what it said was a list of nine militants killed in the Nuseirat strike, including three it said were employees of UNRWA. An Israeli government spokesman said the school had become "a legitimate target" because it was used by Hamas to launch attacks. Rose said such statements further battered morale among UN staffers still at the school, where thousands have sought shelter from a war that has displaced nearly all of Gaza's 2.4 million population at least once. "They were particularly angry by the allegations that had been made as to the involvement of their colleagues in extremist and terrorist activities," Rose said. "They felt that this really was a stain on the memory of dear colleagues, dear friends," he added, describing the mood as "bereft" and "desperate". UNRWA has said at least 220 members of the agency's staff have been killed in the Israel-Hamas war in Gaza, which was triggered by Hamas's unprecedented attack on southern Israel on October 7. The attack resulted in the deaths of 1,205 people in Israel, mostly civilians, according to an AFP tally based on Israeli official figures. The militants also seized 251 hostages, 97 of whom are still in Gaza, including 33 the Israeli military says are dead. Israel's retaliation has killed at least 41,182 people in Gaza, according to the Hamas-run territory's health ministry. On Friday, UNRWA announced one of its employees was killed during an Israeli raid in the occupied West Bank, the first such death in the territory in more than a decade. UNRWA has more than 30,000 employees in the Palestinian territories and elsewhere. It has been in crisis since Israel accused a dozen of its employees of being involved in the October 7 attack. The UN immediately fired the implicated staff members, and a probe found some "neutrality related issues" but stressed Israel had not provided evidence for its main allegations. rcb/jd/kir CNN - A United Nations staff member was among 10 people killed during an Israeli military operation in the occupied West Bank, the Israeli military and the UN said on Friday. Sufyan Jaber Abed Jawwad, who worked as a sanitation worker in El Fara Camp in the West Bank, was shot and killed on the roof of his home by a sniper during an overnight Israeli military operation in the early morning of September 12, the United Nations Agency for Palestinian Refugees (UNRWA) said in a statement. Jawwad received three shots in the chest while on the roof of his house having a coffee, Juliette Touma, the agencys communications director, also told CNN on Friday. But the Israeli military has accused Jawwad and the others killed of being terrorists. Lt. Col. Nadav Shoshani, spokesperson for the Israel Defense Forces (IDF), confirmed Friday that Jawwad was killed in an operation in the West Banks Fara area and alleged that he was hurling explosive devices that posed a threat to the forces operating in the area. IDF troops opened fire toward him to remove said threat, and he was killed, Shoshani said. He added that Jawwad was known to Israeli security forces and he had been complicit in additional terrorist activities. The IDF said in an earlier statement on Friday that its troops had located and dismantled a vehicle rigged with explosives, explosives laboratories, operational communications rooms, and weapons during the operation that killed Jawwad. CNN has reached out to UNRWA for a comment on the IDFs claims. Jawwad the first UNRWA staffer to be killed in the West Bank in more than 10 years is survived by his wife and five children, according to UNRWA. In Gaza, at least 220 staff have been killed since October 7, UNRWA chief Philippe Lazzarini said in a post on X on Wednesday. Jordans Ministry of Foreign Affairs condemned Jawwads killing in a statement on Friday, calling it a heinous crime. The IDF has voiced distrust of some UNRWA staffers before. In January, it accused several UNRWA members in Gaza of direct involvement in the Hamas-led October 7 terror attack on Israel. A UN investigation in August found that nine UNRWA employees may have been involved in the October 7 attack and no longer work at the agency. The other people killed in the Israeli operation over the past 48 hours were killed in the areas of Tulkarem, Nur Shams and Tubas, according to the IDF. Al-Quds Brigades, the military wing of Islamic Jihad, said the five killed in Tubas were members of the Tubas Battalion in the West Bank who were preparing ambushes and explosive devices against Israeli forces. Operations in the West Bank The death comes amid increasing Israeli military action in the West Bank. Recent Israeli operations have had a heavy impact on humanitarian resources in the area, leaving the refugee camps of El Fara, Tulkarem, Nur Shams and Jenin especially affected and destroying basic infrastructure including water and electricity, UNRWA said. The agency said it had been forced to suspend its services to refugees in the area because of the unacceptable risk posed to both staffers and aid recipients by Israeli and Palestinian groups, including the danger posed by improvised explosive devices by Palestinian armed actors. Earlier this week, US Secretary of State Antony Blinken called for fundamental changes to the way Israeli forces operate in the occupied West Bank after the killing of American activist Aysenur Ezgi Eygi at a protest last week. The sharply worded rebuke came after the IDF said on Tuesday that it was highly likely that Eygi was hit indirectly and unintentionally by IDF fire. Nearly 700 Palestinians have been killed in the West Bank since October, according to the Palestinian Ministry of Health in Ramallah and the UN. The figures do not distinguish between militants and civilians. CNNs Mounira Elsamra contributed to this report. For more CNN news and newsletters create an account at CNN.com We who believe in freedom can not rest until it comes Bernice Johnson Reagon, Sweet Honey in the Rock There are places we share, Independence Hall, Gettysburg, Pearl Harbor, Dealey Plaza, Lorraine Motel, Ground Zero, Stoystown, that merge with times July 4th, Dec. 7, Nov. 22, April 4, 9/11 to create the sacred. Anointed by the sacrifice of martyrs. We gather together in community to renew the covenant that makes us all Americans. Most of the young students on Penn States campus were not born when our country was attacked on Sept. 11, 2001 and 3,000 of our people were killed. Most of the victims died unknowingly and unwillingly. But a few consciously gave their lives for the common good. Early that morning I had parked in downtown Manhattan to follow the pick-up van to the bowling alley set in New Jersey. I was playing a judge on the sitcom Ed starring Tom Cavanagh and Julie Bowen, who became famous on Modern Family. The first scene we shot was in my courtroom. Afterward some people told us that a World Trade Center tower had been accidentally hit by a plane. Only one news channel was available, a Spanish language station, which we watched on a small TV at the guards post. As we watched, another plane crashed into the second tower. We immediately knew this was no accident. Our world had been forever transformed by multiple terrorist attacks in New York, Washington D.C. and PA. With the support of most of our allies the U.S. invaded Afghanistan to flush out the culprits. Later that year while on a Fulbright Fellowship in South Africa, I wrote a play based on the experiences of some people who lived and died on that day called 9/11 A Day in the Life of a People for the Capetown diplomatic community, sponsored by the U.S. Consulate. Months later, the play was the first to be presented in the new Penn State Downtown Theatre, State College. The play was selected presentation at The National Constitution Center in Philadelphia on the 10th anniversary of the tragedy and was produced somewhere every year on the anniversary for 20 years. This year on the 23rd anniversary College Republicans at Penn State placed 2,997 flags on Old Main Lawn to commemorate our lost sisters and brothers. Stoystown was where the passengers and crew of Flight 93 knowingly sacrificed their lives to prevent terrorists from destroying the U.S. Capitol or the White House. At the commemorative ceremony Wednesday, several hundred of us watched as President Biden, Vice President Harris, Governor Shapiro and Lt. Governor Davis, along with relatives of the 40 victims who died on Flight 93, laid a wreath at their memorial. Later in the day former President Trump also appeared to honor them. The country is in the midst of a heated presidential campaign none of the candidates made a speech. All recognized that we were on sacred ground. Rather we consoled and comforted each other, remembering a time when giants walked the Earth and ordinary folks became heroes. We hope in these contentious times that we who believe in freedom will not rest. Also last week, a redwood fell in the forest. James Earl Jones, my mentor, neighbor and friend has joined the ancestors. I believe he was the finest, most versatile actor of our times. He was an EGOT winner with a Broadway theater named for him. Known as the voice of Darth Vader and CNN, he played Othello six times, and the Lion Kings father. In 1962 the first play I saw in New York was Genets The Blacks, which featured Jimmy and Cicely Tyson. Ironically one of the last Broadway shows I saw was Gin Game, a two hander with Jimmy and Cicely. Our world is diminished by their passing. Charles Dumas is a lifetime political activist, a professor emeritus from Penn State, and was the Democratic Partys nominee for U.S. Congress in 2012. He was the 2022 Lions Paw Awardee and Living Legend honoree of the National Black Theatre Festival. He lives with his partner and wife of 50 years in State College. The Montana State Capitol pictured on Feb. 6, 2023. (Photo by Nicole Girten/Daily Montanan) The Republicans on the Legislative Audit Committee voted not to acknowledge receipt of a two-year audit of the Judicial Standards Commission presented Wednesday by the Legislative Audit Division, but not because of issues with the audit itself. Rather, they did so because of the way proposed JSC rule changes based in part on the audits results were written. As Audit Division Director Angus Maciver told the committee, the vote not to acknowledge the report meant little, as he had already publicly released it last week in accordance with the law. I dont want to call it symbolic, but essentially thats what it is, Maciver said. The committee composed of six Republicans and six Democrats had spent the day hearing audit reports from division staff on many of the state governments agencies contained in the Single Audit Report. The audit of the Judicial Standards Commission, which handles complaints and discipline for Montana judges, was the last item on the committees agenda but was a key one. Audit Committee Chairperson Jason Ellsworth, the Hamilton Republican and Senate president, had last week grilled members of the commission on the proposed rule changes in a day-long meeting in the other committee he chairs, the Senate Select Committee on Judicial Oversight and Reform. Legislators, especially Republicans, have been critical of what they say is a lack of transparency surrounding what information comes out of the commission about discipline for judges. Ellsworth formed the oversight committee because he has been unhappy with court decisions that struck down bills passed by the legislature. Tina Chamberlain, a performance auditor with the Legislative Audit Division, spent nearly two years going through every complaint filed with the JSC against a judge over the past 11 years, 656 of them, to analyze how the commission functioned, how often it was doling out punishment for ethical violations, and whether the commission was transparent enough about its work. The Daily Montanan reported the findings of auditors and their six recommendations last Friday. Supreme Court Administrator Beth McLaughlin, representing the judicial branch because Chief Justice Mike McGrath had an excused absence, said Chamberlain did an excellent job and that the judiciary and JSC had agreed to the recommendations. Last summer, she lived in a dark office in our office and did nothing but look at complaints, and we appreciate her dedication to trying to get this right, McLaughlin told the committee. We very much appreciate her recommendations and what she came up with, and we concurred with all of them. Committee told new transparency measures unique to Montana McLaughlin answered most of the questions from lawmakers about the report, the audit, and the proposed changes to the Judicial Standards Commission rules, which commissioners told lawmakers last week were being made in direct response to the audit and Senate Bill 313 from last year, for nearly an hour before Ellsworth launched back into arguments he made last week that he believes the commission is not following the law or constitution. Lewis and Clark County District Court Judge Mike Menahan, who is the chairperson of the Judicial Standards Commission, said the rule changes are also being made in response to SB 313, which he told Ellsworth made Montana unique in that it is now the only state that must release the names of every judge against whom a complaint was filed, no matter how frivolous. Personally, I think, as a matter of policy, that is a terrible idea. There are reasons why judicial standards around the country have not adopted that. The judges are in a difficult position. They make difficult decisions, and they are not in a position to defend themselves when somebody files a complaint against them, Menahan said. But were complying because this is what the legislature passed, and the governor signed. Keith Fisher, a distinguished fellow at the National Judicial College and an expert on judicial ethics who consulted with the audit division on the report, said auditors did a superb job. He also commented on the transparency changes mandated by last years legislature. Fisher explained that when a frivolous complaint against a judge is allowed to be publicized, people can use that to negatively affect the reputation of the judge and the entire judiciary. He said complaints found to have merit should be publicized to be sure all laundry gets aired. But Im not aware of any other state in which the mere submissions of a complaint would trigger any kind of public disclosure, because as weve said, in most states, over 90% of the complaints that are filed lack any merit whatsoever, Fisher said. So, its kind of unfair, as well as injurious, to the institution of the judiciary in your state, and it undermines its ability and the ability of the judges to perform the functions that are so vital to that branch of government. Republicans vote to not acknowledge report because of JSC rule change process Rep. Emma Kerr-Carpenter, D-Billings, made the standard motion that the committee had agreed upon all day for the rest of the audits: I move that the committee acknowledge receipt of the audit report and that it was reviewed by the committee, filed, and that copies of the audit report be distributed in accordance with law. Ellsworth made an alternate motion, which he said was non-debatable: Were doing the opposite, which is were not accepting it and not acknowledging it, Ellsworth explained. Kerr-Carpenter asked several times for a parliamentarian to clarify what such a motion would mean, which Ellsworth attempted to shut down, saying discussing what the motion even meant would constitute debate. Maciver eventually stepped in and recommended the committee vote on the standard motion, explaining that it doesnt mean the committee accepts the report because he already issued the report last week. Just to be clear for everybody, a legislative committee cannot countermand state law. And in fact, the Legislative Audit Act has already required me to release this report, and it has been released to the relevant bodies. Senate Minority Leader Pat Flowers, D-Belgrade, asked Ellsworth why were going down this road. Ellsworth said he was still upset that a court attorney wrote the rule changes for the Judicial Standards Commission and that voting not to receive the report was a way for us to go, Were not happy. So thats the purpose; its to show a level of dissatisfaction. It is not anything other than that, because guess what? Its already been released. It is public. We cant unwind that clock, Ellsworth said. Maciver said hed like it on the record that the proposed rules changes were distinct from the audit report from his staff. Rep. Fiona Nave, R-Columbus, said she was not sure the origin of the JSC rules was something the auditors could do anything about. I am concerned that a vote on this motion, mostly its going to disparage the auditors who did a good job, and its not going to reflect where you want it to, she said. Im wondering if theres another way that we could do this instead. Flowers said he felt that no one had asked Audit Division staff to look at the Judicial Standards Commissions jurisdiction. I dont think that we should criticize our own staff by not approving this or in any way kind of besmirch their work because theres a disagreement over constitutional interpretation, Flowers said. Ellsworth said that was not how he wanted audit staff to feel and said he was instead offering the standard motion as well to receive the report. If thats how people are perceiving this, then lets just make the motion as we do in our standard, and then I can come up with something after the fact, he said. Sen. Flowers, I think you make a valid point. We certainly dont want our staff to think their work was bad, because their work was actually what got our eyes open, right? Or at least mine. The committee took a roll call vote in which all Republicans voted against the standard motion and all Democrats voted for it, meaning the motion failed in the 6-6 tie and the committee did not acknowledge receipt of the audit report. MANOA, Hawaii (KHON2) Honolulu police are investigating the death of an unidentified body that was found on Dole Street. Download the free KHON2 app for iOS or Android to stay informed on the latest news Honolulu police were called to the scene shortly after 2 p.m. on Friday on the 2600 block of Dole Street. Maui Police seek publics help in identifying 1996 cold case victim HFD firefighters were called to assist just before 4 p.m. to help locate and retrieve the body from a storm drain. In a social media post, HPD said investigators are still at the scene. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KHON2. Union Berlin caused a stir on social media with a joke about a Dresden bridge collapse - but apologized later. A section of the Carola Bridge over the Elbe river collapsed in Dresden early on Wednesday but nobody was injured. On Friday, another section collapsed during demolition work. Dresden is in the same state - Saxony - as RB Leipzig. Union drew 0-0 there on Saturday. "Half an hour gone. Defensively more stable than any Saxon bridge. Keep it up, lads!" Union wrote on X. Almost two hours later, the Berliners apologized to the city of Dresden, explaining that the comment had been "a bit over the top in the heat of the moment." Fans of Union - seen as a traditional German club - have a beef with Leipzig because of their Red Bull backing and surge up the league pyramid having been formed in 2009. Editor's note: This story about the Navy Reserve Center Amarillo's ombudsman Laura Schulze was submitted ahead of recognition of Sept. 14 being National Ombudsman Appreciation Day. When Boatswain's Mate First Class Dustin Schulze joined the Navy, his wife Laura never anticipated making an impact as significant as his. Yet, as the Ombudsman at Navy Reserve Center (NRC) Amarillo, Laura has become a vital support for sailors and their families. A Hereford native with a biology degree from West Texas A&M University, Laura conducts genomic research on cattle. Amid balancing her professional work, homeschooling their children, and supporting her husbands Navy career, she became the commands Ombudsman in February 2023 motivated by her own experiences as a military spouse. When my husband was deployed, especially with young children, I wished for someone in this role to support us, Laura shared. I saw an opportunity to help others facing similar challenges and decided to step up. Mrs. Laura Schulze, Ombudsman for the Navy Reserve Center Amarillo in Texas, poses for the camera in a courtesy photo. Since taking on the role, Laura has assisted nearly 50 sailors with benefits and immediate aid. Her dedication was evident when she helped a sailor and his wife who were involved in a severe car accident on their way to a new duty station. Even though they werent attached to NRC Amarillo, I felt it was my duty to help, Laura said. She notarized documents and secured $42,000 to prevent foreclosure, facilitating $65,000 in aid. My goal is to ensure sailors can focus on their deployments without added stress from unresolved issues at home, she explained. Cmdr. Dustin Hoskins, the commanding officer of NRC Amarillo, praised Lauras extraordinary impact, saying, Laura has made a profound and positive impact on the lives of many NRC Amarillo Sailors. Whether it is finding financial assistance for a sailor traversing difficult times or advocating for a sailors education, in my 22 years of service, I have never experienced an ombudsman that has been as willing and engaged as Laura is. She leads with her heart and truly demonstrates that it is better to give than receive. This not only teaches the sailors that they must advocate for themselves, but it also demonstrates the importance of relationships, communication, and why it is necessary for NRCs to have an ombudsman. Ombudsmans are just as critical to reserve as active duty units. Hoskins said ombudsmans provide a safe place and someone to confide in. Lauras expertise has not only helped to address these difficult situations, but she is assisting in the development of Sailors and providing them with relief and an opportunity to refocus, said Hoskins. This directly impacts mobilization readiness, a positive culture, and meeting the needs of the Navy when called upon. The profound impact of Lauras work is evident in the testimonials from those she has helped. One junior Sailor shared, I was struggling with my mothers citizenship issues while in college. When I reached out to my local Ombudsman, Laura immediately assured me and helped me develop a plan. Her support was crucial. Lauras dedication is exemplified by another story from a sailor who needed help at Texas Tech University. Mrs. Schulze was there before we even arrived, guiding us through every step of the process, the sailor recounted. She stayed with us from 10 a.m. until 6 p.m., ensuring we received the best financial aid possible. Her commitment was extraordinary. Without her, we would have struggled to secure the support we needed from the school. Reflecting on her role, Laura said, It means peace. When the world is sideways and nothing is going right for a sailor, I have the honor of helping to give them peace. Military life presents confounding difficulties, and amidst all that, I get to offer help and ultimately a moment of peace. Becoming a safe harbor is the ultimate gift. Lauras dedication and selflessness have made her a cornerstone of the NRC Amarillo community. Her tireless efforts provide immediate relief and lasting support, profoundly impacting many lives. She and her fellow ombudsmen around the fleet are true unsung heroes, deeply valued by the Navy Reserve family. This article originally appeared on Amarillo Globe-News: The unsung hero of NRC Amarillo - Laura Schulze The University of North Texas Police Department is investigating reports that someone took dozens of photos of women without their knowledge working out in the campus gym and posted them on a lewd website. Police at the Denton university said in an online statement Wednesday that they learned about the potentially improper photography Sept. 1 and have taken reports from some of the people identified in the photos. One UNT student, Kate, told Star-Telegram media partner WFAA-TV that she found photos of more than 50 women, including her own, taken at the Pohl Recreation Center. She has since switched gyms but is still concerned someone might be watching her. Kate, who asked to be identified only by her first name to protect her privacy, said the photos were still available Friday on Google Images, even though the poster appears to have deactivated their account, WFAA reported. Its awful because its out there on the internet, and its not going to go away, Kate told WFAA. Claire, another UNT student, told the Dallas Observer that she found more than 20 photos of herself and nearly 60 of her roommate, all taken without their knowledge at the Pohl Recreation Center. Shes taken to wearing sweat pants and other baggy clothes when she works out. I go to the gym to feel comfortable with my body and be confident, Claire said to the Observer. Now I cant do that because theres a creep taking photos of my girlfriends and I. Up until 2015, the Texas Penal Code made it illegal to take photos, video or otherwise record someone without their consent and with the intention of arousing or gratifying the sexual desire of any person in locations that were not bathrooms or private dressing rooms. The law has since been changed to make photography illegal only in bathrooms or changing rooms. UNT police said in the statement that the reports theyve received so far indicate the photos were taken in public spaces. However, we are working to identify the subject(s) taking the photos so we can evaluate the situation further. Kate told WFAA that the state law might need to change to prevent something like this from happening again. Just because somethings legal does not make it OK, she said to WFAA. More top stories from our newsroom: See how your school did in Fort Worth ISD A-F scores Public calls for firing of officer who shot driver after hit-and-run Candidates threaten to sue Tarrant County over voter access [Get our breaking news alerts.] The US Army put on a sudden show of force out on the far edge of Alaska to send the message it can as Russia and China partner in the Pacific The US Army quickly deployed assets to Alaska's Aleutian Islands amid Russia and China's Pacific naval drills. The 11th Airborne Division led the event, which included long-range artillery and counter fire radars. The move sends a clear message to US adversaries, the division's commander said. The US Army rapidly deployed weapons and soldiers out to the far tip of Alaska in a sudden show of force. The force projection event, which began this week in the North Pacific, sends a message to American adversaries at a time when key rivals Russia and China are conducting joint naval drills in the Pacific. In an exclusive interview with Business Insider, the Army's 11th Airborne Division commander Maj. Gen. Joseph Hilbert said that the event demonstrates US Army Pacific's ability to move assets adeptly and with little notice into even the most remote locations. "The Army looks at us to be Arctic experts," Hilbert said, and as a part of US Army Pacific,"we've got to be ready to operate in the region as a part of the land power there." 11th Airborne Division soldiers orient a Q-53 Radar on Shemya Island on September 13, 2024. US Army photo by Spc. Brandon Vasquez) For the event, the 11th Airborne Division pulled together a range of capabilities from across the US Army, including a High Mobility Artillery Rocket System platoon from Joint Base Lewis-McChord in Washington, communications equipment from Hawaii, and a target acquisition radar and an infantry security force from the 11th Airborne Division. The number of soldiers deployed was around 130 for this exercise. The Alaska Air National Guard and the Air Force transported the various weapons and assets. "Bringing these forces together," Hilbert explained, "demonstrates to any adversary that we can deploy anywhere with little notice. We can suddenly create a dilemma," he said, "and we can do that with significant firepower, and we can do it as a joint force." 1st Multi-Domain Task Force soldiers set up communication systems for the M142 High Mobility Artillery Rocket System on Shemya Island on September 12, 2024. U.S. Army photo by Spc. Brandon Vasquez Hilbert said the deployment of the HIMARS speaks to the importance of long-range fires in force projection and deterrence, something that's also been evident in the war in Ukraine. The exercise, which will continue into next week, is also highly visible to US friends and foes alike. There is a lot of discussion around the need to conceal the signatures of forces and weapons and hide them within the electromagnetic spectrum. That wasn't done here, as the event was purposefully overt. The Army wasn't hiding this. The intended aim was to show that these capabilities are readily deployable, even in unexpected places. It's also a signal of readiness to US allies and partners, Hilbert said. 11th Airborne Division soldiers board a Alaska Air National Guard C-17 Globemaster III ahead of the force projection event on Shemya Island on Sept. 11, 2024. U.S. Air Force photo by Airman 1st Class Hunter Hites The Army exercise is being carried out in the Aleutian Islands, an island chain extending from Alaska's southernmost edge out into the Bering Sea and Northern Pacific Ocean. More specifically, the exercise is being held on Shemya Island. Alaska is a large, complex, and challenging environment that requires soldiers to develop various Arctic warfare skills. 11th Airborne Division soldiers previously told BI that the difficulties of fighting in the unforgiving Arctic prompt them to adapt and innovate in real time. Soldiers regularly train and carry out exercises across the state and in the broader region, remaining flexible for different situations. US Army Pacific has made combat in the Arctic a major priority, and 11th Airborne forces work with the larger network both USARPAC and US Pacific allies and partners to maintain readiness. An 11th Airborne Division soldier connects an antenna to a Light Mobile Terrain Vehicle on Shemya Island, Alaska, on Sept. 13, 2024. US Army photo by Spc. Brandon Vasquez At the US Army Pacific's Joint Pacific Multinational Readiness exercise in Alaska in February, USARPAC commander Gen. Charles A. Flynn told BI that amid an increase in "aggressive, insidious" moves from China and other adversaries in the Indo-Pacific region, US allies and partners were working closer with the US Army on strengthening ground forces and their connections. The latest exercise comes amid Russia's largest naval drills since the Cold War, stretching across the Pacific, Mediterranean Sea, and Arctic Ocean to test combat readiness. The large exercise involves over 400 ships, submarines, and support vessels, along with air assets and well over 90,000 troops, the Kremlin said earlier this week. 11th Airborne Division soldiers load a Q-53 radar system onto a C-17 at Joint Base Elmendorf-Richardson, Alaska on September 11, 2024. U.S. Air Force photo by Airman 1st Class Hunter Hites Prior to the launch of the drills, Russian President Vladimir Putin accused the US of provoking "an arms race" and "disregarding the security interests of its European and Asian allies" by engaging in military action in the Indo-Pacific region. "This lays the groundwork for a dangerous crisis in Europe, as well as in the Asia-Pacific Region," he added, painting his exercise as a challenge to the US. China, another US rival, joined these exercises as Beijing and Moscow increasingly partner to confront the US-led world order. The timing of USARPAC's force projection demonstration is "not a coincidence," Hilbert said, pointing to the Army and larger joint force's goal of showing the strength and flexibility of US capabilities in the region. "This is a capability that USARPAC has that we could use anywhere, and this was the right time to use this capability," the 11th Airborne commander said. Read the original article on Business Insider US historian leads charity run in Kyiv to highlight the plight of Ukrainian POWs In this photo provided by the Ukrainian Presidential Press Office, Ukrainian President Volodymyr Zelenskyy and his wife Olena, center, pose for photo with US actor and UN ambassador Michael Douglas, right, and his son Dylan, left, in Kyiv, Ukraine, Friday, Sept. 13, 2024. (Ukrainian Presidential Press Office via AP) KYIV, Ukraine (AP) U.S. historian and author Timothy Snyder on Saturday led a charity run in Kyiv to raise awareness of the conditions under which Ukrainian prisoners of war are held in Russia as the conflict approaches a third winter. The race came following a recent escalation in Russian missile and drone attacks, largely aimed at Ukraine's electricity infrastructure. People clapped and cheered after Snyder, a 55-year-old Yale University professor who has written extensively on eastern Europe and the global resurgence of authoritarian regimes and is much admired in Ukarine, addressed the nearly thousand runners. He then joined a workout and participated in the run. Thousands of Ukrainian civilians and soldiers are illegally held in captivity during an illegal war, Snyder told The Associated Press just ahead of the run. This race is about reminding everyone of that and expressing solidarity with Ukrainians and giving Ukrainians a chance to do something together, he said. The 5 and 10-kilometer runs took place around a sprawling park in the Ukrainian capital created out of a renovated Soviet-era exhibition center. The runners included members of the public, servicepeople and veterans, as well as wives of the POWs. Among them was 27-year-old Anastasia Ofyl, whose husband Oleksandr was captured by the Russians. We have to fight for him, she said. Thats why Im running. Ukrainian soldiers often give harrowing accounts of their conditions in Russian captivity when they return home as part of regular prisoner exchanges. In a report issued in July, a United Nations human rights agency said it continued to document the widespread use of torture and ill-treatment, including sexual violence, against civilians and Ukrainian prisoners of war held by the Russian Federation. Snyder, who has organized fundraisers as part of the countrys war-relief effort, enjoys near-celebrity status in Ukraine. On Tuesday, he visited President Volodymyr Zelenskyy, who thanked him for his charity work. The Ukrainian head of state also received former UK prime minister Boris Johnson, former U.S. Secretary of State Mike Pompeo, and the American actor Michael Douglas this week. After Saturdays race, Snyder was surrounded by admirers, many of whom waited in line for autographs and selfies. Some asked the historian to sign translated copies of his widely-read books on Ukraine, Bloodlands: Europe between Hitler and Stalin and The Road to Unfreedom: Russia, Europe, America. Saturdays race was organized by the Kyiv School of Economics charity foundation which, according to its website, has been raising funds for charitable assistance for Ukrainians since the start of the Russian invasion. ___ Alex Babenko and Dmytro Zhyhinas in Kyiv, Ukraine contributed. ___ Follow APs coverage of the war in Ukraine at https://apnews.com/hub/russia-ukraine The U.S.-Iraq joint military operation in Western Iraq killed four ISIS leaders last month, the U.S. Central Command (CENTCOM) confirmed in a statement on Friday. The partnered raid, which took place on Aug. 29, left 14 ISIS operatives dead, including four ISIS leaders: Ahmad Hamid Husayn Abd-al-Jalil al-Ithawi, Abu Hammam, Abu-Ali al-Tunisi and Shakir Abud Ahmad al-Issawi. The operation left seven U.S. troops hurt. Five troops were injured in the raid while two suffered injuries from falls that happened during the operation. This operation targeted ISIS leaders and served to disrupt and degrade ISIS ability to plan, organize, and conduct attacks against Iraqi civilians, as well as U.S. citizens, allies, and partners throughout the region and beyond, CENTCOM said in a statement. Following the U.S. withdrawal from Afghanistan, threats from terrorist groups, such as the Islamic State, have gone up. ISIS has claimed responsibility for an array of attacks around the world, ranging from Iran, Turkey and Russia. ISIS-affiliated actors took responsibility for astabbing attack in Germany last month and also threatened to kill thousands of people at a Taylor Swift concert. During a raid in 2022, the U.S. military killed Abu Ibrahim al-Hashimi al-Qurayshi, then-leader of ISIS. The terrorist group was previously led by Abu Bakr al-Baghdadi who was killed in 2019. CENTCOM remains committed to the enduring defeat of ISIS, who continues to threaten the United States, our allies and partners, and regional stability, Gen. Michael Erik Kurilla, Commander, U.S. Central Command, said in a statement. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. People visit a mural ahead of the one year anniversary of Elijah McClain's death By Brad Brooks LONGMONT, Colorado (Reuters) -A Colorado judge on Friday reduced to probation the prison sentence of a paramedic convicted in the 2019 death of Elijah McClain, a young Black man who died after police put him in a chokehold, a court official said. Judge Mark Warner, who oversaw three trials concerning the death of McClain, who died after paramedics injected him with a powerful sedative, reduced the sentence of emergency medical worker Peter Cichuniec during a hearing to four years probation, said Suzanne Karrer, a spokesperson for the Colorado judicial branch. Warner in March had sentenced the paramedic to five years in prison, the longest sentence of any of the police and paramedics put on trial for McClain's death. It was not immediately clear when Cichuniec would be released. "The court finds, really, there are unusual and extenuating circumstances and they are truly exceptional in this particular case," Warner said during a brief hearing, according to the Denver Post. After hours requests for comment were not returned from the Colorado attorney general's office, which prosecuted the McClain cases, or lawyers for Cichuniec. Jurors in December found Cichuniec, 51, guilty of criminally negligent homicide and also of assault in the second degree in a rare trial of paramedics in such a case. Cichuniec's partner, Jeremy Cooper, 49, was also found guilty of criminally negligent homicide, and was sentenced to 14 months of work release. Their joint trial was the last of three stemming from the death of McClain, 23, who was not alleged to have committed any crime when officers stopped him. One police officer was found guilty of criminally negligent homicide and sentenced to 14 months in jail. Two other police officers were acquitted. Local prosecutors initially declined to file charges in the McClain case. That changed following the May 2020 killing of George Floyd, a Black man who died at the hands of Minneapolis police. (Reporting by Brad Brooks in Longmont, Colorado; editing by Miral Fahmy) Jake Sullivan, the US National Security Advisor, does not think peace in Ukraine can be achieved if the White House starts implementing the plan proposed by Republican vice presidential candidate JD Vance after the election. Source: Jake Sullivan at a panel discussion during the annual meeting of the Yalta European Strategy (YES) on 14 September in Kyiv, reports European Pravda Details: Sullivan stressed during the discussion that he was commenting on US-Ukrainian policy on a non-partisan basis, while considering the specifics of his position. This is why he only provided an unclear answer about Trump's position on Ukraine and avoided criticising it. However, Sullivan did comment directly on JD Vance's plan to end the war in Ukraine, which was unveiled this week. He criticised it and expressed his belief that such an approach would not lead to the fulfilment of the agreements. Quote from Jake Sullivan: "Any plan that basically aims to dictate peace conditions on Ukraine . is not sustainable." Sullivan emphasised the importance of the principle of "nothing [will be decided] about Ukraine without Ukraine". He also expressed a principled position on easing the sanctions pressure on Russia; in his opinion, this decision can only be made in consultations with Ukraine. Quote from Jake Sullivan: "We will not discuss the easing of sanctions without agreeing that this coincides with Ukraine's vision." Background: JD Vance proposed a plan that included a ceasefire along the current line of contact, the creation of a "demilitarised zone" on Ukrainian territory, and Ukraine's rejection of NATO membership. Donald Trump has repeatedly stated that, if elected, he will end the war immediately after winning the election even before his term officially begins. President Volodymyr Zelenskyy has expressed a desire to present his plan for Ukraine's victory to his American counterpart Joe Biden, as well as to US presidential candidates Kamala Harris and Donald Trump. Support UP or become our patron! FILE PHOTO: Ukraine's President Zelenskiy speaks to the media in a front of an F-16 fighter jet on the Day of the Ukrainian Air Forces at an undisclosed location in Ukraine By Phil Stewart, Jonathan Landay and Matt Spetalnick WASHINGTON (Reuters) - As the U.S. and Britain discuss allowing Ukraine to use Western weapons to strike deep within Russia, some U.S. officials are deeply skeptical that doing so would make a significant difference in Kyiv's battle against Russian invaders. British Prime Minister Keir Starmer arrived in Washington on Friday to discuss with U.S. President Joe Biden whether to give Ukraine approval to use NATO-supplied weapons to hit targets far beyond Ukraine's borders, something the U.S. administration has so far not been willing to allow in the 2-1/2-year-old conflict. U.S. officials noted that Ukraine already has the capability to hit targets in Russia using drones. U.S.-supplied long-range ATACMS missiles would enhance that capability, but they are too costly and limited in number to reshape the dynamic, they said. Moreover, the Kremlin has largely moved perhaps the most immediate threat -- Russian warplanes launching glide bombs -- beyond the range of the U.S. missiles, the U.S. officials said. They also believe Kyiv should focus on halting a Russian advance in Ukraine's east. For Biden, the decision is not just tactical. It raises questions about whether authorizing such strikes might risk a direct war between NATO and Russia. Russia warned at the United Nations on Friday that authorizing Ukraine to fire missiles far into Russia would turn NATO into "a direct party to hostilities against a nuclear power," and Russian President Vladimir Putin has said that such a move would alter the scope of the conflict. White House spokesman John Kirby said on Friday the United States took such threats seriously, even as he acknowledged there was nothing new in Putin's rhetoric. Democratic U.S. Representative Jason Crow, a member of the House intelligence and foreign affairs committees, downplayed the threat of Putin attacking Poland or other NATO members in retaliation. "I don't believe there's any indication that Vladimir Putin has an interest in picking a fight with NATO," he told Reuters, pointing to Russia's massive losses of men and armor in Ukraine. "To the contrary, I think he is avoiding a NATO confrontation." WHAT WOULD UKRAINE STRIKE IN RUSSIA? Speaking at Ramstein Air Base in Germany a week ago, Ukrainian President Volodymyr Zelenskiy urged the United States and its allies to ignore Russia's red lines and give permission for long-range strikes into Russia as a way to pressure Moscow. "We need to have this long-range capability not only on the occupied territory of Ukraine, but also on Russian territory ... so that Russia is motivated to seek peace," Zelenskiy said. Two European diplomats, speaking on condition of anonymity, said Ukraine has submitted to the U.S. and Britain a list of potential targets inside Russia that could be hit if allowed. The discussion about whether to ease restrictions on Ukraine echoes prior debates about Kyiv's pleas for other capabilities it hoped would give it an edge against Russian forces, from F-16 fighter jets to Abrams tanks. "You've heard this (debate) before," said one U.S. official, speaking to Reuters on condition of anonymity. Ukrainians initially wanted to use U.S.-supplied ATACMS long-range missiles to hit Russian airbases, officials said. But the Pentagon has said 90% of Russian aircraft launching glide bombs, one of the top threats for Ukraine, are at airfields at least 300 kilometers (186 miles) away from Ukrainian-controlled territory. That puts them out of range of the ATACMS. Ukraine now wants to use the missiles to strike Russian military command and control hubs, fuel and weapons depots and troop concentrations, officials said. In addition to U.S. ATACMS, Kyiv wants to carry out long-range strikes into Russia using Storm Shadow missiles provided by Britain and the nearly identical French SCALP missiles. Although Britain is expected to seek U.S. approval before lifting its restrictions on Storm Shadow missiles, a French diplomatic source said Paris did not need authorization from Washington for Ukraine to use French missiles. FOCUS ON GROUND WAR Another U.S. concern is that focusing on long-range strikes downplays what could be a bigger immediate threat: Russia's advances on the ground inside Ukrainian territory toward the strategic city of Pokrovsk. U.S. officials believe the loss of the city would deal a significant blow. Analysts said Russia has many response options, short of direct war with NATO, if the United States or its allies allow Ukraine to unleash strikes deep into Russia. In June, Putin spoke of possibly arming the West's enemies with Russian weapons to strike Western targets abroad, and of deploying conventional missiles within striking distance of the United States and its European allies. Ulrich Kuehn, an arms expert at the Institute for Peace Research and Security Policy in Hamburg, said he did not rule out Putin choosing to send some kind of nuclear message - for example testing a nuclear weapon in an effort to cow the West. Still, Crow, the U.S. lawmaker, argued that Putin was in a weaker position after the Ukraine invasion, and any major response from Moscow created risks for him. "Vladimir Putin is not 10-feet tall. We have seen that repeatedly over the last couple of years. He's very vulnerable, and frankly, he's in a position of significant weakness, said Crow. (Additional reporting by John Irish in Paris, Elizabeth Piper, Mark Trevelyan and Andrew Osborn in London; Editing by Don Durfee and Cynthia Osterman) (The Hill) The American-made M1 Abrams is considered one of the worlds premier tanks, yet has still proven vulnerable to Russian drones and explosives on the battlefield in Ukraine, which had sidelined the armored behemoth. But Ukraine has now improved the Abrams, better protecting it through a relatively simple method: steel cages wrapped around the frame. Ukrainian officials behind the effort tell The Hill that the retrofit has worked extraordinarily well at protecting not just the Abrams but also other U.S. armored fighting vehicles like Bradleys. For the $10 million apiece Abrams, the relatively crude fix has helped keep the tanks on the battlefield. Ukraines 47th Separate Mechanized Brigade, an elite unit that has been fighting on the frontlines, said in a statement to The Hill that protective screens for combat vehicles such as Abrams and Bradleys are essential to preserve not only expensive equipment, but also the lives of soldiers on the battlefield. Although the Abrams is considered one of the best tanks, it is not invulnerable to threats such as anti-tank missiles and drones, they said. The development of additional protective structures is an important step to reduce the risk of damage from modern threats, including drones and explosives. MOSCOW, RUSSIA 2024/05/01: A destroyed US-made M1A1 Abrams tank is seen at a newly opened exhibition of trophy military equipment in Moscow. The posters read Victory. An exhibition of trophy military equipment captured by Russian servicemen during the Russian-Ukraine war, known as the special military operation in Russia, opened in the Victory Park in Moscow on May 1, 2024. Damaged, destroyed, and captured military equipment of NATO countries and Ukraine are exhibited to the Russian public. The Russian-Ukraine war began on February 24, 2024. Since then the NATO countries have been supplying the Ukraine army with weapons. (Photo by Vlad Karkov/SOPA Images/LightRocket via Getty Images) Olexander Myronenko is the chief operating officer of Metinvest, one of the businesses supporting the Steel Front initiative, the project behind the steel cages that was started by the Ukrainian billionaire Rinat Akhmetov to provide equipment for the military. Myronenko told The Hill that the screens can take one strike before they need to be replaced, but they are very effective at protecting the tanks by absorbing the hit and increase survivability by around 35 percent. The drones usually [try to] stop the machine, to stop the armored vehicle, so they try to hit the engine [or] the turret of the tank to block it, he said. And when the tank is blocked or stopped on the battlefield its just like a sitting duck for the artillery or another type of drone. Thats why this protection is necessary, to not allow the drone to do such damage, Myrononeko added. Ukraine began pushing for the Abrams tanks not long after Russia invaded Ukraine in February 2022, but the plea became more urgent at the beginning of 2023. The U.S. faced pressure from Ukrainian President Volodymyr Zelensky and other officials in Kyiv to give them the tanks, and soon top lawmakers in Congress were joining the calls. The Biden administration agreed to send 31 Abrams tanks in January 2023, and the decision also unlocked the ability for Germany to send its prized Leopard tanks. The first Abrams arrived in September 2023, and by October, all 31 of the tanks were in place. But just a few months later, reports began to circulate that the Abrams were being taken off the battlefield under assault from Russian drones. The Associated Press reported in April that five of the tanks had been lost to Russian drones and were taken off the frontlines. Because it received just a limited number of tanks, Ukraine is likely to have already been predisposed to closely guard its Abrams. But the Russian drones proved there was a serious weakness in the prized tanks. When you think about the way the fight has evolved, massed armor in an environment where unmanned aerial systems are ubiquitous can be at risk, Joint Chiefs of Staff Vice Chairman Adm. Christopher Grady told the AP in April. Grady said the U.S. would be working with Ukraine on the issue. The Pentagon and the manufacturer behind the Abrams, General Dynamics, did not respond to requests for comment on this story. Creating steel cages, or slat armor, is not a new idea, with German and Soviet forces similarly armoring their vehicles in World War II. And U.S. servicemembers did something similar during the Iraq war for armored Strykers to protect against rocket-propelled grenade (RPG) threats. Still, Dan Grazier, senior fellow for the National Security Reform Program at the Stimson Center, who served in an Abrams tank unit in Iraq, said the Ukrainian steel cage design was ingenious. The idea of using a cage like that is to create some space so a round fired at it will hit that cage that will trigger the fuse below the main charge, he said. And instead of that main charge going directly into the armor, theres that little bit of space that will kind of blunt some of the energy. On both sides of the war in Ukraine, drones have been crucial, showing for the first time how these unmanned systems are changing warfare. Russias drones have menaced Ukraine, particularly in the past year-and-a-half after the fighting shifted to the 600-mile frontline across eastern Ukraine. Russian forces are tracking armor with surveillance and bombarding them with cheap, small, kamikaze-style, explosive drones called first-person view (FPV) drones that can even fly into a tank hatch before going off. The Ukrainian military approached Steel Front for a solution as they sought to get their sidelined armor back onto the frontlines, knowing the initiative had worked on similar efforts before. Steel Front, which has also made cages for Soviet T-72 and Ukrainian T-64 tanks, said one major shortcoming of the Abrams was that it had no fortification against Russias FPV drones. That made the Abrams unideal for use during the wars current state, Steel Front said in a press release provided to The Hill. So Steel Front, along with military engineers, analyzed the vulnerabilities on the tank that were typically damaged by FPV strikes to come up with the steel cages. Myronenko said an initial solution was first tested on Soviet tanks. The main thing is, there is a space between a protective screen and the main armor of the machine. And when the drone hits, it hits the protective screen [and] this explosion is not damaging the main armor, he said. The steel structures are wrapped around the hatch, turret and center-top of the tank, though crews can still easily exit it. There is also additional armor covering the tracks, which if hit can completely halt the tank. The primary armament, a 120mm Smooth Bore gun, is still freed up in the modification. The steel screens cost some $20,000 to make for an Abrams tank, according to Myronenko, but they are provided for free to the Ukrainian military. Each screen is made specifically for each type of tank. They cost a bit less for Bradleys. With this new technology, the Abrams tanks are nearly invulnerable to attacks from Russian drones, Steel Front said in the press release. But the steel screens are heavy: some 900 pounds. Steel Front says they are designed to not limit the operability of the tanks. Grazier said one drawback to the steel cages is that they increase the load of the Abrams, which is designed to carry the weight of the tank, around 70 tons. Its a very robust suspension system, but if you do start adding a bunch of weight to it beyond its design, then you could potentially have some mobility issues, he said. The mobility problem that you have when you start adding weight [will] increase the wear and tear on the components of the suspension. So far, 25 Abrams have steel cages, while 100 Soviet tanks and 75 Bradleys have received the upgrade, Myronenko said. The first orders of steel cages were completed in May and rolled out around June, according to Myronenko. They were built in a factory in Ukraine (sources did not disclose its location for security reasons). Steel Front said it has 10 to 12 specialists working on the tanks, which can take up to 12 hours to be equipped with a steel screen. The first modified tanks came just weeks before Ukraines August incursion into Russia, which used combined arms warfare to make a surprise blitz into the Kursk region. Ukraine has now taken in Kursk some 500 square miles of territory, captured around 100 settlements and imprisoned hundreds of Russian troops. The outfitted vehicles have made a difference on the battlefield, Myronenko said. When the tanks get hit by the shell or RPG or drone, they have more chance to stay alive and to save the machine, he said. Most of the feedback are requests to continue this production. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Queen City News. MIDLAND, Texas (KMID/KPEJ) United Way of Midland is partnering with several local businesses to host its Week of Caring Food Drive from Monday, September 16 to Friday, September 20. United Way said the project aims to support small local food pantries in need by collecting non-perishable food items for distribution throughout the community. During the food drive, members of the community will be able to drop off food at designated collection points throughout Midland. These locations include: United Way of Midland 1209 West Wall Street Blue Sky 3001 Loop 250 Frontage Road City of Midland 300 North Loraine (Lobby of City Hall) Kendra Scott 2900 West Loop 250 North APT 135 (Receive 20% off your KS purchase when dropping off donated items) Market Street 4706 North Midkiff Road Midland College 3600 North Garfield St. (HR Office, Student Services, Cowden Dining Hall) United Supermarkets 1002 Andrews Highway United Supermarkets 3317 Midland Drive New study calculates the potential price tag of living in Midland, Odessa Requested items include peanut butter, canned vegetables, canned soup, canned fruit, canned meat, cooking oils, beans, pasta, and juice. You can learn more about United Way of Midland and the Week of Caring Food Drive on the United Way of Midland website. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Yourbasin. VERMILLION COUNTY, Ind. (WTWO/WAWV) The Vermillion County Fairgrounds was filled with 5th and 6th grade students as it was the countys annual Conservation Day. Our 5th and 6th graders are our future leaders, said Vermillion County Purdue Extension Educator, Phil Cox. We need to teach them about our natural resources that need to be conserved. On Friday, the fairgrounds was jammed packed with different topics and activities for the students to do. State agencies such as Duke Energy, and Indiana Department of Natural Resources were in attendance to help educate students on the important of resource and energy conservation. We have 14 different topics we are presenting on about conservation, said Cox. Just to kind of get the youth of the county interested in all the different types of conservation. WTWOs very own Jesse Walker was in attendance, teaching 5th and 6th graders about weather and how it impacts us everyday. Students also had the opportunity to have hands on-experience with animals and learned the different types of resources they provide to us. You can only learn so much on the internet, off the screen in front of you, or even books but to go outside and actually get hands on experience and see things up close and personal is the best way to learn, said Purdue Extension Educator Phil Cox. This past week, both Vigo and Vermillion counties has put on conservation days, and taught over a thousand students across both counties and school corporations. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to MyWabashValley.com. VERNON (KFDX/KJTL) After losing his life in a fatal accident on Thursday, Sept. 12, community officials are mourning the loss of an important figure in the Vernon community. Several people have posted their fond memories and goodbyes for Irl on Facebook on Thursday and Friday, expressing condolences for the loss of such a beloved figure. Among the tributes to Irl, Vernon ISD spokeswoman Kathy McClellan said, Irl loved children and he loved watching them grow and learn. His entire career was spent helping our students and working to give them the best education possible. Vernon College President Dusty Johnson expressed his support, saying, Irl Holt was an incredible gentleman with a great history of commitment to family, friends, community, and God. He was an inspiration to me personally and one of my heroes for how he lived his life. PREVIOUS STORY: Elderly man dead following two-car crash in Vernon Holt served 34 years at Vernon ISD as a counselor and principal at three different schools: McCord Elementary, Hawkins Elementary and Intermediate, which is now the Vernon Middle School. He spent 50 years serving his community as a reverend at Vernons Fargo United Methodist Church. When speaking on his retirement back in 2021, he said his favorite part of serving in the church was seeing people come from all over to worship. Weve had people from Altus, Oklahoma, coming over and joining us, Holt said. One lady whose husband was in the Air Force came several times. So, we just enjoy having people come out. Holts footprint extended beyond the church and the school district. He was also a longtime member of the Lions Club. Kenneth Baxter, a Lions Club member and a friend of Holt, spoke highly of his character and infectious enthusiasm for the clubs cause. Hes a great friend I guarantee you, Baxter said. I got him in Lions Club, and he has me putting the flag out, and he bounces off the tailgate faster than I do. But I mean, were just Hes kind of like an adopted dad or parent. One of Holts more recent efforts with the community came through the Lions Club Spot Vision Program. They were trying to acquire a $10,000 camera, and Holt footed over half the camera bill before anyone asked for help. His presence in the community solidifies Holts status as a man with a heart of gold now and forever. Close Thanks for signing up! Watch for us in your inbox. Subscribe Now Daily News Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to Texomashomepage.com. VETERAN, N.Y. (WETM) A man from the town of Veteran has been sentenced to serve time in state prison after pleading guilty for his role in an incident of child sex abuse in 2022. According to the Chemung County District Attorneys Office, Joseph D. Storch, 44, was sentenced on Thursday, Sept. 12, to a minimum of three and a half years and a maximum of 10 years for the crime of use of a child in a sexual performance, a class C felony. Storch pleaded guilty to the crime on June 3, 2024. Two men arrested following sex trafficking charges in Chemung County Storch was charged for his role in the series of incidents that occurred in 2022 where he authorized a 14-year-old girl to participate in oral sex with 68-year-old Edward Wheaton. Wheaton was sentenced to 15 years to life in prison on July 31 for the crime of predatory sexual assault against a child, a class A-II felony. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WETM - MyTwinTiers.com. ROCHESTER, N.Y. (WROC) Stand Down 2024 at the Rochester Public Market is where veterans can connect with health care providers, employment opportunities, benefits, and more. The name of the fair Stand Down comes from the military term describing soldiers who are no longer in combat. Every year the Veterans Outreach Center, in collaboration with community partners like the VA, set-up this fair with the hope that no veteran is left without the services and resources they need to live full and healthy lives. Hundreds of veterans attend annually, taking advantage of what the fair has to offer. Its a great event we provide lunch and the va is here with a tremendous stock of clothing and supplies that are designed to get veterans through the cold weather thats coming down the pipe and provide just an astonishingly apropo amount of service to them in that process. Veterans are encouraged to reach out at any time to the V-O-C for information and assistance if they couldnt attend the fair today. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to RochesterFirst. Rhonda Woods is a 71-year-old retired nurse who has voted across party lines her entire life. She cast ballots for Ronald Reagan and George W. Bush twice, Barack Obama, Donald Trump and Joe Biden. This year, she said, voting for Trump is not an option something she felt even more sure about when the Republican presidential nominee tapped Ohio Sen. JD Vance as his running mate. "I think it is important that we get somebody in office that can take over," said Woods, who lives in the battleground state of Michigan. "It's kind of stupid to think that a vice president isnt important, right?" More: Who won the debate? Harris' forceful performance rattles a defensive Trump. Vice presidential candidates have often been seen as understudies, silver medalists or the forgotten middle child. This year could be different. Voters like Woods say they are indeed paying close attention to Vance and his Democratic rival, Minnesota Gov. Tim Walz, during this truncated general election cycle as the candidates barnstorm the swing states. It's a spotlight that is likely to intensify as their Oct. 1 debate approaches in New York City. Recent polling from USA TODAY and Suffolk University found that when pitted against one another, Walz is more favored than Vance. And while Trump and Vice President Kamala Harris will be atop the nation's presidential ballots and therefore top of mind for voters, observers say their running mates could help tip the scales in an election that may come down to the narrowest of margins in any one critical battleground. Interest in this year's vice presidential candidates has been top of mind for much of the campaign cycle. The COVID pandemic, the ages of both Biden (81) and Trump (78) and the assassination attempt against the former Republican president serve as stark reminders that every chief executive needs a capable No. 2, just in case. "I think they matter, but on the margins," said University of Dayton professor Christopher Devine, who's studied the impact of vice presidential candidates. "If this is an election decided on the margins, we could look back and say that was consequential." Republican vice presidential nominee JD Vance (R-Ohio) walks off the stage on Sept. 5, 2024, at Arizona Biltmore in Phoenix. VP resumes, gaffes dominate headlines For both VP candidates, the attention hasn't slowed down since they each secured their party's nomination this summer. Reporting by the Associated Press and New York Times highlighted Vance's connections to the people behind the Heritage Foundation's Project 2025, a policy blueprint for a future Republican administration which both the Ohio senator and Trump have sought to distance themselves from. Harris' campaign resurfaced Vance's past remarks about women and families, including his criticism of "childless cat ladies" and suggestion that women who focus on their careers are on a "path to misery." Democrats have accused Vance of fixating on childlessness. It's literally part of what first inspired Walz to brand Vance as "weird" before Harris had even picked the governor as her running mate. Vance's debut also invoked comparisons to Sarah Palin, the little-known former Alaska GOP governor who some believe cost John McCain the presidency in 2008 for her tendency to invite scandal and go rogue once she'd made the national spotlight as his running mate. "That kind of s---'s just bizarre, and that could peel away some Republicans," said Chris Redfern, former chairman of the Ohio Democratic Party. "You're not going to gain Republican support from JD Vance, but you could certainly lose thousands of votes in a state like Michigan." More: 'He seems real to me': Tim Walz leads JD Vance on favorability in exclusive poll Criticism of Vance isn't limited to his policy ideas. Social media users have been quick to mock his interactions on the campaign trail, including an awkward stop at a Georgia donut shop that went viral. But allies of Vance and Trump say they've been impressed with the Ohio senator's media savvy and willingness to face his detractors head on. Walz, meanwhile, has received renewed scrutiny for his resume as governor and congressman. GOP leaders have attacked Walz's progressive policy actions as governor, such as making menstrual products available in boys' and girls' school bathrooms and protecting reproductive rights. Vance went after Walz's military record and accused the governor of stolen valor, which angered some veterans and Republicans alike. Democratic vice presidential nominee and Minnesota Gov. Tim Walz makes a campaign stop at the Mesa Convention Center on Sept. 10, 2024. Walz's response to the violence following the murder of George Floyd in Minneapolis, as well as his administrations oversight of the nation's largest pandemic fraud scheme, has painted a complicated picture of his actions during his tenure as governor and whether hes fit to serve as No. 2. Walz and his administration's responses to his actions during the civil unrest in 2020 have remained vague. A public records non-profit recently sued the Minnesota Department of Public Safety (DPS) for information and transcripts of interviews done with Walz's staff and possibly himself to learn more about how the administration handled the violence that resulted in an estimated $2 billion in damages. The non-profit requested a jury trial and is waiting for an answer from the state. What do voters think of Vance, Walz? Will the good, bad and ugly publicity be enough to sway voters? Trump in one recent interview said he doesn't seem to think so. "Historically, the choice of a vice president makes no difference," Trump said during the National Association of Black Journalists Conference in July. "You're voting for the president. You can have a vice president who's outstanding in every way, and I think JD is. I think that all of them would have been. But you're not voting that way. You're voting for the president, you're voting for me." Second gentleman Doug Emhoff, Democratic presidential candidate, U.S. Vice President Kamala Harris, Democratic vice presidential candidate Minnesota Gov. Tim Walz and his wife Gwen Walz greet supporters during a campaign rally at Temple University on August 6, 2024 in Philadelphia, Pennsylvania. Harris ended weeks of speculation about who her running mate would be, selecting the 60-year-old midwestern governor over other candidates. Washington state resident and lawyer Erik Thacker, 35, disagrees. He believes vice presidential picks matter, and they were a part of why he voted for Biden in 2020. Thacker saw Harris as a more progressive version of Biden, who he described as an "establishment Democrat" to USA TODAY. "I was like, 'I'm on board with this,' (picking her as running mate) made me more likely to vote for him," he said. Thacker, a self-described independent, voted for Obama, then Mitt Romney, in 2016 he wrote in a third-party candidate, and in 2020 he voted for Biden. "When I was younger, I sort of thought VPs didnt really do anything at all," Thacker said. "I do feel like they've taken on more responsibility, we've at least sort of increased scrutiny of them in the public eye. I think were talking about them more." Thacker said he'll vote for Harris this year. Choosing Walz as her running mate wasn't necessarily the deciding factor, but a solidification that Thacker is making the right choice for himself. "I like a fair amount of Walzs policies from Minnesota," he said. I thought (his picking) was a little bit of signal that (Harris) might be breaking from the more centrist administration of Joe Biden." Republican presidential nominee Donald Trump campaigns for the first time with his chosen running mate, Sen. JD Vance of Ohio, in Grand Rapids, Mich., on July 20, 2024. Republican Roxanne Hoben is also heartened by her preferred candidate's running mate. The 55-year-old Pennsylvania resident was all in on Trump from the beginning and she initially hoped the former president would choose South Carolina Sen. Tim Scott as his No. 2. But the more she learns about Vance, the more she likes. "I like that he was in the Marines," Hoben said. "He has that disciplined mindset about him. I think he has family, God and country foremost in his mind, so that's a big plus. Hes very personable. I could listen to him for hours. He doesn't get on my nerves, if you know what I mean." More: Donald Trump missteps stir growing Republican worry, campaign seeks Vance reset While Trump's choice ultimately didn't affect her decision for November, Hoben said the assassination attempt against him in July underscored the need for him to choose the right running mate. "If he would've had his head turned slightly more, he would've been gone," she said. "Youre going to need somebody who's going to step right into his shoes." Woods, the retired nurse, isn't sold on either vice presidential pick yet. Vance is just a younger Trump, she told USA TODAY, "worse in a way." On the flip side, Walz being "one heartbeat away from being president of the United States" isn't reassuring to her either. "Walz is a nice guy," Woods said. "Can I see him being president? I dont know." Sam Woodward is the Minnesota elections reporting fellow for USA TODAY focusing on Minnesota Gov. Tim Walz's candidacy. You can reach her at swoodward@gannett.com, on X @woodyreports, and on Threads @samjowoody. She reported from Minneapolis. Haley BeMiller is a reporter for the USA TODAY Network Ohio Bureau, which serves the Columbus Dispatch, Cincinnati Enquirer, Akron Beacon Journal and 18 other affiliated news organizations across Ohio. She reported from Cincinnati. This article originally appeared on USA TODAY: How JD Vance, Tim Walz could shape 2024 presidential election Both vice presidential candidates, Republican Senator J.D. Vance and Democratic Minnesota Governor Tim Walz, will pay the Peach State a visit next week. Vance, who is running alongside former President Donald Trump, will headline the Georgia Faith & Freedom Coalitions annual dinner in Cobb County. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks] The Monday night gala will also feature Governor and first lady Brian and Marty Kemp, Alabama Senator Katie Britt and former Hawaii Rep. Tulsi Gabbard. This will mark Vances third stop in Georgia after being named Trumps running mate. He spoke at an Atlanta Trump rally last month and traveled to Valdosta a few weeks later. TRENDING STORIES: On Monday night, Walz, current Vice President Kamala Harris running mate, is expected to fly into Macon. The governor will speak at a campaign event the next morning in Macon before flying up to Atlanta, the Harris campaign announced on Saturday. Hell spend the afternoon at political events in Atlanta before heading to Asheville, North Carolina. There is no word yet on what events Walz will be participating in. He and Harris visited the Savannah area late last month as part of their bus tour, even dropping in on a high school band practice. [SIGN UP: WSB-TV Daily Headlines Newsletter] IN OTHER NEWS: VINCENNES, Ind. (WTWO/WAWV) The Vincennes Police Department put out a statement Friday evening in reference to the school violence threats. The following is the statement in its entirety. The Vincennes Police Department, along with all Vincennes schools, is aware of the recent threats of school violence that have been circulating nationally and locally on social media. As screenshots and snapchats get forwarded it becomes difficult to locate the origin of the post or statement. The Vincennes Police Department understands the seriousness of any type of threat to our schools, even though it may be vague and trending across the country on social media, we want the community to know that we are aware and taking necessary precautions. The Vincennes Police Department has increased our police presence in and around our schools and are vigilant in protecting our community and children. To help ensure safety in our schools we are asking for parents to talk to their children about the importance of social media responsibility and accountability. Please monitor your childs social media and discuss the dangers and potential consequences of posting, and spreading, serious statements such as threatening gun violence in our schools. Thank you for partnering with us to help keep our community safe. As of this press release, there is no current threat involving any Vincennes schools. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to MyWabashValley.com. I visited airport lounges by AmEx and Chase. Both are great, but Centurion is better for 2 key reasons. Chase Sapphire Reserve credit cardholders can use special Chase-branded airport lounges. The six lounges compete with American Express' well-established Centurion Lounge network. Both are great lounges, and Chase is slowly catching up to the superior Centurion network. I've been a Chase Sapphire Reserve credit card loyalist for years. I fly frequently for my job and invest the $550 annual fee for its comforts, like trip protection, free Global Entry, and airport lounge access. The latter includes Priority Pass and the Chase-owned airport lounges that have been popping up since 2023. However, I recently toured an American Express Centurion Lounge for the first time and realized what I've been missing out on. AmEx was the first credit card company to open a branded lounge at an airport when it launched Centurion in Las Vegas in 2013. Since pioneering the trend, AmEx has expanded its Centurion collection to about 30 locations worldwide, far exceeding Chase's six. The Centurion concept changed how people experience travel by making lounge access dependent on owning a specific credit card rather than on airline loyalty. Only those with an AmEx Platinum, AmEx Business Platinum, AmEx Centurion, Delta SkyMiles Reserve, or Delta SkyMiles Reserve Business credit card can enter Centurion. Centurion and Chase only compete domestically in New York City, and I've now experienced both locations at LaGuardia Airport. I liked Chase's unique amenities, but AmEx has an overall better airport lounge network. Given my travel habits, Centurion access alone would be worth having both Chase and AmEx in my wallet. Centurion and Chase are neighbors at LaGuardia. The entrance to the Chase lounge at LaGuardia is to the left of Centurion. Taylor Rains/Business Insider Chase's "Sapphire Lounge by The Club" is next door to AmEx's Centurion Lounge in LaGuardia's Terminal B. Chase also has lounges in Austin, Boston, Hong Kong, and Washington Dulles and plans to open new ones in Los Angeles, Las Vegas, Philadelphia, Phoenix, and San Diego. AmEx, by comparison, has 15 lounges in the US and 11 abroad, with two more planned in Salt Lake City and New Jersey's Newark Liberty International Airport. Chase's lounge is twice the size of Centurion. The first (top) and second (bottom) floors in the Chase Sapphire Lounge at LaGuardia. Taylor Rains/Business Insider The 21,850-square-foot Chase lounge has two levels connected by a central staircase. The giant space has a dining room, a circular bar, an arcade, a playroom, a fireplace, and several separate sitting rooms, both upstairs and downstairs. I didn't mind the smaller Centurion. Inside the LaGuardia Centurion Lounge. Taylor Rains/Business Insider By comparison, AmEx's 10,000-square-foot lounge takes up one floor and has two main living rooms, a business center, a playroom, a dining room, and a bar area. I accessed the Centurion lounge thanks to an invite from AmEx since I don't have an AmEx Platinum credit card. Both had ample seating across several rooms. LaGuardia's Chase Sapphire Lounge (pictured) was spacious with more sitting room than Centurion. Taylor Rains/Business Insider The lounges felt spacious, with high ceilings and plenty of seats, loungers, and couches, though Chase's obviously had more sitting rooms. Although the smaller Centurion Lounge was busy during my early afternoon visit, I didn't feel cramped or crowded. During my visit to Chase, I found the upstairs area a good place to escape the crowds, as the downstairs was filling up by late morning. Chase has an arcade, a playroom, and a spa. AmEx's playroom is the bottom right photo, the rest are Chase. Joey Hadden, Taylor Rains/Business Insider Chase's lounge arcade, complete with a pinball machine and a shuffleboard game, was a surprise. I loved the retro theming, and I imagine it'd be an easy way to pass the time before a flight. Chase lounge-goers can also take advantage of free spa treatments from an on-site aesthetician before their flight. These include free 30-minute facials, which are largely aimed at relieving travel-related stress. AmEx had a designated playroom like Chase but no spa at its LaGuardia location. However, travelers can find the beauty service at the Centurion Lounge at Los Angeles International Airport. You can reserve a wellness room at the Sapphire Lounge. The rest pods at Chase LaGuardia. Joey Hadden/Business Insider Across from the Chase spa is a wellness room with large loungers for meditation, rest, or sleep. Guests can book one of the two pods for free. AmEx's LaGuardia location doesn't have a wellness center, but guests can find wellness-inspired offerings in many of its other global locations, such as Seattle, Houston, and London Heathrow. I personally wouldn't use a spa or other beauty service, as it's not my thing, so this practice at lounges goes largely unnoticed by me. However, BI's Joey Hadden enjoyed her pampering at Chase's LaGuardia lounge in February. Guests can pay extra for Chase's 'Retreat Suite.' BI's Joey Hadden toured the suites during an exclusive visit in February. Joey Hadden/Business Insider Chase's LaGuardia location has a special offering called the "Retreat Suite," which can be pre-booked for up to eight people for a fee starting at a steep $2,200. It is a private space away from the main lounge to work or relax, and its the lounge's only available shower. LaGuardia's Centurion Lounge doesn't offer the same luxury (though it has one bookable shower suite), but high-paying Centurion "Black Card" members can reserve a private room tucked away in the back of the business center. The LaGuardia version was small, roped off, and without a door. It was nothing particularly special except for the better alcohol offerings, though other lounges have larger and better Black Card-only spaces. The food at Centurion was better. Examples of the food and buffet at Chase (top) and Centurion (bottom). Taylor Rains/Business Insider The buffet food at both locations was good, but the Centurion food lived up to its reputation as being among the best lounge culinary experiences in the US. I liked the selection of lunch options available during my visit, like chicken, pasta, and mashed potatoes. I was also offered a few delicious experimental dishes the chef is crafting. Chase's buffet had simpler meals by comparison, but likely because it was serving breakfast at the time of my visit. Still, I can see room for heartier options in a true buffet style rather than small portions served in a little bowl. Chase had an a-la-carte menu. The a-la-carte options at both the New York-JFK and LaGuardia locations, including JFK's salmon (top left) and bao (bottom) and LaGuardia's omelet (top right). Taylor Rains/Business Insider Chase's a-la-carte menu is small, and I ordered an egg omelet in LaGuardia. At Chase's New York-JFK location, which I visited in July, I had salmon benedict and breakfast bao. All were simple but good. Other menu options included egg polenta and a tofu spinach wrap, among other options. Some of AmEx's other lounges, like in Mexico City and Mumbai, have a-la-carte dining, too. Both have a nice-sized bar. The Chase (top) and Centurion (bottom) bars. Taylor Rains/Business Insider, American Express The bar area at Centurion is less grandiose than Chase's circular-style one, but I thought the specialty cocktails were a nice touch. Still, guests will find a good selection of spirits, wines, and beers at both lounges. Besides alcohol, I didn't see much distinction as both had coffee, juice, tea, and soda, too. The service at Centurion was among the best. People eat in the dining room at the Centurion Lounge. Taylor Rains/Business Insider I loved the service at the Centurion Lounge. The employees were extremely attentive and friendly, and many people were on duty to help guests and keep the lounge clean. Chase has good service, too, but Centurion's simply stood out. I liked Centurion's separate business center. The business center had plenty of private nooks for working. Taylor Rains/Business Insider, American Express The business-specific room in the Centurion Lounge has several quiet and private places to work, including quiet rooms. There is also a large meeting table in the center of the room. Chase has similarly spacious meeting areas and little nooks to work or rest away from others. I loved the cabin-like ambiance of both. I liked the wood-like finishings and cabin-like decor in Chase (top) and Centurion (bottom). Both lounges have a lot of natural light. Taylor Rains/Business Insider The Chase lounge is big, with a lot of greenery, a fireplace, and cleverly placed trees to create a cabin-in-the-woods atmosphere. The color scheme is a little dull, but the colorful paintings and decor brighten it up. AmEx has a similarly cozy cabin vibe, with travel-inspired decor on the televisions and wood-like walls, though it is less in-your-face. Centurion also has intentional AmEx-branded decor, like pillows and artwork. I loved how the staple blue and white color palettes popped. Chase doesn't have the same branding, and I don't think it'd be obvious that it's a Chase-owned lounge just sitting inside. LaGuardia's Centurion Lounge just scratches the surface. BI visited AmEx's Denver Centurion Lounge after it opened in 2021. Thomas Pallini/Business Insider While LaGuardia's Centurion Lounge doesn't have the same high-end amenities as Chase, likely due to space constraints, AmEx's other lounges do and then some. For example, the Denver Centurion Lounge has a pool table, a game room with jumbo Connect Four and Checkers, a craft beer bar, and a live cooking station. The new giant Centurion Lounge in Atlanta has three outdoor terraces, while the Los Angeles location has "sunrise and moonrise" rooms to help ease jetlag. At New York-JFK, guests can find a two-story Centurion Lounge, complete with a speakeasy. Chase again sits next door to Centurion at that airport, but the roles are essentially reversed as AmEx offers spa services but not at Chase. Chase's Austin location has a terrace, but the food and drinks offered there aren't nearly as good as those at AmEx. Its Boston location, however, has the same luxe amenities as LaGuardia. I think AmEx has an overall better network. I visited an AmEx Centurion Lounge for the first time this month and was impressed. Taylor Rains/Business Insider The main thing I like about the Centurion Lounge network is that it covers most of the airports I regularly travel through. This was particuarly evident in places like Las Vegas and and Atlanta, where the Priority Pass lounges , which I get through my Chase credit card, are commonly crowded and underwhelming. Some busy airports like Los Angeles and New Jersey's Newark Liberty don't even have Priority Pass but LAX has Centurion, and Newark is getting one in 2026. Chase's network is a good start, and I'm hoping for international growth. I visited the Austin location in October 2023, which is exclusive to Reserve cardholders and not in the Priority Pass network. Taylor Rains/Business Insider Chase is playing catch-up with its six existing and four planned branded airport lounges, though its overseas footprint is lacking. Chase has a Sapphire Lounge in Hong Kong, but no other international locations. That compares to the nearly dozen global Centurion lounges across Brazil, Mexico, China, Argentina, Sweden, India, Australia, and the UK. I'd pay for both AmEx and Chase. I am seriously considering getting an AmEx Platinum card, but I won't give up Chase. Taylor Rains/Business Insider The $550-a-year Chase Sapphire Reserve and the $695-a-year AmEx Platinum are arguably the best travel credit cards available, but their hefty annual fees add up to $1,245. That's lowered to $745 and less once you factor in the travel credits, discounts on ride-shares and delivery apps, and freebies like TSA PreCheck. I personally see the benefits of having both Chase and AmEx for my frequent travel lifestyle, especially since I'd also gain Delta SkyClub access. AmEx would be beneficial for the Centurion network, which, when combined with Chase, would almost always guarantee me lounge access regardless of the airport. Plus, busy airports like Los Angeles, Denver, and Philadelphia don't have Priority Pass but they have a Centurion. Meanwhile, Chase is vital because of its Hyatt redemptions. I've earned status with Hyatt by only booking free rooms with points plus, I've found some stupid good deals. The Hyatt Place on Hawaii's Waikiki Beach I booked for my honeymoon in November, for example, cost $2,000 at face value, but I only spent 72,000 points. Read the original article on Business Insider RENTON, Wash. - As Boeing machinists hit the picket lines on Friday, local businesses near the manufacturing plant are already feeling the financial strain. Pickets appeared across the area from Renton to Everett after workers voted against a new contract, and the impact on nearby businesses is becoming increasingly evident. One such business is Carniceria Los Compadres 3, a meat market and convenience store that's been serving the community for eight years. Owner Maria Vargas Soto reflects on how the strike has affected her business. "At the beginning, it was, you know, like any business," Vargas Soto said. "But then throughout, we've actually had a good outcome. COVID hit, and it was bad. But then, after that, it picked up." Workers with picket signs outside the Boeing Co. manufacturing facility during a strike in Everett, Washington, US, on Friday, Sept. 13, 2024. Boeing Co. factory workers walked off the job for the first time in 16 years, halting manufacturing across the planemaker's Seattle hub after members of its largest union voted overwhelmingly to reject a contract offer and go on strike. Photographer: M. Scott Brauer/Bloomberg via Getty Images However, in the last week, she has noticed a significant slowdown. Vargas Soto attributed the initial slowdown to rising prices, but the strike has compounded the issue. "Usually, its the morning, lunchtime, Id say too, because we used to get a lot of workers, they would come in to get pops or, you know, like the essentials to eat," Vargas Soto said. "But weve noticed that its really slow." The quiet inside her store contrasts sharply with its usual busy atmosphere. The hum of the refrigerators is louder in the silence, and the shelves, though stocked, remain largely untouched. Maria observes the downturn with concern, acknowledging the substantial financial impact. "A lot of loss, I think, you know, its like, this is like part of our, you know, what brings food to the table for us," Vargas Soto said. The strike has left many local business owners, including Maria, caught in the crossfire. With revenue down about 50% since the strike began, Vargas Soto underscores the importance of each sale. The situation is further compounded by ongoing financial pressures. "The bills dont stop. Regardless of your situation, you have to be open for whoever comes in," Vargas Soto said. "Electricity bills and water bills and all that, they dont stop." As the Boeing strike continues, local businesses like Carniceria Los Compadres 3 hope for a swift resolution. "I would definitely want that to end," Vargas Soto said. Workers with picket signs outside the Boeing Co. manufacturing facility during a strike in Everett, Washington, US, on Friday, Sept. 13, 2024. Boeing Co. factory workers walked off the job for the first time in 16 years, halting manufacturing across the planemaker's Seattle hub after members of its largest union voted overwhelmingly to reject a contract offer and go on strike. Photographer: M. Scott Brauer/Bloomberg via Getty Images In response to the strike, Boeings Chief Financial Officer has stated that the company is actively working on an agreement to address the machinists' concerns. Local business owners and community members alike hope for a resolution that will bring relief to all affected. For now, the faint glow of the "OPEN" sign at *Carniceria Los Compadres 3* stands as a symbol of resilience, as Vargas Soto and other local businesses navigate the challenges posed by the ongoing strike. MORE NEWS FROM FOX SEATTLE Green River Killer Gary Ridgway booked into King County Jail River otter pulls young child into WA water, attacks family at marina How much does it really cost to go to the WA State Fair? We found out 30-year mortgage rates hit lowest level in 19 months, Seattle rents rise Seattle market sees rise in home listings, higher prices To get the best local news, weather and sports in Seattle for free, sign up for the daily FOX Seattle newsletter. Walgreens will pay $106.8 million to settle federal cases arising from charging the federal government for prescriptions that were filled but patients did not pick up. File Photo by Brett Hondow/Pixabay Sept. 14 (UPI) -- Walgreens will pay $106.8 million to resolve alleged False Claims Act and state violations for billing federal health care programs for prescriptions that people did not pick up. Officials for Walgreens Boots Alliance and Walgreen Co. dba Walgreens agreed to pay the fine to resolve federal claims against the retail pharmacy that is the nation's largest, the Justice Department announced in a news release Friday. The DOJ says Walgreens submitted false claims for payment to Medicare, Medicaid and other federal health care programs from 2009 to 2020 for prescriptions that the pharmacy processed but that patients never picked up. "As a result, Walgreens received tens of millions of dollars for prescriptions that it never actually provided to healthcare beneficiaries," the DOJ said in a news release Friday. Walgreens previously refunded $66.32 million and implemented changes to its electronic pharmacy management system to prevent future false billings. "Millions of Americans rely on the promise of federal healthcare through programs like Medicare and Medicaid," U.S. Attorney Alexander Uballez of New Mexico said. "Fraudulently billing for prescriptions which are never dispensed endangers the integrity of these critical programs." The settlement ends three federal cases against Walgreens in New Mexico, Texas and Florida. "Due to a software error, we inadvertently billed some government health care programs for a relatively small number of prescriptions our patients submitted but never picked up," a Walgreens spokesperson said in a statement to Fox Business. "We corrected the error, reported the issue to the government and voluntarily refunded all overpayments. We appreciate the government acknowledged our compliance efforts as part of resolving this matter." Former Walgreens pharmacy manager Steven Turck initiated a federal investigation by filing a qui tam lawsuit in Texas and will get $14.92 million. Former Walgreens district pharmacy supervisor Andrew Bustos will get $1.62 million for initiating a federal investigation in New Mexico. We want whats fair: Boeing workers on strike in Washington take to the picket lines Boeing machinists take to the picket line in front of Boeings Paine Field facility as thousands of IAM District 751 workers begin their strike over unfair labor practices on Friday, Sep. 13, 2024, in Everett, Washington. (Ryan Berry/Washington State Stadnard) Standing on the picket line outside Boeings Everett plant on Friday, Phil Westburg said not much has improved for aircraft machinists in the Puget Sound region since 2008, the last time he and other members of his union walked off the job. Were paying more now for a doctors visit and we lost our pension, said Westburg, who was among roughly 33,000 International Association of Machinists workers who went on strike after voting to reject a Boeing contract offer on Thursday. What theyve offered us now, if youre asking my opinion, was really a poor attempt to get us to accept the contract. We would like to get our pension back, said Westburg, who worked on the 787 production line before switching roles due to a workplace injury. They have to make money in order for us to have a job here, but we want whats fair to us a better pay increase. The failed tentative agreement reached by union and company leaders last weekend contained a 25% wage increase over four years, improved health care benefits, plus a commitment to make the companys next commercial airplane in the Puget Sound region. Workers sought 40% and argued that a provision eliminating annual bonuses ate into the wage hike. On Friday, a federal mediator stepped in. The Federal Mediation and Conciliation Service said it would convene with the two sides, with meetings early next week. FMCS has been in contact with both IAM and Boeing to support their return to the negotiation table and commends the parties on their willingness to meet and work towards a mutually acceptable resolution, the federal agency said in a statement on Friday. The strike comes as the aerospace giant is on slippery footing. The company is faced with mounting debt, scrutiny over its safety record after a door plug blew out of a 737 Max earlier this year, and hundreds of millions of dollars in fines over deadly crashes in 2018 and 2019. Boeing Chief Financial Officer Brian West told attendees of a Morgan Stanley investor conference in California on Friday that, in the near term, the company would be laser-like focused on actions to conserve cash and cautioned that the strike will impact production and deliveries and operations and will jeopardize our recovery. Weve got a very complex situation that were solving, he said, adding that financial impacts will be dictated by the duration of the work stoppage. A strong union and a strong Boeing Political leaders responding to the strike Friday signaled support for the workers without casting aspersions on the terms in the latest contract offer. The machinists action reflects both long simmering tensions and the real desire for recognition that workers have carried Boeing on their backs during the last several years of missteps and failures, said U.S. Rep. Rick Larsen, D-Everett, whose congressional district includes the companys assembly plant in Everett. He encouraged the two sides to resume negotiating. The community wants both a strong union and a strong Boeing, Larsen said. Those two things have been hand in hand for years despite their differences. Support for the strike was decisive. The union said 94.6% of voting members rejected the contract and 96% voted in favor of the strike. Most of the workers covered by the contract are in Washington, but some are based in Oregon and California. This is about respect, this is about addressing the past and this is about fighting for our future, IAM District 751 President Jon Holden said Thursday before announcing the strike vote tally. Holden accused Boeing of labor practice misconduct leading up to the vote, including coercive questioning, unlawful surveillance and unlawful promises of benefits. Boeing has to stop breaking the law, it has to bargain in good faith, he said. Boeing noted Thursday ahead of the vote that average annual machinist pay wouldve climbed during the four-year contract to $106,350 from $75,608. That sum did not include earnings from overtime or a zoom increase to maximum pay that machinists receive after six years. The message was clear that the tentative agreement we reached with IAM leadership was not acceptable to the members, the company said in a statement following the strike vote. We remain committed to resetting our relationship with our employees and the union and we are ready to get back to the table to reach a new agreement. For Boeing, the strike means a major disruption in production. The company says it has more employees in Washington than anywhere else in the world. The strike idled multiple facilities and fabrication sites around the Northwest, including those in Renton, Everett, Auburn and Frederickson in Washington, and Gresham and Portland in Oregon. Work paused on three commercial airplanes, the 737 Max, 767 and 777, and three military aircraft, the KC 46A Pegasus refueling tanker, P-8 Poseidon patrol plane, and the E-7 Wedgetail, an early warning and reconnaissance plane. The companys facility in Everett is the citys largest employer and a major economic driver in Snohomish County. I hope it doesnt turn into a prolonged strike because it can have a devastating impact on working families and the local economy, said state Sen. June Robinson, D-Everett. Snohomish County Executive Dave Somers, a Democrat, echoed that view. We know a long strike will be hard on workers, the company, and the regions economy, he said, adding that he supports whatever brings about a fair and quick resolution. Somers said he hopes the pledge to build the companys next airliner in the region makes it into a final contract. Enough is enough U.S. Rep. Suzan DelBene, D-Wash., who has been in touch with parties on both sides, wrote in a social media post that striking machinists decided they need more to continue powering our world-class aerospace industry. Its my hope that union leadership and Boeing will be able to address members concerns and reach a stronger, more acceptable contract offer that all parties can support, she added. When the machinists last went on strike in 2008 the walkout lasted about eight weeks and cost the company an estimated $100 million a day. This time, the halt in airplane production comes as Boeing posted a quarterly loss of more than $1.4 billion in the second three months of the year and saw its debt rise to nearly $58 billion from $48 billion during that time. In July, the company reached a plea deal with the federal government over plane crashes in 2018 and 2019 that killed 346 people. The agreement called for the company to pay a fine of at least $243.6 million and to invest $455 million in safety, quality, and compliance programs. Wigberto Bello, an aircraft painter for nine years at Boeing who was on the picket line Friday in Everett, said workers are under pressure to meet production schedules. The schedule seems to take precedence over quality at times and so were just done, were pretty much finished with that, he said. Enough is enough. We want to put quality out, we know what it takes to get quality out while still satisfying the customer. Despite what some of the leaders may say, he added, were the ones who are turning the wrenches, putting the paint on, staying up late and working the hours. Warriors to Washington started in 2014 as a way to celebrate post-9/11 veterans who have served. Joe Pfadt, president of the organization, said he wanted to provide an unexpected thank you and a trip to the nations capital made the most sense to honor the sacrifices made. Its a way to take those veterans and we take a veteran and their guest to Washington D.C. and we show them the sights that are there everything from the United States Capitol, the White House, said Pfadt. Pfadt explained a highlight of the trip is conducting a wreath-laying ceremony at Arlington National Cemetery. New nurse liaison program to extend healthcare services for PA veterans This years group includes veterans from the post-9/11 era and also the Vietnam era. We may be strangers when we get on the bus but by the time we get off the bus, were no longer strangers. Veterans always look after other veterans, said Rick Carr, paratrooper veteran A woman who has taken the trip several times told us this is her husbands first year attending and she is looking forward to him having a different perspective alongside his fellow veterans. Its an honor. Ive tried to go before and unfortunately couldnt make it. Finally, after about seven years, its going to work out, said Keith Sweet, retired Air Force veteran. Union City officially becomes part of the Erie to Pittsburgh Trail project Sweet says this will be a bittersweet trip for him as he remembers fallen comrades. Ive lost somebodies its an honor to go down there. Obviously, I wont be able to get to their grave but its an honor to go down and see where their final resting spot is, Sweet said. Its a way to give back. Its a way from one group of veterans to give to another group of veterans, said Pfadt. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WJET/WFXP/YourErie.com. WASHINGTON COUNTY, Md. (DC News Now) The Washington County Office of Emergency Management is asking residents to conserve water after a water main broke on Saturday. The water main broke on Virginia Avenue near the I-70 overpass. As a result, the entire surrounding area is without water, and Route 11 is closed in both directions as of Saturday afternoon. Officials are advising drivers to avoid the area due to high water levels. The Washington County Office of Emergency Management said residents in the affected area should conserve water until further notice to ensure that available water supplies can be preserved and prioritized for essential services, including emergency response. Residents are asked to: Limit the use of dishwashers and washing machines. Take shorter showers and avoid baths. Turn off the tap while brushing your teeth or shaving. Postpone activities such as car washing or lawn watering. Fix leaks and dripping faucets promptly. Two separate water main breaks impact customers in Arlington Bottled water is also available for residents of Van Lear and Tammany Manor. Affected residents can pick up bottled water at the Halfway Fire Department, located at 11114 Lincoln Ave. in Hagerstown. According to the emergency management office, water service for residents in Van Lear and Tammany Manor is expected to be fully restored around midnight. All other residents outside of these areas should start seeing their water service return to normal. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to DC News Now | Washington, DC. Walking out of an Idaho highway rest stop so filthy it presented a health hazard, I received on my phone an advertisement from the state of Idaho bragging about $4.6 billion in tax cuts since 2019, more than any other state per capita. I wish we had kept a few hundred dollars of this money to clean that highway restroom. The states failure to provide basic services such as clean public restrooms while peddling tax cuts signals the mismatch between what is and what is needed. Idaho needs school funding, realistic public criminal defense budgets, health care monies, etc., all makings of the glue that binds societies together. The current disquiet over water scarcity in eastern Idaho is the billboard advertising the states failure to effectively deploy its tax revenues. The Eastern Snake River Plain Aquifer (ESPA) underlies approximately 10,800 square miles of the Snake River Plain in eastern to south-central Idaho. The ESPA stretches about 170 miles from Ashton in the northeast to Twin Falls and King Hill in the southwest. The water levels of the ESPA have steadily fallen since the early 1950s. An increase in irrigation pumping from the aquifer following the development of deep-well pumps, which could lift water from deep levels and power sprinkler systems, directly reduced water levels in the aquifer. Sprinklers further reduced aquifer levels because a well-adjusted sprinkler system sends water down only to the root zone of crops rather than seeping all the way into the aquifer to replenish and recharge it as traditional irrigation systems historically did. In sum, since the early 1950s, we took out more and put back less. In Idaho, the first person to use water the senior user has the right to use that water source before someone who seeks to put that water to use at a later time the junior user. This is called the prior appropriation doctrine, or first in time, first in right. When a water user applies to the State of Idaho for a water right, the user must show not only will the water be put to use, but that the new proposed use will not injure older water rights. For years since the early 1950s, the State issued many new irrigation pumping rights without careful anticipation of the effect these new rights would have on older existing rights. Finally, in 1992, the Idaho Department of Water Resources (IDWR) issued a moratorium on new irrigation rights in the depleted Eastern Snake River Plain and the underlying aquifer. This moratorium did not occur, however, before the state handed out more water rights than available water. Giving out more water rights than available water results in some junior users going without, or being curtailed, especially in a drier year of scarce water. A junior water user can avoid curtailment by providing water to the senior users if the junior can obtain water by buying water or water rights. The water user world calls this practice mitigation. In 2015, the junior water users and the senior water users on the Eastern Snake River Plain struck an agreement in which the juniors agreed to mitigate by changing the water budget on the ESPA by 240,000 acre-feet of water by either reducing junior use or providing water to the senior users. The juniors did not perform this agreement. As a result, the IDWR Director ordered the juniors dry up 330,000 acres of ground. This meant a massive loss of agricultural revenues to the state. Thankfully, the interested parties agreed to delay this enforcement for the 2024 crop year, seeking to negotiate a new way forward. Some in state government have suggested discovery of the path forward rests solely with water users. Further input from this state sector has focused on the senior water users lining canals or converting from traditional irrigation methods to sprinkler irrigation. Of course, as soon as the canals are lined or conversion to more sprinkler irrigation happens, there will be less recharge of water to the ESPA, speeding the current decline of the aquifer. Another obvious solution stares us in the face, a case of what is needed rather than what is. Remember the state handed out more water rights than there is available water, triggering some primary responsibility in the state actor for too much demand on too little water. Because we cannot make more water, the solution is reducing that demand, which the State can do. To reduce demand, the state can purchase water, water rights, or water storage and canal systems, or any combination of these measures. Such an action would permanently reduce demand to provide stability and certainty at the beginning of each irrigation season. Further, the State would have actual water to dispatch to lessen the difference between what is needed and what is available. If the states purchases cause reduced agricultural revenue, the overall outcome would be much less than drying up 330,000 acres. Further, depending upon how the state went about its business, it may only reduce water use on some acres rather than dry up acres altogether. For example, many acres have both natural flow rights and storage rights. While the State might purchase the storage, the natural flow would keep the acres active with reduced production. And these acres would not only be paid for their storage water, but could continue to use the storage water in years of plenty. Idaho should take responsibility and move to develop a long-term plan to reduce demand for limited water supplies. Tom Arkoosh is a former prosecuting attorney of Gem County, a long-time Idaho legal practitioner and former candidate for attorney general of Idaho. Assistant Chief Shawn Cate, right, and his crew with the Mount Baldy Fire Department survey the damage to homes from the Bridge fire along Bear Canyon Road on Thursday. (Genaro Molina / Los Angeles Times) Cooling weather gave firefighters a boost Saturday in their battle against three large Southern California wildfires that have scorched more than 100,000 acres, displaced thousands of residents in mountain communities and burned dozens of homes. The largest of the blazes, the week-old Bridge fire, forced thousands to flee as it ripped through Mount Baldy Village and Mountain High ski resort, consuming nearly 53,000 acres in the San Gabriel Mountains in Los Angeles and San Bernardino counties. At least 33 homes were destroyed. Evacuations largely remain in effect. Farther east and south, firefighters were gaining more control. Evacuation orders were beginning to lift for the arson-sparked Line fire, which has chewed through 38,000 acres in the San Bernardino Mountains between Highland and Big Bear Lake. The Airport fire swept through 23,000 acres in Orange and Riverside counties and destroyed 89 homes and two businesses. "The cooler weather and higher relative humidity are allowing firefighters to increase these containment lines," said Orange County Fire Authority Capt. Steve Concialdi, who was working the Airport fire. "We are making significant progress. We do not believe the fire will get any bigger." An incoming cold front and cloudy weather this weekend are expected to bring more reprieve, officials said Saturday. Much of Southern California saw temperatures ranging from the high 60s to mid-70s throughout the day. Many parts of the region are expected to see a double-digit drop in temperatures, extensive cloud cover and a chance for light rain over the next few days, according to the National Weather Service. In one of the most drastic swings, downtown Los Angeles is forecast to see high temperatures in the low 70s, a nearly 40-degree drop from its high of 112 degrees Sept. 6. There is even a slight chance for light rain Wednesday and Thursday. These milder conditions along with increased humidity are also expected to extend farther inland near the wildfires. "As we've seen the last few days, there's been a pretty good cooling trend from the excessive heat wave that we saw persist for almost a week," National Weather Service meteorologist Bryan Lewis said. "This provides some really nice relief, especially after these fires have been going out of control." The California Department of Forestry and Fire Protection credited high moisture levels with slowing the Line fire, which was 29% contained as of Saturday but continued to creep into dry vegetation while making occasional runs along slopes. Favorable wind conditions also helped keep the Bridge fire the largest active wildfire in California within its current footprint, but it remained only 5% contained Saturday. The Airport fire was only 19% contained. Patchy fog and drizzling rain could help firefighters in these hot spots as well. "We're calling it more of a drizzle to light rain," Lewis said. "That'll likely impact these lower-elevation areas. It'll help dampen the fuels and potentially help put out some of the smaller spot fires." Meanwhile, communities stretching from the San Gabriel Mountains to Lake Elsinore remain under a smoke advisory from the South Coast Air Quality Management District. The air district has encouraged residents to take precautions to protect themselves from dangerous levels of air pollution, including remaining indoors and keeping windows closed as wildfires have released large plumes of smoke and ash, which continue to hover over nearby communities. Last week, several air monitors in the Inland Empire detected fine-particulate pollution levels above the federal health limits, including Riverside, Ontario and Fontana. An air monitor in Big Bear City recorded the highest level with a daily average of 372 parts per million, more than 10 times higher than the federal health standard. The pollution has eased in many areas. However, communities in the San Gabriel and San Bernardino mountains were still experiencing unhealthful air quality, according to the air district. Sign up for Essential California for news, features and recommendations from the L.A. Times and beyond in your inbox six days a week. This story originally appeared in Los Angeles Times. BIRMINGHAM, Ala. (WIAT) A Wenonah High School student was arrested after making threats towards his school on Friday morning, police report. Birmingham Police Department states that around 10:30 a.m., school resource officers were alerted about a threat made by a student. A 16-year-old male was in class when several classmates made a joke about him that he didnt like, according to the report. 1 shot, killed in Birminghams Collegeville neighborhood In response, the student said he was going to carry out a shooting at the school on Monday. Several students and a teacher were present when the suspect made the verbal threat. The student was arrested and the SROs presented case information to a Jefferson County Family Court magistrate. A juvenile petition was signed on the allegation of a second-degree charge of making a terrorist threat. This announcement marks the fifth high school in the Birmingham City School System that received threats this week, following arrests at Carver, Parker, Woodlawn and Huffman. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to CBS 42. West Virginia Office of the Chief Medical Examiner found in 2022 that Quantez Burks death was a homicide West Virginia Office of the Chief Medical Examiner found in 2022 that Quantez Burks death was a homicide BECKLEY, WV (WVNS) The West Virginia Office of the Chief Medical Examiner ruled in April 2022 that the death of a 37year-old man who died at Southern Regional Jail in March 2022 was a homicide. The information contradicts records West Virginia Department of Homeland Security provided to the public on November 15, 2022, in which Burks death is listed as a natural death. 59News became aware of the discrepancy in early September, after obtaining a copy of Burks autopsy report. Eight former Southern Regional Jail employees officers Andrew Fleshman, Nicholas Wimmer, Ashley Toney, Jacob Boothe, Mark Holdren, Cory Snyder and Johnathan Walters, and Lt. Chad Lester have been charged in connection with Burks death. Federal prosecutors allege a group of officers handcuffed Burks and led him to unmonitored rooms around the facility to beat him while he was handcuffed and then conspired with one another to falsify officials records and lie to federal investigators to cover up the death. Quantez Burks mother and fiancee react to plea deals offered to two officers charged in his death Four of the officers have entered guilty pleas to various charges, including conspiracy and watching officers beat Burks without intervening to help him. On Burks autopsy report, Deputy Chief Medical Examiner W. Ashton Ennis, M.D. wrote that the cause of Burks death was due to hypertensive cardiovascular disease, hardening arteries, obesity and blunt impact injury. The death followed blunt impact injuries to the head, torso, and extremities, which occurred during a confrontation with correctional facility guards in the setting of abundant natural disease, Ennis stated, ruling Burks death a homicide. Family of man who died at Southern Regional Jail release photos showing injuries 59News filed a federal Freedom of Information Act request with West Virginia Department of Homeland Security on Nov. 2, 2022, asking for records of inmates who had died at Southern Regional Jail between 2018 and November 2022, including the cause and manner of death. On November 15, 2022, 59News received an emailed response, with the official seals of West Virginia Division of Corrections Interim Commissioner Brad Douglas and West Virginia Department of Homeland Security Secretary Jeff Sandy, along with a list which purported to show data from the requested records. The records provided to 59News showed one death at Southern Regional on March 1, 2022, the day Burks died. Criminal investigation underway into the death of SRJ inmate WVDHRS records showed the cause of death was heart disease and listed the manner of death as natural. Governor Jim Justice fired Douglas in 2023, along with WVDHS general counsel Phil Sword, after Southern District of West Virginia Magistrate Judge Omar Aboulhosn wrote in court documents in October 2023 that their handling of records in a civil suit regarding inhumane conditions at Southern Regional Jail was a dereliction of duty. The WVDHS paralegal who provided the Southern Regional records to 59News under the FOIA request in 2022 declined to be interviewed on Friday, September 13, 2024, and said that she is not a spokesperson for WVDHS. She said that she receives records from agencies and prepares responses to FOIA requests but does not prepare the records kept by the agencies. West Virginia Division of Corrections is a sub-agency of WVDHS. West Virginia Department of Homeland Security officials had not immediately responded to a request for comment by Friday afternoon. Quantez Burks family speaks out on charges against Southern Regional Jail officers An attorney for the Burks family, Stephen P. New of Beckley, said on Friday, My only comment is that DHS should not have commented a natural death when the autopsy report shows homicide.' Another section of Burks autopsy, titled Circumstances of Death, states that Beckley Police Department officers had called the jail on Feb. 28, 2022, the day Burks was arrested, to state they were bringing a {sic} uncooperative male in. The section states that Burks was cooperative with Southern Regional staff when being booked and details that Burks had high blood pressure and was seen at the on-site jail medical center, which was contracted by Prime Care Medical West Virginia in 2022. During the time {Burks} was being treated by the medical staff, he stated that the Beckley Police Officers whooped him pretty good the day before, officials stated in the autopsy report. In the section, officials wrote that Burks had hallucinations the next morning and became uncooperative with corrections officers, who struggled with him. Steven Robinsons mother questions policies at Southern Regional Jail after son reportedly overdoses in jail Officials wrote in the section that Burks then declined medical care when Southern Regional officers took him to the medical center and that he went limp as officers walked him to a lock down room, that guards kept him from falling and that he collapsed after walking about 50 feet. The section notes that Burks had swelling to his head, contusions and multiple other injuries but states, SRJ staff had no record if the contusions was {sic} there before todays incident. The account in Burks autopsy contradicts the official public statement of West Virginia Department of Homeland Security officials released on March 1, 2022, hours after Burks had died. WVDHS officials told the public on March 1, 2022, that Burks was combative both during the admission process and later that evening on Feb. 28, 2022. He was again combative around 10 a.m. the following morning, assaulting multiple staff while attempting to force his way out of the section, WVDHS officials stated on the day Burks died. Beckley Police Department staff released video of Burks arrest to 59News in May 2023. Officers appeared to struggle briefly with Burks, who did not want to be placed in handcuffs or in the police car. Later, Burks quietly walked, handcuffed, from a police car into Southern Regional Jail and did not appear to be injured. Matthew Harman, a Georgia attorney for the Burks family, said in 2023 that it was highly unlikely that interaction with BPD officers had caused serious injuries to Burks. Attorney New has said medical records show Burks should have been transferred to a local hospital for treatment of extremely high blood pressure, first when he was admitted and, later, after Prime Care staff checked his blood pressure several times and noted it was dangerously high. Burks family members have said Burks was calling for medical help when he used an intercom button and tried to exit a section of the jail, prompting the alleged beatings by jail staff. Civil rights attorney calls on Governor Justice to release Southern Regional Jail video New has alleged in a civil lawsuit that Prime Care nurses conspired with corrections officers to help cover up Burks death and that they did not provide medical care, did not alert officers of Burks condition, did not file a mandatory form to report the use of force by officers on a patient and that one nurse suggested Burks be injected with insulin as a way of explaining his death. The nurse has denied the allegation. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WVNS. With the threat of devastating wildfires looming over the Cheyenne Mountain community, hundreds of students, parents and first responders gathered outside The Broadmoor World Arena Friday morning to run through a new emergency response plan. About 250 Cheyenne Mountain School District 12 students waited patiently on one side of the arena as school staff coordinated with the Pikes Peak Regional Office of Emergency Management, Colorado Springs Police Department, Colorado Springs Fire Department and other local school districts on a new wildland fire emergency evacuation exercise. Because of its location at the bottom of the namesake mountain, along with other distinctions, D-12 recently determined wildland fires to be the "most impactful" kind of emergency it could face and developed a plan and procedure in the event this should occur. "Obviously, we constantly think about all the potential safety risks to the district, and wildland fire kept coming to the top," D-12 Superintendent David Peak said. Peak added that the district already has smaller-scale emergency procedures in place, but nothing that involved mass evacuations. D-12's security personnel began developing the plan this spring with PPROEM, and the district informed parents of the initial exercise last month in advance. Additionally, because of the district's smaller size of about 3,700 students, narrow neighborhood streets and close school locations, the district has opted over the years to only utilize bus transportation for its special needs students and school activities with students typically walking, biking and carpooling to school. This exacerbated the district's ability to evacuate in the case of an emergency. Featured Local Savings As a solution to the lack of transportation, D-12 collaborated with neighboring school districts Harrison D-2 and Colorado Springs D-11 to provide additional school buses and personnel in the event of an evacuation. The arena was selected as the drop-off/pick-up location because of its size and manageable location. Following a monthly fire drill, students across the district's schools were dropped off at the outside of the arena as they waited for their notified parents to pick them up. Parents were notified throughout the process via Thrillshare, an online tool that updates parents via text, email and voice messages. It was good; it was easy, said D-12 parent Rob Bogojevich said of the whole process. Im glad theyre doing this and that theyre doing this now before, you know, something happens. Students were bused to one area of the arena while perimeters and areas were set up for parents to sign in, students to reunite with their parents one by one and media personnel to gather outside of operations. We want to try and keep everybody separated as we get everybody transported, in the event of the typical traffic we might see around here, Peak said. Following the completion of the exercise, the various parties met for a debrief to discuss options to improve operations going forward. Most families agreed that the trial run was relatively smooth. No, I think it went pretty well, parent Britanny Vanderburg said when asked if she had any recommendations. Id say, maybe, just find a way to keep them out of the sun going forward. The property of a western North Carolina camp where a 12-year-old boy died is now up for sale. All children removed from Trails Carolina amid death investigation The 32-acre Trails Carolina property in Transylvania County is listed for $3.2 million, ABC affiliate WLOS reported. The boy died of asphyxia due to smothering at the wilderness therapy camp in February, according to an autopsy report released in June. It also said the manner of death was homicide. No charges have been filed in the case. The sheriff is in talks with the district attorney about the next step, WLOS reports. VIDEO: Nurses hike through wilderness at night to provide life saving blood transfusion PROVIDENCE - The hammering an uneven chain of concussive metallic thuds begins most nights around 10 p.m., just when residents at the southern tip of Fox Point are thinking about getting to sleep. And it continues until dawn, when workers tearing down the derelict westbound Washington Bridge reopen Gano Street and turn to quieter, less intrusive demolition work. This nocturnal construction schedule wasn't what residents of the neighborhood were expecting when they heard the bridge would be torn down and some probably would have objected had the demolition contractor or state Department of Transportation reached out and told them about it. "Before this project started, they were supposed to consult and talk to the local community about some of the challenges with demolition, and they didn't do any of that. It was a total surprise," Lanre Akinsiku, who lives a few hundred yards from the bridge said Thursday at the corner of Wickenden and Wade streets. "My wife's eight months pregnant. There's a kid that lives at the end of the street. There's a school right up here. All kinds of vulnerable people and they've been totally uncommunicative." An emergency bridge teardown in the middle of the capital city was never going to be painless. But when a consortium led by Aetna Bridge Company submitted the winning bid for a $45.8 million demolition contract, it proposed community outreach and a "Project Public Involvement Plan" to help mitigate disruption. Here's who is in the crosshairs: State files sweeping lawsuit over Washington Bridge shutdown "Details about construction communication will be described in the Project Public Involvement Plan developed at the onset of the program and informed by community knowledge," the Aetna Joint Venture bid said. "Whether it be noise, dust, or concerns about traffic impacts, we recognize this issue and have been evaluating ways to ease the burden on pedestrians, bicyclists and motorists and those living adjacent to the project." The contractor also said it would attend "up to four" coordination meetings "with the State and other stakeholders," and take meeting minutes. And it would "assume one presentation and two meetings" for "interested neighborhood groups, businesses, professional groups, and other organizations." So far, there has been no indication any of those things were done. The Journal requested a copy of the Project Public Involvement Plan Thursday from the state Department of Transportation and any meeting minutes, but so far hasn't heard back. Lawmakers want answers House Majority Leader Christopher Blazejewski and Sen. Sam Zurier, who represent residents near the demolition site, are also trying to find out what happened to community outreach and noise reduction efforts the DOT promised before work began. In an Aug. 22 response to the lawmakers' questions to DOT Director Peter Alviti Jr. about what outreach would happen, DOT Director of Project Management Lori Fisette listed all the public the items in Aetna's bid, including the"meetings with stakeholders," "meetings for interested neighborhood groups and businesses" and the "Project Public Improvement Plan." Under the heading "noise," the letter said: "The loudest noise and concussive generating activity is expected to be the use of excavator mounted hydraulic demolition hammers. As best as possible, we will schedule the use of this equipment during the daytime hours." On Wednesday, Blazejewski and Zurier wrote to Alviti and Fisette with a list of concerns, among them that instead of through advance notice, they had only learned about the start of demolition via news release and constituent complaints about a week after crews had begun ripping up concrete around Memorial Day weekend. "In your August 22 response letter, you indicated that RIDOT would be taking several steps related to mitigating the negative effects on residents in the surrounding area and address their concerns," they wrote. "Based on information received from our neighbors, none of this public outreach from RIDOT has occurred." The lawmakers also questioned why the DOT had told them hydraulic hammering would be used during daytime hours when the opposite was true. "Would you please let us know when you plan on addressing these issues related to public outreach and impact mitigation in a timely manner," they wrote. Frank McMahon, spokesman for Aetna, referred all questions about public outreach and noise mitigation to the DOT. More: Grant program opens for businesses with Washington Bridge losses. Here's who is eligible. Traffic trumps noise concerns It's not clear exactly when the decision was made, but DOT spokesman Charles St. Martin Wednesday told the Journal that the state ordered overnight hammering, and accompanying closure of Gano Street, to avoid traffic backups during the day. "While RIDOT typically attempts to conduct demolition during the day, in this instance we chose to conduct demolition at night, as daytime work would have necessitated a daytime closure of Gano Street that would have caused extensive congestion and delay on city streets," St. Martin wrote. "This decision was made in concert with the City of Providence." St. Martin said there have been no change orders to the Aetna joint venture demolition contract. What does Providence Mayor Brett Smiley think? "We know that this demolition will unfortunately have a temporary negative impact on our neighbors in this area but we also know that if the necessary construction taking place on the bridge was conducted during the day our community would be faced with even more significant traffic congestion in this neighborhood as it would necessitate the closure of Gano Street," Smiley spokesman Josh Estrella wrote. "When the city was made aware of this construction, we communicated it out via our channels to residents wherever possible." On Friday, the DOT announced that demolition is set to begin on the East Providence side of the bridge Tuesday with the closure of Waterfront Drive. In contrast to the Providence waterfront, in East Providence, "Demolition activities using jackhammers will be limited to daytime hours only," the DOT news release said. Is this about incentive payments? When the DOT went out to bid for a demolition contractor, it prioritized a fast wrecking plan and is offering a $3-million bonus if the old structure is down by next March. There was one other bidder whose price proposal was lower, but the Aetna joint venture plans to have the bridge down by January to qualify for the bonus with time to spare, but trying to satisfy neighborhood demands is the kind of thing that could slow a project down. The total cost of the demolition project, including contingency and other things not included in Aetna's contract, is $58.2 million according to the DOT's latest monthly "snapshot" report. There is also a question of why the state is still willing to pay an incentive for speed when there is no design, no contractor or even bidding process in place for a replacement span. With every month that goes by without a new request for proposal to rebuild the bridge, it becomes more likely the old bridge will be gone before anyone is ready to start work on new construction. The DOT has said it is still reviewing the responses it received Aug. 1 to a confidential construction industry sounding board effort after an initial reconstruction procurement got no responses. What's being done: Will Washington Bridge lawsuit documents be publicly available? So when does the noise stop? "We expect to complete the loud, jackhammering demolition during nighttime hours soon, by the middle of next week," St. Martin said. "We will continue to do other demolition activities, but the noise will be reduced dramatically. All of the jackhammering associated with demolition will switch to daytime hours after the middle of next week." Back in Fox point, Akinsiku, a Brown University professor, said he would have liked to have seen consideration of having the demolition work start after the afternoon commute perhaps 7 p.m. instead of 10 p.m. and then stop at 1 or 2 a.m. so people could get at least a little sleep. He's also concerned there is no scientific monitoring of the air quality for dust and particulate matter on his street. "None of this is hard and none of it takes much thought," he said. This article originally appeared on The Providence Journal: Washington Bridge: Neighbors want answers about noisy, late-night work Luxury watch dealers and jewellers have been targeted by anonymous messagers demanding payment to unblock their accounts Luxury watch dealers and jewellers who ply their trade on WhatsApp are being targeted by an army of scammers who threaten to get them banned from the platform unless they pay thousands of pounds in ransom. Small business owners told The Telegraph that their WhatsApp accounts had been banned without explanation. They said that both before and after the bans they had been contacted by anonymous accounts demanding payment in cryptocurrency, either to leave the victims alone or restore access. Thanks to its privacy and ease of use, the Meta-owned messaging app has been adopted by a legion of entrepreneurs who use it to market expensive watches, chains and other jewellery, contact customers, conduct details and store records. With prices for some watches reaching into the tens of thousands of pounds, having their account disabled for a matter of weeks can result in a significant amount of lost income. The businesses say Meta is doing little to help, leaving their operations hamstrung while they rack up losses and fall behind competitors. It has also emerged that the contact form for businesses on WhatsApps help page is broken, making it harder for them to report problems. When the issue was brought to Metas attention by The Telegraph, the company said it had flagged the issue internally. Tony Singh, who runs Asian Wealth Jewellers, a luxury watch and jewellery dealership that trades in the UK and Canada, received messages in early August from a scammer threatening to have his WhatsApp account banned unless he paid a ransom. Mr Singh refused, and woke up the next day to find his account out of action. He said: I was in limbo. It really affected me, personally, business and financially. During the time he was banned, Mr Singh communicated with numerous social media accounts claiming to be able to unban his account for a fee. Many of these viewed by The Telegraph appear to originate from India. He said: Theres a huge network of people that use Meta for business, whether its Instagram, Facebook, WhatsApp, its used as part of our daily lives. When you take them away ... what do you do? [If you] start again you lose everything that youve got. It comes after another luxury watch dealer, Tom Bolt, suffered a major hit to his income in August when he too was inexplicably banned from WhatsApp. He told The Telegraph earlier this month: My loss of earnings was significant. If they can just randomly cut people off, then everyone who is using the service and others such as Facebook and Instagram to try and earn a living is at risk. Mr Singh added: Its caused me such an effect, where people have stopped contacting me, offering me watches and jewellery because Im unavailable via WhatsApp. In both cases, their accounts were restored this week after The Telegraph questioned Meta over the ban. However, in both cases Meta refused to say why the account had been banned in the first place or why attempts to appeal had been unsuccessful. Immediately after Mr Singhs account was unbanned, a scammer claiming to be a Meta representative who he had spoken to while banned, got in touch and took credit for the removal of the ban and asked for payment. You have to pay 1.2k$ for unban and 2k$ for putting shield on it, the person said. Mr Singh said: Now theyre saying they want more money, they want to shield my number, [so it] doesnt happen again. What do I do now? A third watch dealer was contacted over WhatsApp and asked to pay 1,500 or they would have their account and Instagram profile banned. Both Mr Singh and Mr Bolt say they struggled to get in contact with Meta while they were banned. They are still in the dark as to how and why their accounts were banned in the first place. Mr Singh said he believed scammers were targeting luxury goods dealers specifically because of their reliance on WhatsApp as a platform. A watch dealers business is basically running group chats, communicating with one another, buying and selling for one another. Its a very secret, trusting group. The scammers claim to be able to get WhatsApp and Instagram accounts banned, unbanned and shielded, which they claim means they will never be able to be banned again. Meta said shielding accounts is not possible. Several accounts reviewed by The Telegraph advertise their services using the messaging app Telegram. One person who said he ran a service for banning and unbanning users, said it was trivial to report accounts and have them suspended. They will check but not very well, the account claimed. Instagram and his team is not working their work perfectly. The person claimed they could un-ban accounts through individuals who have access to parent company Metas systems, a claim that The Telegraph could not verify. Beyond issues with scammers, frustration is growing at the number of unexplained bans being handed out by the social media giant, despite it simultaneously advertising its WhatsApp business platform to entrepreneurs. A WhatsApp spokesman said: We are always working to stay ahead of bad actors trying to abuse our service and we work to ban their accounts to help keep people safe. Sometimes we get this wrong and so well fix it as quickly as possible to get people back to chatting. Weve seen the rumours that somehow our staff is intentionally banning the wrong people and this is entirely untrue. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 3 months with unlimited access to our award-winning website, exclusive app, money-saving offers and more. Where is Dennis Bowman, subject of 'Into the Fire', now? A new Netflix documentary, Into the Fire: The Lost Daughter, tells the tragic story of Aundria Bowman, who was murdered by her adoptive father, Dennis Bowman, when she was 14. Aundria vanished from her adoptive familys house in Hamilton, Michigan, in March 1989. For decades, her adoptive father supported the narrative that she had run away from home. However, the cold case of Aundrias disappearance took a turn when the late teens biological mother, Cathy Terkanian, learned in 2010 that her daughter had gone missing. Over the next decade, Terkanian went on an incredible quest to discover what had happened to her child. "Into the Fire: The Lost Daughter" follows Cathy Terkanian quest to discover what happened to Aundria. In 2019, there was a major breakthrough in the case when Dennis Bowman was imprisoned for a different crime, the 1980 rape and murder of a 25-year-old woman, Kathleen Doyle, in Virginia. Facing charges related to Doyles murder, Dennis Bowman confessed to killing Aundria. He pleaded guilty to both murders and is now imprisoned. Read on to learn more about what Dennis Bowman did and where he is now. More 'Into the Fire' Cathy Terkanian, the mom in 'Into the Fire' talks about her heartbreaking search for justice What did Dennis Bowman do to his adoptive daughter Aundria Bowman? Before she died, Aundria was allegedly physically and emotionally abused by Dennis Bowman, multiple people who knew her as a teenager said in the documentary. Brenda Bowman testified that Aundria had confided in her that Dennis Bowman had molested her. She then told Aundria these allegations were a lie, according to an excerpt of her recorded testimony shared in the documentary and also by Fox 17. Aundria later recanted her testimony, Brenda Bowman said. After Aundria vanished in 1989, Dennis Bowman maintained for decades that Aundria had run away and was missing. However, he later confessed to murdering his adoptive daughter. He confessed to killing her in a conversation with his wife when he was already in prison for the rape and murder of Kathleen Doyle. In the conversation with Brenda Bowman, which was filmed and shared in Into the Fire: The Lost Daughter, Dennis Bowman said the day Aundria went missing, he encountered her at their family home, and she said she was leaving. We got into an argument and I said, No, youre not leaving, youre not going anywhere. And she said, Well, Ill just tell the counselors again that you molested me. And I said, No, you wont, and I hit her, Bowman said. Aundria Bowman was murdered by her adoptive father, Dennis Bowman. Bowman said Aundria fell backwards down the stairwell, and he said that when he checked on her, she wasnt breathing and didnt have a pulse. He told his wife that he moved Aundrias body to a barn on their property, then called the police and said she was missing. Bowman said Aundrias body laid out there for a couple days. He then said he dismembered her body so that it would fit into a cardboard barrel. That story, however, was not true. The police said they intercepted a letter to Brenda Bowman in which he confessed to burying Aundria in their backyard. The documentary also plays footage of the police speaking to Dennis Bowman about Aundrias bodys whereabouts. Eventually, Dennis Bowman revealed the location of Aundria's body during a phone call with his wife. When they moved to a new house in 1990, a year after Aundria's death, Dennis Bowman took the barrel containing her remains and buried it in their new backyard. I dug the hole, and I put the barrel in, he said. Shes been right there the whole time. Her remains were recovered in a shallow grave at their home in Monterey, Michigan, per Michigans WWMT. TODAY.com has reached out to Brenda Bowman for comment. The Netflix documentary showed detective Jon Smith interrogating Bowman. What was Dennis Bowman convicted of? In 2020, Dennis Bowman was charged with open murder, felony murder, first-degree child abuse and mutilation of a body, per Michigans WWMT. He pleaded no contest to second-degree murder for the killing of his adoptive daughter in 2022, according to a press conference about his sentencing in Allegan County, Michigan. At the time of his sentencing, he was already serving two life sentences, plus 20 years, for the rape and murder of Kathleen Doyle, along with a burglary charge related to those crimes. He was sentenced to 35 to 50 years for Aundria Bowmans murder, according to Michigans WWMT. Dennis Bowman had previously served five years in prison in the early 80s after he was convicted of assault with intent to commit criminal sexual conduct, according to court documents shown in the documentary. Where is Dennis Bowman now? Dennis Bowman, who is now 75, is currently an inmate at the River North Correctional Center in Independence, Virginia, according to what appears to be his inmate record from the Virginia Department of Corrections. He will spend the rest of his days in prison. This article was originally published on TODAY.com Where Is Susan Smith Now? A Look At Her Life in Prison 30 Years After Drowning Her Sons Susan Smith was served a life sentence after the 1994 murder of her sons. Now, she's eligible for parole in November 2024 Brooks Kraft LLC/Sygma/Getty Legal identity photograph of Susan Smith In October 1994, Susan Smith captured national attention with a terrifying tale: The young South Carolina mother, who was 23 at the time, claimed a Black man had carjacked her, and that he had taken off with her two toddlers in the car. The truth, however, was even more devastating. After nine days of tearful pleas for her sons safe return, Smith confessed that there had been no carjacking. In reality, she had driven her car to a local boat ramp and let it roll into a lake with her sons, 3-year-old Michael and 14-month-old Alexander, strapped inside. Smiths alleged motive for the murders was that she was secretly dating a local businessman, Tom Findlay, who didnt want children. In an effort to save their relationship, Smith drowned her two young sons. But Smith who has been serving a life sentence for the killings since 1995 insists that she is misunderstood. I am not the monster society thinks I am, she wrote in a 2015 letter to The State, a South Carolina newspaper. I am far from it. She continued: Something went very wrong that night. I was not myself. I was a good mother and I loved my boys. There was no motive as it was not even a planned event. I was not in my right mind. Now, after nearly 30 years behind bars, Smith is set to be eligible for parole on Nov. 4, 2024. From her life behind bars to her upcoming parole date, heres everything to know about where Susan Smith is now. She has been serving a life sentence since July 1995 Dave Martin/AP Murder defendent Susan Smith departs the Union County Courthouse in Union, S.C., on Wednesday, July 19, 1995 Smith came clean about killing her two children nine days after their murder, and on Nov. 4, 1994, their bodies were recovered. Smiths murder trial began in July 1995. The prosecution sought the death penalty and alleged that it was Smiths desire for a relationship with Findlay who did not want children that led her to kill her two sons. The defense, however, portrayed Smith as a woman with mental illness who intended to kill herself and her children on the night of Oct. 25, 1994 but saved herself at the last moment, according to The New York Times. The trial lasted less than a week, and the jury took less than two and a half hours to find Smith guilty on two counts of first-degree murder, The New York Times reported. However, the jury declined to give Smith the death penalty instead sentencing her to life in prison. Smith, who was 23 at the time, would be eligible for parole 30 years later, in 2024, according to The Washington Post. We all felt like Susan was a really disturbed person, juror Deborah Benvenuti told reporters. And we all felt that giving her the death penalty wouldnt serve justice. However, the boys father, David Smith, told reporters at the courthouse that he did not feel justice had been served. Ill never forget what Susan has done and Ill never forget Michael and Alex. Me and my family of course are disappointed that the death penalty wasnt the verdict, he said. Two prison guards were charged with having sex with Smith Smith began her life sentence at the Camille Griffin Graham Correctional Institution in Columbia, S.C. During her time at that prison, she received two infractions for having sex with prison guards. The incidents were discovered in 2000, when Smith was 28. According to UPI, 50-year-old prison guard Houston Cagle had had sex with Smith at least four times. An investigator discovered the affair while looking into tabloid claims that Smith had been beaten. Cagle pleaded guilty and was fired. He spent three months in jail, and Smith was disciplined. In September 2000, a prison captain named Alfred Rowe was arrested for having sex with Smith, according to ABC News. Their encounter came to light after Smith told investigators she had sex with them, and he confessed. A year later, Rowe pleaded guilty and received five years probation. As a result of the sexual infractions, Smith was transferred to the Leath Correctional Institution in Greenwood, S.C. Prison guards there took special precautions with Smith in light of her past, never allowing her to be alone with one of them and often transporting extra prisoners with her. No one trusts her to be alone with a guard, a prison source told PEOPLE. She spends most of her time in her cell or working her job, but when shes being transported, there are always two guards with her, preferably a male and a female. She has been in trouble for drug use and self-mutilation while in prison South Carolina Department of Corrections Susan Smith in prison Smiths disciplinary issues continued at Leath Correctional Institute. Jailhouse records show that Smith was disciplined at least five times between 2010 and 2017 for infractions including self-mutilation, drug use and possession of narcotics or marijuana. Punishment for her infractions involved the loss of visitation, canteen and telephone privileges. She was disciplined on drug charges twice in 2010 and once in 2015 and lost privileges for more than a year as a result. Rowe, one of the prison guards who had sex with Smith, claimed that her drug use escalated when she was transferred to Leath. She could no longer get the male attention that she used as a drug, he claimed in an episode of Lifetime's Cellmate Secrets in July 2021. Christie Smith, Smiths former cellmate, revealed that her main purpose was to bring her her pills. Ive seen Susan do everything, she alleged, in reference to Smiths drug use. Snort, booty bump, swallow, shoot. I've seen her do it all." She had a long distance boyfriend from behind bars In March 2022, PEOPLE learned that Smith who was then 50 years old and had spent more than half her life in prison had been sending romantic correspondence to a man outside of the jail for over a year. The long distance romance began after the man (who was divorced, in his mid-40s and had two adult children) saw a documentary about Smith on television. He wrote her a letter, and their correspondence eventually grew to a few letters per week, a source told PEOPLE. They write letters all the time, a family member of Smiths told PEOPLE. Handwritten letters about what their future will be. Shes very romantic like that, always wanting to find a happily ever after. Smith wrote about wanting to start fresh with her boyfriend if she were ever freed from jail. Were going to have amazing chemistry in person, she wrote in one letter seen by PEOPLE. I cant wait to build a life with you. Leave the past mistakes behind and start fresh, just you and me. A relative of Smiths told PEOPLE that the couple were even discussing marriage if she got parole in 2024. She's imagining the normalcy of a married life, the relative told PEOPLE. She wants everything in life that she believes has passed her by. So yeah, she is talking marriage with him and thinking that she can spend the last part of her life as a wife and stepmother. By October 2022, however, the relationship had fizzled out. A Smith family member told PEOPLE, They are no longer corresponding. Its over. She is eligible for parole in November 2024 Dave Martin/AP Susan Smith looks toward an unidentified law enforcement officer as she departs the Union County Courthouse in Union, SC., on Friday, July 14, 1995. Smith has reportedly been focusing on turning around her behavior in prison, with the hopes of being granted parole in November 2024. A prison source who had known Smith for several years told PEOPLE in 2020 that Smith was following prison rules to help better her chances at release. She's behaving herself these days, the source said. She knows that her parole date is four years away and she cant get parole if she isn't being good. However, Smiths disciplinary history which includes her infractions for drug use, self-mutilation and sexual contact with a guard will also factor into the parole boards decision. I dont think shes got a snowballs chance in hell of getting paroled in 2024, a family member of Smiths told PEOPLE in 2022. Shes exactly where she needs to be. Her ex-husband David said he will do "everything in my power" to keep her behind bars Before she had murdered their children, Smith had already filed for divorce from David in September 1994. A judge granted their divorce in May 1995, a couple of months before her trial began. Now, two months ahead of her parole hearing, Smith's ex-husband David shared on Court TV in September 2024 what he would say to his former wife if they came face to face. I would just tell her that you have no idea of how much damage you have done to so many people, he said. I would tell her that in my capabilities I'm going to do everything in my power to make sure you stay behind bars. David has since moved on from the relationship, welcoming two more children, Savannah and Nicolas, in relationships with other women in 2001 and 2003, respectively, per Go Upstate. "I've said before, I admit being a lousy husband," David told the outlet in a June 2004 interview. "But I've always been a good father to my kids. I enjoy being a father very much. I mean there's no greater love in the world than loving a child." For more People news, make sure to sign up for our newsletter! Read the original article on People. Why the 1955 murder of Emmett Till still remains shrouded in racism and mystery Why the 1955 murder of Emmett Till still remains shrouded in racism and mystery In 2020, author and journalist Wright Thompson traveled to Drew, Miss., for a private tour of a barn with a bloody and tragic history that many in the Mississippi Delta would like to forget. The barn is where, on Aug. 28, 1955, a 14-year-old Black boy named Emmett Till was brutally beaten and killed by two (and allegedly more) white men, who murdered him for the sin of allegedly having whistled at a white woman at a nearby grocery store. The barn and surrounding property was purchased in the 1990s by a dentist named Jeff Andrews, who despite growing up in the area insisted to Thompson that he knew nothing of its history before buying it. Even more surprisingly, he wasnt concerned with his barns grim legacy. Emmett Till, the young African-American boy killed in 1955 for allegedly offending a white woman is the subject of a new book. Bettmann Archive Emmett Tills gravesite at the Burr Oak Cemetery in Alsip, Illinois. Getty Images Its in the past, he told the author, as Thompson recounts in his new book, The Barn: The Secret History of a Murder in Mississippi (Penguin Press), out Sept. 24. In fact, Andrews was convinced they could walk into any elementary school in the Delta and ask kids about Emmett Till and 95% of them would have no idea who he was. Today, Emmett Till is a civil rights icon. When Americans gather to protest racial violence, Thompson writes, someone almost certainly carries his picture, held high like a cross, no name needed. But in the Delta, Tills murder has been pushed almost completely from the local collective memory. Thompson knows this firsthand. He grew up in Clarksdale, Miss., 30 miles north of Drew, and hed never heard about the barn until meeting Patrick Weems, who runs the nearby Emmett Till Interpretive Center. As Weems explained to Thompson, If you go through Drew and stop and ask somebody, Do you know where Emmett Till was murdered? I think nine out of ten people will say, What are you talking about? And its not just the white population trying to suppress the areas sordid past. Carl Watson, a black landowner who was born four years after the killing, just down the road from the barn, didnt know the story of Emmett Till until his dad told him in the late 1980s. Till was just 14 years old when he was killed during the height of Jim Crow. Bettmann Archive Several generations grew up seeing the barn every day and were never told about it, Thompson writes. White mothers and fathers in this part of Sunflower County didnt talk about it. Black mothers and fathers didnt either. For almost half a century, the official story of the lynching came from journalist William Bradford Huie. He sat with Roy Bryant and J. W. Milam who were acquitted by an all-white jury in 1955 of killing Till, and thus protected by double-jeopardy laws and published their confessions in Life magazine a few months later. Their version of events left out any mention of the barn, possibly to protect other accomplices, including the barns owner, Leslie Milam. The Emmett Till Interpretive Center in Sumner, Mississippi. Emmett Till Interpretive Center Over the years, attempts to preserve Tills story, even the censored version, have met with fierce resistance. Jesse Gresham, a local pastor in Drew, told the author he once discovered a locked school board office full of history books about the civil rights movement, where theyd been hidden for decades. They dont want to think about what their own parents and grandparents did, Gresham said. They didnt want future generations to know they were snakes. Weems shared a story about a teacher at the now-defunct Strider Academy named after the sheriff who helped secure acquittals for Tills killers who assigned her students to do research on Tills murder. The kids came home and said, Tell me about Emmett Till, Weems said. The erasure over the years has been blunt and brutally effective, writes Thompson. The only copy of the trial transcript has long since disappeared. The gin fan used to sink Tills body in the Tallahatchie River was kept by a lawyer in Sumner as a trophy but he eventually threw it into a landfill. Pres. Biden with Reverend Wheeler Parker Jr., one of the few remaining members of Emmett Tills family before signed a proclamation to establish the Emmett Till and Mamie Till-Mobley National Monument. Bloomberg via Getty Images The gun used to murder Till isnt melted down or in a museum but is owned by a white crop duster pilot, whose father was gifted the murder weapon by Sheriff Strider. It still fires. The grocery store in Money, Miss., where Till allegedly whistled at a white woman, Carolyn Bryant Donham, is now owned by Harry Ray Tribble and his siblings, children of one of the deceased jurors from the 1955 murder trial. He continues to insist that Till wasnt actually murdered and the body was planted by the NAACP to make Mississippi look bad and further a communist agenda hell-bent on tearing down freedom, writes Thompson. The gas station next door, also owned by a Tribble, was renovated in 2014 as a visitors center for visiting civil rights tourists. It was meant to represent what life actually looked like back in Tills time, but it actually revels in the fictional nostalgia of a racially harmonious Delta, writes Thompson. TV producer and screenwriter Shonda Rhimes announced last year that she was making a big donation to the Emmett Till Interpretive Center to help buy the barn from Andrews and turn it into a monument to Till. Getty Images There are no segregated bathrooms, but a jukebox where white and Black people came together to share the communion of music. There is no mention whatsoever of Emmett Till. Sometimes even allies, those trying to keep Tills memory alive, can misrepresent history. Wheeler Parker, 85, Tills cousin and the last living eyewitness, once sat on a college panel devoted to Emmett Till. He listened to scholars pontificate about his dead relative. When it was his turn to speak, Parker said, I dont think I know this guy you guys are talking about. It was beautiful but it wasnt accurate. These people were taking the story and using it, Thompson writes. Often for good, but using it all the same. Changing details, fudging details, moving things around, making Emmett look better, or Carolyn (the white woman Whitt whistled at) look better, exaggerations, justifications, white lies, mistakes. In 2008, the Emmett Till Memorial Commission erected a historical marker next to the river where Tills body was found. It was replaced at least nine times, after the signs were stolen, or thrown in the river, or covered in hundreds of bullet holes. It was a tug-of-war in the shadows, Thompson writes, with some people trying to preserve a memory and others trying, with guns, to erase it. The tragedy of humankind, author Wright Thompson writes, is that the rest of us . . . never learn the lesson that hate grows stronger and more resistant when its pushed underground. Evan France The Barn is written by Wright Thompson. A bullet-proof marker was erected in 2019, and the bullet-riddled sign was donated to the Smithsonians National Museum of American History. Plans for some sort of memorial at the barn have been longer in coming. Annual ceremonies have been held there every summer since 2022. And last December, TV producer and screenwriter Shonda Rhimes, known for hit shows like Bridgerton and Scandal, announced that she was making a big donation to the Emmett Till Interpretive Center to help buy the barn from Andrews and turn it into a monument to Till. A monument would force a new conversation, Thompson writes. There is no heroism to remember here. No defiance. Nobody burst through the door in the nick of time, or risked their life for another, nobody stood up to evil, no one stopped the torture. Its an uncomfortable reminder of our countrys shameful and violent past, but thats exactly why this site needs to be preserved. The tragedy of humankind isnt that sometimes a few depraved individuals do what the rest of us could never do, Thompson writes. Its that the rest of us . . . never learn the lesson that hate grows stronger and more resistant when its pushed underground. The Gender Liberation March in Washington, D.C. on Sept. 14, 2024. Credit - Alexa B Wilkinson For Lizette Trujillo, there were multiple reasons it was worth making the 2,000 mile-trip from Tucson, Ariz. to the nations capital for the Gender Liberation March to fight for bodily autonomy. It's really important because, yes, I'm the mother of a transgender child, but I [also] had an abortion at 22, had a miscarriage at 40. Having bodily autonomy and access to care that is widely necessary for you is really, really important, says Trujillo, 44. And the thought of my child, my son, not having access to the care that's necessary for him, is something that is terrifying. Trujillo is one of some 2,000 people who gathered in Washington, D.C. on Saturday in a march uniting activists for both abortion rights and transgender rights. The march comes as more than 650 anti-trans bills have been introduced across local legislatures in 2024, according to the trans legislation tracker, and amid a recorded rise in anti-LGBTQ+ hate crimes. At the same time, abortion rights have been curtailed in multiple states since the overturning of Roe v. Wade in 2022. Some 14 states currently have a total abortion ban in place, and the issue is set to be on the ballot in 10 states come November. Our abortion stories and our trans stories are connected and intertwined. We cannot liberate abortion without trans justice, Renee Bracey Sherman, executive director of We Testify, a nonprofit that shares abortion stories, said in a speech Saturday. For the organizers of the march, linking the two issues was an intentional strategy. The idea was to bring together the energy stoked in the fight for abortion access and reproductive justice after the Dobbs [v. Jackson Womens Health] decision, and also the energy put into fighting for queer and trans folks who are facing attacks on access to health care, Raquel Willis, a transgender activist and co-organizer of the march, told TIME in an interview ahead of the march. Eliel Cruz, a co-organizer, argues limiting access to abortion and gender-affirming care are part of a larger connected push to reinforce gender binaries and discriminate against people based on their identity. That connection is already on the path to being codified in the courts. Both the government and the other entities that are defending government restrictions on medical care are using, in this moment, Dobbs in particular to justify attacks on gender-affirming medical care, and then use an expansive reading of the Court's decision in Dobbs in order to open the door to more restrictions on bodily autonomy more generally, says Chase Strangio, Deputy Director for Transgender Justice at the ACLUs LGBT & HIV Project. Strangio will argue before the Supreme Court this fall in one such case: U.S. v. Skrmetti, which concerns a Tennessee law barring access to gender-affirming care for transgender youth. Daniel Trujillo, Lizettes 17-year-old son and the organizer behind the Trans Youth Prom, says at the march Saturday that hes excited to reunite with other trans teens and families. But hes also fearful of what the upcoming Supreme Court case could mean for him; he and his mom are involved in an ACLU awareness campaign launched ahead of the case. It makes it scary when it comes to trying to think about my college, things that are just in the near future, he says. What does that all look like? With this national backdrop, the march felt like a safe space for some and an opportunity to rally with people who accept their existence. Jair Codines, a 28-year-old resident of North Carolina who recently started their transition, says that they traveled to Washington, D.C. to fight for their ability to continue that transition. I will always fight for justice, for the truth, and for our rights, Codines, who arrived at the march with a group of 20 mostly Latina women, says in Spanish. At the march, attendees mingled in designated areas for trans youth to gather and perused tents handing out banned books. Hundredsif not moreof pride and trans flags were drawn on posters, banners, pins, and t-shirts. Trujillos spouse painted a scene of iconic transgender figureheadsCecilia Gentili, Nex Benedict, Monica Roberts, and Lorena Borjaspositioned next to the center stage to honor the activists that came before this generation. Trans rights are human rights, marchers clad in white chanted as they walked near the Supreme Court and the headquarters of the Heritage Foundation. Liberation for my sister, liberation for my brother. The all white outfits were an homage to previous protests against anti-Black violence such as the 1917 NAACP Silent parade, and Saturdays marchers also wore purple, pink, and white flower crowns as a callback to transgender-rights advocate Marsha P. Johnson. The mood of the day was determined yet optimistic. This is another glimpse into the future, says Daniel Trujillo. We're all going to live and be celebrated and thrive together in public life and schools and bathrooms and our homes safely. Contact us at letters@time.com. Alabama Sen. Tommy Tuberville holds up a pocket copy of the Declaration of Independence during an election event in Layton at Faith Baptist Church on Friday, March 15, 2024. | Scott G Winterton, Deseret News Alabama Sen. Tommy Tuberville recently resorted to his previously tried and tested strategy of military blockades. This time, he was protesting the military promotion of an aide to Defense Secretary Lloyd J. Austin III. President Joe Biden tapped the aide, Army Lt. Gen. Ronald P. Clark, for a promotion to be the four-star commander of all U.S. Army forces in the Pacific, according to The Washington Post, which first reported Tubervilles block on approval of the promotion. Tubervilles more than 10-months-long hold on roughly 450 senior military promotions ended in December last year. In 2023, Tuberville opposed the Pentagons abortion-related policy that allows active-duty service members to receive a reimbursement for abortion-related travel, and blocked nominations as a form of protest. This time, Tuberville has taken issue with Clark in particular. Why is Sen. Tuberville blocking a military nomination? Upon the defense secretarys hospitalization on Jan. 1, following complications from surgery in December, Austins office, including Clark, did not disclose the information to the White House or Congress until days later. Austins doctors later revealed he was being treated for cancer, according to CNN. The Alabama senator told Politico that he wants to learn more about Clarks involvement at the time of Austins hospitalization. Everybody wants information on why, Tuberville said. We need a full understanding of why he did what he did, and not inform the commander-in-chief of the problem. This is not just one person. I mean this is, Democrat and Republican wanting to know what the heck happened here, he said. And the American people need the truth here. Why did we not have full disclosure of what happened? And so once we get that, well be good. A spokesperson from Tubervilles office said the senator is awaiting the release of a review from the office of the Pentagons inspector general. As a senior commissioned officer, Lt. Gen. Clarks oath requires him to notify (the president) when the chain of command is compromised, the spokesperson added, as per Axios. What is the Pentagon saying about Sen. Tubervilles blockade? The Defense Departments unclassified 30-day review found Austins military assistants agreed to initial a transfer of authorities to Deputy Secretary Kathleen Hicks. Although Deputy Secretary Hicks, when informed of the transfer of authority to her, was not notified of Secretary Austins hospitalization, she was at all times positioned to perform all the functions and duties of the Secretary, the review stated. As CNN reported, James Adams, a Pentagon spokesman, defended Clark, saying he is highly qualified for the promotion and was nominated for this critical position because of his experience and strategic expertise. Clark has previously served as commander of U.S. Army Central, the Armys 25th Infantry Division, and chief of staff for U.S. Army Pacific, the report added. We urge the Senate to confirm all of our qualified nominees, Adams said. These holds undermine our military readiness. WICHITA, Kan. (KSNW) A judge in Sedgwick County has sentenced a Wichita father for the fentanyl death of his son. Brijin McCullough, 32, was sentenced to 438 months (36 years and six months) in prison on Friday. The Sedgwick County District Attorneys Office said McCullough pleaded guilty to second-degree murder and other felonies on July 9. How to watch Kansas State take on Arizona on Friday The sentencing comes after McCulloughs son, Kaiden, was found unresponsive at a grocery store near Pawnee and Broadway on Oct. 31, 2023. EMS tried to revive the baby and rushed him to the hospital, but he died. An autopsy report says Kaiden died from the toxic effects of fentanyl. Another defendant, Wilma Presley, was sentenced on Sept. 11 to 20 years in prison after pleading guilty to distributing a controlled substance causing death and aggravated endangering a child, along with other drug crimes, on July 12. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KSN-TV. Skeletal remains found last weekend in Wisconsin were those of a missing 3-year-old boy who vanished in February, police said Friday. The Two Rivers Police Department confirmed the remains were those of Elijah Vue, who was the subject of an Amber Alert when he went missing. "The family's devastated. We're devastated. Our community is devastated," said Two Rivers Police Chief Benjamin Meinnert. "I never met Elijah, but I watched that 3-year-old boy bring out the best in this community." SOUTH CAROLINA POLICE FIND BODY OF MASSACHUSETTS MAN WHO VANISHED FROM HILTON HEAD FAMILY VACATION Elijah Vue, 3, went missing in February. His remains were found recently in a wooded area, police said. The alert was canceled Friday. "What started out as a search for a missing boy is now a death investigation," the chief said. READ ON THE FOX NEWS APP The remains, a skull and bones, were found by a deer hunter in a wooded area on private land in Two Rivers Sept. 7, authorities said. The area had been searched several times by authorities and the landowner by foot and air, police said. The Wisconsin Crime Lab conducted DNA testing on the remains. Police said Vue's family was identified as soon as the test revealed an identity, police said. Two Rivers Police Chief Benjamin Meinnert talks to reporters about Elijah Vue's remains being found. Vue went missing in February from his Two Rivers home. His mother, Katrina Baur, and her boyfriend, Jesse Vang were both charged with child neglect, though they were not directly linked to his disappearance, Fox Milwaukee reported. Original article source: Wisconsin police confirm remains found are those of missing 3-year-old Elijah Vue ROCHESTER, N.Y. (WROC) The ALS community was brought together at Ontario Beach Park to for a community walk and fundraiser in support of fighting ALS. Walk to Defeat ALS is an event designed to honor family members, friends, caregiver, and others impacted by ALS. Despite there not being a known cure for the disease, WNY is rallying together to do whatever it takes to change that. ALS, often called Lou Gehrigs disease, is a progressive motor neuron disease that gradually takes individuals ability to walk, talk, swallow and eventually breathe. This years walk also featured an Ice Bucket Challenge station, giving people the opportunity to donate and take a cold plunge for the 10th anniversary of the challenge. Sasha Kourbotova, this years Rochester Walk Ambassador, spoke of her experience living with ALS and how the ALS Association has supported her and her family. Sashas strength in dealing with ALS, alongside her familys support, is genuinely inspiring. Her journey reflects a deep resilience that will be evident when she speaks at the walk. Her words, filled with compassion and courage, will resonate with everyone and highlight the remarkable way she and her family handle each day with grace and strength, WNY Care Service Coordinator Laura Pelow said. Last years event raised more than $175,000 with nearly 520 total walkers. All of todays participants who raised over $100 also received a commemorative t-shirt. Event registration began at 9:30 a.m. before kicking off the walk at 11:00 a.m. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to RochesterFirst. Woman Arrested for Allegedly Strangling Cat in Apartment Lobby: It Was Very, Very Shocking Police said the woman was arrested on animal cruelty charges and the cat was sent to a nearby humane society Vancouver Police USA/X.com Vancouver Police share a photo of Apollo the cat, who was sent to the humane society. A woman in Washington was arrested after police allegedly found her abusing a cat in an apartment complex. In a statement shared on social media on Thursday, Sept. 12, the Vancouver Police Department said the woman had been detained after she was allegedly seen strangling a cat. The department said that detectives with its domestic violence unit had gone to a residential complex for an unrelated call for service" when an apartment manager informed them about a tenant who was seen in the lobby strangling a cat. Detectives said they were eventually able to locate the woman, and they arrested her on charges of animal cruelty. The cat, whose name is Apollo, is fortunately okay and was transported to a local humane society, police said. Related: Owner of Rescue Organization Charged with Animal Cruelty After Authorities Find 12 Animal Carcasses According to a police report obtained by KPTV, the woman arrested for the incident was identified as 34-year-old Amanda Nichole Blanco. A bystander who lives at the apartment building told the outlet that she and others contacted Vancouver police after witnessing what happened. Want to keep up with the latest crime coverage? Sign up for PEOPLE's free True Crime newsletter for breaking crime news, ongoing trial coverage and details of intriguing unsolved cases. It was very, very shocking, one witness who did not want to identify herself told KPTV. I didn't wake up expecting to witness that. Regardless of where you find yourself, if you see any type of animal abuse, you should report it. Detectives said in the police report, which was also obtained by KATU, that Blanco appeared to be under the influence of drugs. Authorities added, per the report, that they observed her holding the cat by the neck as she walked around, the outlet said. Related: 27 Animals Found Dead at a Virginia Zoo Amid Animal Cruelty Investigation, Bengal Tiger Euthanized Blanco also allegedly appeared to shake the animal and squeeze the neck of the cat with both hands, the police report said, per KPTV and KATU. The woman told officers, according to the outlets, that the cat had been her emotional support animal. Sam Ellingson, a spokesperson for Humane Society for Southwest Washington, where the Apollo was sent, told KPTV in a statement, Any instance of pet cruelty is heartbreaking. Were grateful to have the opportunity to provide the care and support this cat needs and deserves, he continued. If anyone in our community witnesses a pet being abused or neglected, they should contact their local animal control or protection service. The Humane Society for Southwest Washington and the Vancouver Police Department did not immediately respond to PEOPLE's request for comment. For more People news, make sure to sign up for our newsletter! Read the original article on People. How woman with coconut placard was tracked down, taken to court - and acquitted Marieha Hussain had marched for three hours with her family, and the children with them were getting tired. We opened some snacks to keep them going, she said. They were part of a 300,000-strong group at a pro-Palestinian demonstration in central London on 11 November 2023. Then, somebody from my side of the street where I was standing called out and asked: Can I take a picture of your placard? This wasnt the first time shed been asked for a picture. Her familys placards, she said, had drawn a lot of attention. On one side of the placard was a cartoon of Suella Braverman, then the Home Secretary, dressed like Cruella de Vil from 101 Dalmatians. Ms Hussain held up the sign and posed. The voice called out, no, not that one, can you turn it around please? and I did. And that was it. Her account was told to Westminster Magistrates Court this week during her two-day trial on a charge of a racially aggravated public order offence. She was accused of this offence of which she was found not guilty on Friday because of what was on the other side of that placard. It was a drawing of a palm tree with coconuts falling off it; pasted over two of those coconuts were the faces of Ms Braverman and of the then-Prime Minister Rishi Sunak. At the heart of this case was the word coconut - and whether it could be considered racially abusive. The photo of Ms Hussain holding her placard was posted online by an anonymous blog [Metropolitan Police] Ms Hussain told the court that on the drive home from the demonstration, a family friend messaged to tell her that her photo had been posted by an anonymous right-wing blog called Harrys Place and that it was going viral on X (it has since been viewed more than four million times). It doesnt get more racist than this, the post said. Among anti-racists you get the worst racists of them all. Underneath she then saw a reply from the Metropolitan Police, saying that they were actively looking for her. Chris Humphreys, a member of Metropolitan Police staff working in the forces communications team that day, saw the post after the Met was tagged in it. The account that posted it typically generates a significant response, Mr Humphreys told the court. He was called to give evidence on behalf of the Crown Prosecution Service. In the 10 months since that day, anonymous accounts on social media called her a racist while tabloid newspapers published details of her family and the cost of her parents home. Ms Hussain, 37, also lost her job as a secondary school teacher. After the Metropolitan Police posted that they wished to identify Ms Hussain, she consulted with solicitors and voluntarily attended a police station three days later, on 14 November, she told the court. There, she gave them a prepared statement outlining who she was, what had happened that day, and her reasons for making the sign. I am a teacher of almost 10 years standing with an academic background in psychology, she wrote in the statement. It is exceptionally difficult to convey complex, serious political statements in a nutshell, and we did our best. She was not formally charged until six months later, in May this year. She found out she was charged from a journalist working for Al Jazeera, she told the court. At this point, the support for Ms Hussain from activists and campaigners grew increasingly vocal. When she first appeared at the magistrates court in June visibly pregnant to enter her not guilty plea, protesters stood outside the court held copycat coconut placards. This is our language The term "coconut" is instantly recognisable to many people from black and Asian communities in the UK. It is a word with a generally negative meaning and can range from light-hearted banter to more severe criticism or insults. What the court had to contend with was whether, on Ms Hussains placard, it could be considered racially abusive. Prosecutor Jonathan Bryan argued coconut was a well-known racial slur. "[It has] a very clear meaning you may be brown on the outside, but you are white on the inside, Mr Bryan told the court. In other words, youre a race traitor youre less brown or black than you should be. Mr Bryan said that Ms Hussain had crossed the line from legitimate political expression to racial insult. This was not the first time the term coconut has come before the courts: in 2009 Shirley Brown, the first black Liberal Democrat elected to Bristol City Council, used the term to describe Conservative councillor Jay Jethwa during a heated debate about funding for the councils Legacy Commission. The following year, in 2010, Ms Brown was convicted of racial harassment for the comment. She was given a 12-month conditional discharge and ordered to pay 620 in costs. Mr Bryan referenced Ms Browns case during this week's trial. For Ms Hussain, one of those whos been particularly fervent in his support is the writer and anti-racism campaigner Nels Abbey. The word coconut didnt fall out of a coconut tree, to quote Kamala Harriss mum, Mr Abbey told me after the trials first day, adding that the word fell out of our experience as former colonised people. The term emerged as a way of critiquing those who collaborated with our oppressors, he said. This is our language, he said. We share this language because we share a history, we share origins and share a community You cannot criminalise peoples history, and the language that emerged from that. In court, this was echoed by two academic experts in racism who gave evidence in support of Ms Hussain Prof Gus John and Prof Gargi Bhattacharyya. They quoted postcolonial theorist Frantz Fanon, Black liberation activist Marcus Garvey, the late poet Benjamin Zephaniah, and comedian Romesh Ranganathan, who has frequently joked that his mum calls him a coconut for not speaking Tamil. These were citations more commonly heard in a university lecture hall than a courtroom. The court heard that the investigating team had also contacted three experts in racism to give evidence for the prosecution, but they had all refused. One of those, Black Studies specialist Prof Kehinde Andrews, sent quite a lengthy response saying the word was not a racial slur, and asked that this be shared with the CPS. Prof John told the court he was disappointed that the CPS hadnt called any experts to support their case. Id have wanted to be informed and educated on when coconut is a racist slur, he said. I would have loved to see the evidence of that. Im not aware of that at all. Ms Hussain wrote in her statement that coconut was common language, particularly in our culture. Asked by her barrister Mr Menon what she meant by that, she answered that she had grown up hearing the word used among South Asians. If Im truly honest, sometimes, when I was younger, my own dad called me a coconut, she said, prompting laughter from the public gallery. 'Political satire' Ms Hussain also argued that her use of the term was a form of political critique against what she said were "politicians in high office who perpetuate and push racist policies". On Friday afternoon, District Judge Vanessa Lloyd ruled that the placard was "part of the genre of political satire", and that the prosecution had "not proved to a criminal standard that it was abusive". As the verdict was read out, cheers and whooping erupted from the public gallery while Ms Hussain burst into tears. Outside the court she said: The damage done to my reputation and image can never be undone. The laws on hate speech must serve to protect us more, but this trial shows that these rules are being weaponised to target ethnic minorities. "It goes without saying that this ordeal has been agonising for my family and I. Instead of enjoying my pregnancy Ive been vilified by the media, Ive lost my career, Ive been dragged through the court system." But, she said, "Im more determined than ever to continue using my voice" for Palestinians. Woman whose post ignited false Springfield cat claim says it was based on rumor Woman whose post ignited false Springfield cat claim says it was based on rumor The woman whose social media post helped ignite false claims of pets being eaten in Springfield, Ohio, said Friday the post was based on a rumor and that she feels remorse for the Haitian immigrants. Erika Lee, a Springfield resident, recently authored a post on Facebook, saying that her neighbors cat was lost and she was told it was because the pet was attacked by a Haitian immigrant. Kimberly Newton, Lees neighbor, acknowledged this week to NewsGuard, I dont actually know the person who lost the cat. Newton added that the cats owner was an acquaintance of a friend. Now, Lee, has said she was sorry about the way the events unfolded, with the unfounded conspiracy theories about Haitian immigrants amplified by both former President Trump and his vice presidential pick, Ohio Sen. JD Vance (R). It just exploded into something I didnt mean to happen, Lee said in an interview with NBC News on Friday. Lee, whose Facebook post has been deleted, stated she did not expect that local gossip would spiral into a national story. Im not a racist, she said. Everybody seems to be turning it into that, and that was not my intent. Lee said she is mixed race and her daughter is half Black. I feel for the Haitian community, Lee told NBC. If I was in the Haitians position, Id be terrified, too, worried that somebodys going to come after me because they think Im hurting something that they love and that, again, thats not what I was trying to do. Besides Lees post, other viral social media posts have also fueled the false accusations. Springfield officials debunked this weeks rumors that Haitian migrants were eating peoples cats and dogs. The city is still tussling with the fallout of the unfounded conspiracy theories. The Springfield City School District closed one middle school and evacuated two elementary schools Friday. Just a day prior, Springfield City Hall was evacuated after a bomb threat was issued to multiple facilities. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. By waiving her anonymity Gisele Pelicot has become a symbol of resilience and courage [REUTERS/Manon Cruz] When she walks into the courthouse in the French city of Avignon, flanked by her children and a team of lawyers, Gisele Pelicot cuts an unassuming figure. The 72-year-old mother and grandmother, her hair styled into a neat bob, wears colourful dresses and Breton tops. She looks down as she passes the dozens of journalists gathered by the entrance, her eyes hidden by round-framed sunglasses. Behind them, as she has put it, lies a "field of ruins". Nearly every day since 2 September, Gisele Pelicot has been at the centre of a trial in which 51 men are accused of raping her, including the man she was married to for 50 years. As her story has rippled through France since the trial began, she has become a symbol of courage and resilience. "I was sacrificed on the altar of vice," she said, explaining how she had learned that Dominique Pelicot had drugged her to sleep and recruited men to treat her "like a rag doll" for over 10 years. The trial, due to run until December, has so far heard evidence from lawyers, police, psychiatrists, and from another woman whose husband drugged and raped her following instructions by Dominique. Gisele Pelicot's daughter Caroline (C) gave evidence about seeing photos of herself taken when she was unconscious [CHRISTOPHE SIMON/AFP] The Pelicots daughter, Caroline, who believes her father abused her when she was unconscious, has also taken the stand. Dominique Pelicot has admitted the charges against him, although he denies abusing his daughter. Unsettling details of the defendants' pasts, psyches and alleged crimes have filled the airwaves, news websites and social networks. This kind of access has only become possible because Gisele has waived her right to anonymity. In a case of such magnitude it is an unusual decision, not least because it means thousands of videos of the alleged rapes filmed by Dominique Pelicot - in some cases surreptitiously - will eventually be played in open court. Gisele's only request was that her children be allowed to leave the room when that happens. Protests have taken place outside the court in Avignon, but wider actions are planned across France [Christophe SIMON / AFP] Her legal team said opening up the trial would shift the "shame" back on to the accused. Above all, the case has ignited a painful and often uncomfortable discussion about rape that many in France say is long overdue. Protests are due to be held across the country on Saturday "in support of Gisele Pelicot and of all rape victims". When Gisele gave evidence that she had to "start over from scratch" and was now only living off a small pension, an influencer set up an online collection that made 40,000 (33,700) in under a day. It was quickly shut down following a request from Gisele's legal team, who saw it as a possible distraction. One key issue this case has thrown up is the little-discussed phenomenon of chemical submission drug-induced assault in the home. In 2022, 1,229 people in France suspected they had been drugged without their knowledge, according to Leila Chaouachi, a pharmacist at the Paris addiction monitoring centre and an expert on drug rape. That number is probably only the tip of the iceberg, she believes. Victims often hesitate to file legal complaints because they know the assailant, they might be ashamed, or they have hazy memories of what happened. Complaints also need to be filed before the substances disappear from the body, which is not always possible. For the 10 years her husband was drugging her, Gisele Pelicot had unexplained neurological symptoms as well as gynaecological issues, and yet no-one put the clues together. It points to a lack of awareness of chemical submission as a phenomenon. Dr Chaouachi says training healthcare professionals and police is important, because the key to stemming the issue lies in recognising that there are others out there besides Gisele. We have the right to be shocked, but we also need to recognise that these aren't isolated cases, she says. When we only focus on the justice system and investigators, we're hiding behind them in some way. I think it's a broader societal issue, and therefore it's societal change that we need. Judging from opinions voiced on the streets of Paris, that view is not universally accepted. In all, 51 men are on trial, but dozens more have not been identified [Christophe SIMON/AFP] It's a private affair, said one man, who thought the case was awful but still an isolated event and not one for public debate. I don't understand why the media are making such a big deal about it. It is because people like drama, gossip. A friend agreed: If you hadnt asked the question, we wouldve never discussed this." But a female companion said they were both wrong: It's important this case is public... it raises a broader issue and raising awareness of it is necessary for change. What has shocked so many in France is the sheer number of men involved in the case. Police were only able to identify 50 suspects out of the 83 that appeared in Dominique Pelicots videos. Their ages range from 26 to 68 and they hail from all walks of life - firefighters, pharmacists, labourers and journalists. Many are fathers and husbands. Of the other men accused, 15 admit rape, but all the others admit only to taking part in sexual acts. What shocked me even more is that so many men could have done this - more than 50 normal men, who all lived nearby," said Caroline, a 43-year-old doctor from Paris. "[Pelicot] didn't even have to look very far for them. It really scares me because it is a reflection of society. It's not the norm, but there are too many. Many of the defendants came from the same area as the Pelicots, who lived in the pretty village of Mazan [BBC] Celine Piques of feminist organisation Osez le Feminisme hopes the fact that the accused come from ordinary backgrounds and all kinds of professions will mean that this trial has a lasting impact. "It demolishes the myth of the rapist who is a psychopath... they raped because they were sure of their impunity." Another concern that has not escaped the large numbers of women across France who are following the Pelicot case is that many other men knew and did nothing. Dominique Pelicot had invited men to have sex with his wife without her knowledge in a post on the Coco.gg website, which was shut down only last June. Last year it counted 500,000 visitors a month. One hundred per cent of these people... never made a phone call to stop this abuse, says Celine Piques. Not one man thought about informing the police of these criminal facts. The Avignon trial is also dredging up questions over the language surrounding rape. The defence of many of the accused hinges on the premise they did not know they were raping Gisele - in other words, that they thought they were having consensual intercourse with her. Some have accused Dominique Pelicot of manipulating them into believing they were taking part in an erotic game in which Gisele was only pretending to be asleep because she was shy. At least two of the defendants stated they did not feel they had raped Gisele because she had been offered to them by her own husband, and one man said he did not consider his actions rape because "for me, rape is when you grab someone off the street". "I don't have the heart of a rapist," he added. Gisele Pelicot's decision to waive her anonymity has prompted France to reassess its legal definition of rape [REUTERS/Manon Cruz] Summing up this line of defence earlier this week, Guillaume De Palma, a lawyer for six of the defendants, caused outrage when he said that rape is not always rape, and argued that without the intention of committing rape, there is no rape". In French law, rape is sexual penetration obtained by constraint, violence or surprise and Gisele Pelicots lawyers are expected to argue that surprise covers the case of a sedated or unconscious woman. But the comments caused outrage and dismay in the courtroom and beyond. Gisele's daughter Caroline stormed out of the trial exclaiming I am ashamed of the justice system, while the president of the court suspended the session amid a mood that reporters described as extremely tense. Other lawyers reportedly distanced themselves from De Palmas comments. With the trial due to run for three more months, Frances soul searching will continue. It has shown how far behind we are at all levels, said Sandrine Josso, an MP who was the victim of an attempted drug rape by a senator in 2023. Thanks to Gisele Pelicot, she said we lift the veil, and we discover a lot of things. The ordinary nature of the couple at the centre of the trial middle-class pensioners and grandparents has made it easy for observers to identify with the story. I thought it could be my mother, my sister and my father, said Charley, a 35-year-old man living in Paris. For me, it's the trial of the century, he added. There will be a before and there will be an after. Additional reporting by Eva Van Dam SHREVEPORT, La. (KTAL/KMSS)Louisiana Goes to College will host an enrollment workshop to help students navigate the college application process, which can be incredibly challenging for families who have never experienced it. Kesha M. Simmons, a former high school guidance counselor, founded Louisiana Goes to College after discovering a startling fact about college enrollment. Louisiana Goes to College enrollment workshop (Source: Louisiana Goes to College via Kesha M Simmons Facebook) What we found in looking at the data was the federal Louisiana had among the worst current retention rates in the nation, with only 50 percent of students graduating from college, Simmons said. And as we go deeper, we found that first-generation college students and students with disabilities were due to multiple groups of students were dropping out. So that is where our work began at Louisiana Goes to College to work specifically with first-generation college students. Botched college financial aid causes delays with student enrollment plans Simmons says the retention rate has jumped to 67 percent, which she attributes to Louisiana Goes to Colleges focus on college readiness and mentorship for first-generation students. Simmons said the workshop would benefit sophomores, juniors, and seniors as they decide their futures. On Saturday, September 21, the organization will host the first of many enrollment workshops geared toward helping families manage applications, deadlines, and even changes to the FAFSA. Interested high school students should register for the workshop by filling out the Google form or scanning the QR Code on the flyer. The event will be held at the Broadmoor Branch of Shreve Memorial Library, 1212 Captain Shreve Dr., from 11 a.m. to 1 p.m. For more information about Louisiana Goes to College, visit YouToo, Inc. Tune in to KTAL News Now on Tuesday at 1 p.m. to watch the full interview. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to KTALnews.com. RICHMOND HILL, Ga. (WSAV) When a storm begins to churn, all of Georgias emergency personnel, in cities and counties alike, begin to watch and pull out their planning documents. Many local governments supply these public documents on their websites called Hazard Mitigation Plans, defined by the Federal Emergency Management Agency (FEMA) as: A proactive effort to identify actions that can be taken to reduce the dangers to life and property from natural hazard events. These long-term strategies include planning, policy and regulation changes, educational programs, infrastructure projects, and other activities. This is copy of Bryan Countys Hazard Mitigation Plan, which the City of Richmond Hill Adopted on February 4, 2020. Bryan-County-Hazard-Mitigation-PlanDownload Richmond-Hill-Hazard-Mitigation-AdoptionDownload In 2000, the federal government required the adoption of these pre-planning documents for all 50 states in order to qualify for federal assistance after a disaster strikes. Essentially, a hazard mitigation plan helps communities identify weak spots to either man-made or natural disasters and allows officials to come up with and adopt strategies to eliminate or reduce those weak spots. When a threat is imminent, a community begins to complete a task list in preparation for the storm. This plan is not much different that what homeowners do to prepare for storms or other disasters. WSAV Investigates: Pembroke reports $104,000 in Tropical Storm Debby damage Some tasks include: Making sure there is enough gas, making sure ditches are cleaned out, confirming that all communications systems work and telling emergency personnel they are now on call and expected to respond. The city of Richmond Hill, along with Pembroke and Bryan County, began going through this plan in their respective areas while Tropical Storm Debby was still deciding where it was going to go and how strong it was going to be. You can see just how well the city of Richmond Hill worked in lock-step to make sure they battened down the hatches or prepared when going through the dozens of emails supplied to WSAV via Open Records requests. Richmond-Hill-Open-Records-1Download Richmond-Hill-Open-Records-2Download The carrying out of the Hazard Mitigation Plan all changes when one person makes one declaration; the governor declares a state of emergency, which is what Gov. Brian Kemp issued on Aug. 3 in response to Tropical Storm Debby. Georgia Prepares for Tropical Storm Debby; SOE Declared | Georgia Emergency Management and Homeland Security Agency The emergency declaration allows for the state of Georgia to activate state resources. It activates the National Guard, which is what is commonly understood by citizens. But the declaration does more than that, it is a signal to all Georgia counties that they are now, essentially, part of the states emergency response team and may be called upon to help another county. The county EMA director becomes personnel of the state in their respective location, even in counties not impacted. GEMA-County-Disaster-SOGDownload According to the Georgia Emergency Management Agencys (GEMA) County Disaster Logistics Standard Operating Guide: The logistics response to a disaster is most effective when each level of government federal, state and county, is knowledgeable and prepared to execute its role in the logistics system. The intent of this SOG is to help ensure County Emergency Management Agency (EMA) Directors are aware of how the State Resource Request (RR) System functions, of key county logistics responsibilities, and to provide or direct them to key tools to aid in preparedness efforts. To this end, this SOG is written specifically to aid the County EMA Director. For the most simple of examples: If county X needs five dump trucks, but can only get two (either using their own or via a contract already signed), those other three need to come from somewhere else. The EMA director, as part of GEMA, initiates that request through a portal called WebEOC, which puts out the call to other Georgia counties that have dump trucks and arent using them currently. This declaration also tells Georgia EMA directors to: Set up its Emergency Operations Center Activate its PODs or Points of Distribution (which is where residents would go to get needed supplies) Activate any contracts with local entities that would assist in providing shelter. As part of the Office of the Governor, the Georgia Emergency Management and Homeland Security Agency collaborates with local, state and federal governments in partnership with private sector and non-governmental organizations to protect life and property against man-made and natural emergencies. GEMA County Disaster SOG Page 1, 2.1 Richmond Hill, through all the work within its own government, communicated regularly with each other as shown in the open records request. It did not, however, begin to include Bryan County EMA in its discussions, request for information or request for resources, despite the governors emergency declaration on on Aug. 3. The outcome wasnt affected by anything anyone did: Richmond Hill details Tropical Storm Debby response In Richmond Hills Municipal Emergency Document published prior to Aug. 1, the concept of operations section says: This is an integrated Municipal Emergency Operations Management Plan based on the principle that local government bears the initial responsibility for mitigation, emergency preparedness, response and recovery. Only after local resources are depleted or prove to be inadequate should the city request relief from the next level of government which would be Bryan County. Additionally, state assistance is supplied as deemed appropriate by the Governor and as provided in the State Emergency Management Plan. Page 5, III A. RH-Municipal-Disaster-PlanDownload Bryan Countys Emergency Operations communications began after the governors declaration around midnight on Aug. 4 when interim EMA director, Anthony Van Es, invited all local government representatives, large corporations, the school system, the sheriffs office and state agencies to a web conference call to discuss the storm, National Weather Service updates and next steps. Aug-4-Van-Es-TS-Debby-UpdateDownload An opening of the Emergency Operations Centers physical location a day later, on Aug. 5, began providing emergency support functions as defined by GEMAs Logistics Management Plan. This location, according to GEMA, is expected to have everything from restrooms and food to a helicopter landing area and a place to provide vehicle maintenance. GEMA-Logistics-PlanDownload The Emergency Operations Center is also expected to have local agency representation to help relay information from their various areas to the EMA director for input into the states WebEOC system. All supporting governmental agencies, NGOs, and VOADs shall cooperate fully with GEMA/HS, by providing any personnel, equipment, information, or any other assistance that may be requested by the Governor, the Director of GEMA/HS, or Head of a Supporting Agency to coordinate all response and recovery efforts. GEMA SOG Page 2, 2.1 Bryan County Administrator Ben Taylor provided answers to WSAVs Open Records Request in the public forum of the Sept. 10 county commission meeting. Bryan County releases timeline of Debby response; 264 homes impacted WSAV asked for a list of who was inside the EOC once it opened, and Richmond Hill had no representative there Aug. 5 or 6, according to Taylors presentation. There were also no requests from Richmond Hill for assistance or resources. Richmond Hills Municipal Emergency Response Plan says, The Mayor/City Manager will assume the duties of incident Commander and if the County EOC is activated, a city presentative is assigned (sic) to the County EOC. Page 7, OPCON 2 RH-Municipal-Disaster-Plan-1Download According to GEMAs Logistics Operations Guide, the EMA director is expected to request all resources not available from local sources into GEMAs WebEOC so that resources can be re-located to the incident location. Es did not request through WebEOC a personnel resource to stand in for the city of Richmond Hill once the citys absence was recorded. GEMA-Logistics-Plan-1Download A County EMA Director identifies a need for a resource. The county tries to fill the need from existing resources, county rental/purchase, private citizen loan/donation, VOAD or via their established intrastate mutual aid agreements. If this is not possible, the County EMA Director submits a resource request via WebEOC or calling 1-800-TRY-GEMA and speaking to an SOC Action Officer. Page 12 5.7.1 On Aug. 10, the city of Richmond Hill opened its own Emergency Operations Center, communicating with its residents via Facebook to inform where they could retrieve sandbags, warning of a power shut off and information on how to volunteer and that if the city needs a specific need it will come from an official City of Richmond Hill source. Communications between Richmond Hill and Bryan County EMA began on Aug. 10 with a request for sandbags and support from the Georgia Department of Natural Resources and the Georgia National Guard. Bryan County activated a POD, or Point of Distribution, with a trailer set up at Kroger. According to Open Record Request results from Richmond Hill, Bryan County did not inform Richmond Hill city officials of its POD via any form of written communication. On Aug. 12, Richmond Hill provided representation inside the EMAs EOC from police and fire department personnel. The city of Richmond Hill began requesting aid supplies from the community on Aug. 12 via Facebook. On Aug. 13, Fire Chief Brendon Greene reached out to Bryan County EMA requesting food, shelter and transportation, which began official communication between the two governments. The governors declaration of emergency expired on Aug. 20, resulting in all operations going back to normal. Debris pick-up commenced in Richmond Hill flooded areas on Aug. 22; in open records requests from Richmond Hill and Bryan County, the two governments tried to work together to speed up the clean up process. Bryan County offered assistance on Aug. 28, but according to Richmond Hill City Manager Chris Lovell, additional debris removal resources had already been obtained to assist in the cleanup. Volunteers have continued to operate the resource center at the community house along with area nonprofits to help those impacted by the flood. Organizations from around the country arrived to lend a helping hand to residents stuck in their homes, clean out damaged homes and be a resource for families who have no home to return to. Those organizations, along with other city and county residents, continue to work together to help their neighbors. Communication is rock solid between all of them. The absence of Richmond Hill representation inside the Emergency Operations Center and the failure to have one appointed created was some people call, operating in two different universes. Communication, a key player in having what the state calls COG, or continuity of government, broke down between the city of Richmond Hill and its County leadership. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WSAV-TV. Detectives with the Redmond Police Department say they arrested a camp counselor this week, who is accused of molesting two children during a YMCA summer camp. Detectives say the assaults occurred between July 8 and August 23 at Redmond schools. 18-year-old Leonardo Louie was arrested Wednesday and has been charged with two counts of child molestation in the first degree. Detectives say if other victims have not come forward, they should give the Redmond Police Department a call at (425) 556-2500. We encourage parents to have conversations with their children about inappropriate actions by adults and remind them to tell a trusted adult immediately if unwanted contact occurs, said Chief Darrell Lowe in a news release. Conversations about sexual assault can be difficult. Additional resources can be found at the King County Sexual Assault Resource Center at (888) 998-6423 as well as on their website at www.kcsarc.org. QUEENS, N.Y. (PIX11) The streets of Queens will be closed for The Moon Festival Parade on Saturday, according to the New York Department of Transportation. The Moon Festival will be held in Flushing, celebrating the important Mid-Autumn Festival for the Asian community. More Local News Mid-Autumn Festival, also known as the Moon Festival, is the second most important festival besides Lunar New Year in many Asian countries, such as China, Singapore, Malaysia, and the Philippines, read the shops at Skyview. Its a reunion time for families, just like Thanksgiving. People celebrate it by gathering for dinners, doing barbeque outdoors, enjoying the full moon, and eating mooncakes. The Queens community will celebrate the Mid-Autumn Festival with Asian ribbon and feather dancing and various foods such as mooncakes, snacks, and teas. The following streets will be closed for the Moon Festival Parade: Formation begins on: 38th Avenue between Price Street and Main Street Parade route: Main Street between 38th Avenue and Elder Avenue Parade dispersal: Elder Avenue between Main Street and Colden Street Matthew Euzarraga is a multimedia journalist from El Paso, Texas. He has covered local news and LGBTQIA topics in the New York City Metro area since 2021. He joined the PIX11 Digital team in 2023. You can see more of his work here. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to PIX11. YOSEMITE NATIONAL PARK, Calif. The calendar still says summer, but winter is coming early to parts of Californias Sierra Nevada this week, including Yosemite National Park. A trough of low pressure diving out of the Pacific Northwest is swinging southeast through Northern and Central California on Monday, bringing a pool of cool air and moisture along for the ride. Snow levels will drop to 8,000 feet, likely bringing measurable snow to parts of the Sierra Nevada. A Winter Weather Advisory is in effect for a swath of the mountains, including those inside Yosemite National Park. Tioga Pass and Tuolumne Meadows could see up to 3 inches of snow, with even some measurable snow as low Yosemites Badger Pass by Monday afternoon, according to the National Weather Service in Hanford, California. Cooler temperatures and scattered rain showers will push into the lower elevations, bringing a respite from what has been a relentlessly hot summer in the San Joaquin Valley. Highs are expected to drop below 90 degrees. While this initial dollop of mountain snow will taper off by late Monday, another trough of low pressure may bring another blanket of mountain snow in the middle of the week. Original article source: Yosemite National Park areas to see measurable snow on Monday YOUNGSTOWN, Ohio (WKBN) Members of the Ohio Legislature joined local officials Friday evening at Youngstown State University for whats believed to be a first of its kind event for the Mahoning Valley. The event took place at the Presidents house on the Youngstown State campus which has been occupied by Bill Johnson since he became President in January. President Johnson hosted members of the Ohio Legislature from all across the state from both the House and the Senate. They were joined by local politicians and business leaders as an informal way to learn about what each wants. President Johnson spoke earlier Friday about what he expected to accomplish. Because we get a third of our funding from the state, we need to be seamlessly integrated with the local community in order to manage the workforce product, the skilled students that were putting out here with a quality education. So this is a collaborative event designed to help our region, Johnson said. The evening started informally on the patio of the Presidents house. Johnson wanted to show state lawmakers whats being done at YSU where enrollment was up this year. It was also a chance to tell them the success stories of the Mahoning Valley. I think we need to further the relationships that we have with our state legislators so that they understand the opportunities the Mahoning Valley has at its fingertips, Chamber President Guy Coviello said. Were just the furthest away from the state capitol which is why its so important to make concerted efforts like this to make sure that Columbus remembers Youngstown is here, said State Representative Lauren McNally. McNally invited everyone at the gathering to come downtown afterward to not only enjoy the nightlife but also see whats still needed after the Realty Tower explosion. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to WKBN.com. When David Matuszak looks out over Live Oak Canyon from his four-acre horse ranch, he has a sweeping view of farm fields and grazing cattle. For nearly 40 years, hes been riding equestrian trails dotted with coastal oaks, chamise and buckwheat and flanked by the snow-capped mountains of the San Gorgonio Wilderness. Its one of the most scenic areas of Southern California, said the author and retired high school teacher who also serves as president of Friends of Live Oak Canyon, which he describes as a grassroots environmental homeowners association. But Matuszak and others fear that could change if the city of Yucaipa green-lights the construction of two massive warehouses in an undeveloped area about a mile from Matuszaks ranch. They say the project would spoil natural spaces and undermine the towns rural character, bringing increased traffic congestion and air pollution. They fear its part of a push by city officials to remake Yucaipa into yet another Inland Empire logistics hub dominated by giant fulfillment centers and rumbling diesel trucks. Thats exactly what were worried about, that were the next Fontana, Ontario or San Bernardino, said Kathy Sellers, a retired San Bernardino courts reporter whos lived in Yucaipa for 38 years. Thats why we all live out here, to get away from that. Jurupa Hills High School borders multiple large warehouses in Fontana. Some Yucaipa residents are protesting the planned construction of a massive warehouse facility there, saying that Fontana and other communities have suffered amid the spread of logistical warehouses. (Myung J. Chun / Los Angeles Times) San Bernardino and Riverside counties are already home to an estimated 4,000 warehouses that span some 37 square miles the largest contiguous cluster on the planet, according to a report released by environmental groups last year. The sprawling area east of Los Angeles sits near freeways and rail spurs that ferry goods to and from the busy ports of L.A. and Long Beach. Demand for the logistics centers was further driven by an explosion in e-commerce during the COVID-19 pandemic. The growth in warehouse development has raised concerns about poor air quality, increased cancer risk and the destruction of green areas that act as natural carbon sinks. More than 60 organizations, including Friends of Live Oak Canyon, signed a letter calling the surge one of the most critical environmental justice issues facing the region and urging Gov. Gavin Newsom to declare a state of emergency over its public health implications. Already, studies consistently rank the Inland Empire as having among the worst air quality in the nation. The region is forced to contend with high levels of diesel particulate matter, said Timothy Mullins, who moved to Yucaipa 25 years ago to escape development in Redlands. By this project going in, we're going to be even more burdened, and the health of the community is going to be degraded, he said. Read more: Why a bill to regulate California warehouse development is generating sweeping opposition Until recently, Yucaipa had largely been spared from this type of development. Now, a 363,000-foot warehouse near the border with Calimesa is slated for completion in the next couple months. And the project currently being considered dubbed the Pacific Oaks Commerce Center would consist of two buildings of roughly 1 million square feet each and generate some 1,100 daily truck trips, according to a traffic study. The project would be a financial boon to the city, which has struggled with a growing budget deficit that has officials planning cuts to public safety and community services should voters fail to pass a November ballot measure that would raise the sales tax. Developers would pay roughly $14 million in impact fees and invest millions more in infrastructure improvements, including building a water line and widening a portion of Live Oak Canyon Road, said Benjamin Matlock, Yucaipa city planner and deputy director of community development. That would help the city attract other projects to the area, including badly needed housing, he said. The developers have also agreed to provide funding for an aerial ladder truck for the Yucaipa Fire Department, he said. An aerial view of the site where developers hope to build two 1-million-square-foot warehouses in the Live Oak Canyon area of Yucaipa. (Allen J. Schaben / Los Angeles Times) The infrastructure upgrades would total more than $37 million, financed without using taxpayer dollars or bonds, according to Dan Floriani, co-founder of project developer Pacific Industrial. The project would include 96 acres of permanent open space with a trail accessible to the public. An economic benefits analysis by a third-party consultant estimates it would create 1,200 to 1,600 permanent jobs, he wrote in an email. Edward Timmons, whose children are fifth-generation Yucaipa residents, once worked as a manager at a large fulfillment center in Rialto. He said the work was dominated by low-paying, low-skilled jobs with high rates of attrition. Your average employee would stay there about three months; average manager, four to six months, he said. Its not a place where you build a career. Its a place that fills in the gaps until you find a better place to work. He also questioned whether the project would provide the long-term economic benefits the city is hoping for. The local logistics industry has cooled since the pandemic, with warehousing and storage jobs shrinking for the first time in more than two decades and industrial building vacancies rising, according to an L.A. Times report published earlier this year. Timmons, who now works as a real estate broker and mortgage loan originator, pulled listings within a 30-mile radius of Yucaipa and tallied about 27 million square feet of vacant warehouse space when it comes to warehouses over 250,000 square feet. Read more: 'Who's going to live here?' What happens when an e-commerce warehouse takes out your neighborhood Timmons and other residents said that, while talks between the city and developers have been taking place for four years, many locals didnt become aware of the proposal until it went before the planning commission in June. In July, the commission voted 3-2 not to recommend the project. Nobody wants this, Timmons said. In order for the project to go forward, Yucaipas City Council must both approve it and update a 2008 plan that specifies how the citys freeway corridor a 1,200-acre area bisected by Interstate 10 should be developed. The council is expected to vote Sept. 23. Some residents say a proposal to build a massive shipping warehouse in Yucaipa threatens to turn the rural area into an Inland Empire logistics hub. (Allen J. Schaben / Los Angeles Times) The 2008 plan already permits warehouses in certain areas, said Matlock, the city planner. The proposed update would reconfigure where those warehouses could be built, from an area closer to the freeway to a more discrete location, he said. The developers went through an exhaustive effort to design the site to be adjacent to a wastewater treatment facility and tucked behind hills, he added. Kristine Mohler, who was on the committee that drafted the 2008 freeway corridor plan during two years of meetings, said the choice to zone retail, commercial and industrial activity next to the freeway was deliberate, so that people would go on and off the freeway and shop and do those type of things and not have such a tremendous impact on housing areas and the land. The original plan earmarked the internal portion of the corridor for housing and open space, whereas under the proposed update, the warehouse project would be at its core, with housing around it, she said. Thats just absurd for that area," she said. "So what we originally planned, which we thought was very efficient, as non-invasive as possible, has turned into a huge warehouse hub. And thats just not what we had in mind." Read more: Warehouse boom transformed Inland Empire. Are jobs worth the environmental degradation? Although the warehouse complex wouldnt be visible from the freeway, it would be visible from nearby trails and open spaces, said Sherli Leonard, president of the Redlands Conservancy. The nonprofit manages a 341-acre preserve thats about a half-mile from the proposed complex and another 70 acres thats nearby. The views are lovely, she said. And its not just that theyre lovely but they actually benefit the human psyche. Look at car commercials: they don't ever show someone driving through a warehouse district or even a neighborhood. The land earmarked for the complex is privately owned and not open to the public. However, she said it is a wildlife corridor for mountain lions, coyotes, foxes, bobcats and the occasional bear. It would cause significant damage to that environment, to that habitat, and also open the door for more such things thats from a conservationist standpoint, she said. From anybodys standpoint, it will introduce 18-wheelers to a freeway offramp that is already seriously congested at many times of the day and there isnt any way to mitigate that, you just have to deal with it. Read more: California city approves development project near Earth's oldest living oak tree When Matuszak moved to Yucaipa in 1977 to teach exercise science and biomechanics at the local high school, the nearby communities of San Bernardino and Redlands were dominated by orange groves and open fields where farmers grew strawberries and onions, he said. Now, there are miles and miles of these warehouses and its concrete concrete roofs, concrete walls, parking lots and so forth, he said. That's created a heat island effect that's raised local temperatures by several degrees on top of global warming, he said. Were seeing the beginnings of that same push to extend what they're calling the logistics capital of the world all the way out into our neck of the woods, he said. And were just furious about it. Were going to do everything we can to stop that from happening. This story originally appeared in Los Angeles Times. ZANESVILLE, Ohio (WCMH) A Zanesville man who was found guilty of multiple drug trafficking and possession charges will serve over two decades in prison, while another man arrested during the investigation will serve at least 15 years behind bars for vehicular homicide. According to the Muskingum County Prosecutors Office, Nicholas Schilling was sentenced to 22 years for multiple counts of drug possession and trafficking of a fentanyl-related compound, methamphetamine, and methylphenidate. Schilling was also found guilty of illegal assembly of chemicals to manufacture drugs and felonious assault involving the use of a firearm. He was found not guilty on two counts of aggravated robbery. The charges stem from reports of gunfire on May 12, and police receiving a tip the next day that Schilling was the shooter. On May 14, police began to stake out the home and noticed that Colt Lightfoot, wanted for a fatal crash in which he reportedly fatally struck a motorcyclist while under the influence of illegal drugs and fled the scene, had entered the home. A probable cause search warrant was issued and police found a large bag of narcotics, drug paraphernalia, items used to manufacture drugs, bags filled with white crystals, digital scales and several guns. A second search warrant uncovered a large bag filled with pink powder, believed to be fentanyl. Also found were bags filled with unknown pills, strips of suboxone, known as a drug used as treatment for opioid addiction, and more bags of crystal-like substances as well as more pink powder, later identified as methamphetamine and fentanyl. Police eventually found the shooting victim, and although he refused to cooperate with detectives, text messages between the victim and Schilling connected the two regarding the shooting. Additional text messages tied Schilling with his drug trafficking activities with numerous people. Nicholas Schilling (Courtesy/Muskingum County Prosecutors Office) Schilling, who was also ordered to pay $30,000 in fines and surrender all firearms, has requested a court appointed appeal attorney and filed a motion for grounds for a new trial. Lightfoot, who served prison time in three other theft and burglary cases as far back as 2002, was arrested, tried and convicted for vehicular homicide. He was sentenced to 11 to 16 1/2 years in prison. He was also found guilty of two counts of grand theft of a motor vehicle, burglary, receiving stolen property and breaking and entering. For those charges he was sentenced to three terms of 18 months, one term of 12 months and one term of four years. Those sentences are to run concurrently, but consecutively when paired with his vehicular homicide conviction. Lightfoots total sentence, therefore, is 15 to 20 1/2 years in prison. The shooting victim identified in Schillings case has a record for drug related offenses in Muskingum County Court of Common Pleas, but he was not charged in this incident. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to NBC4 WCMH-TV. Zelensky reveals details of July call with Trump, says he claimed to be 'very supportive' President Volodymyr Zelensky has revealed Donald Trump told him during a call in July he is "very supportive," and would do "everything to strengthen Ukraine" if elected president. In a preview clip of an interview with CNN to be aired on Sept. 15, Zelensky said Trump also told him "he understands how difficult it is to survive during the war." The comments are at odds with Trump's previous public statements on Ukraine. During the presidential debate on Sept. 10, the former president reiterated earlier statements that his primary concern was ending the war which he has previously claimed he could do in 24 hours but refrained from providing any concrete details. Media reports indicated that Trump privately suggested he would end Russias war by pressuring Ukraine to cede occupied Crimea and Donbas to Moscow. He voiced strong criticism of American aid to Ukraine at a campaign rally in Detroit on June 15, describing Zelensky as "maybe the greatest salesman of any politician that's ever lived" for securing substantial financial support from the U.S. The U.S. has provided historic levels of foreign aid to Ukraine since the start of the full-scale invasion, sending over $100 billion in military assistance and budget support critical to fighting off Russia and helping the government continue to operate. During the debate, Trump also refused to answer whether Ukraine being victorious was in the best interests of the U.S., instead insisting that it was in Americas best interest to get this war finished and negotiate a deal." Trump's vice-presidential running mate Sen. J.D. Vance said in an interview on Sept. 12 that Donald Trump's plan to end Russia's war could include the establishment of a special demilitarized zone between Ukraine and Russia, among other arrangements. When asked by CNN's Fareed Zakaria how Zelensky understands Trump's comments that he would end the war in 24 hours, Zelensky dismissed the comments as "election messages," that may not reflect what his actual policies will be if he is elected president. "I can't understand it for today because I don't know the details what he means and what does it mean," Zelensky said, referring to Trump's alleged plan. "My position is that the election period and election messages are election messages. Sometimes they are not very real," Zelensky said during a clip of the interview, which is set to be fully published on Sept. 15. Zelensky did however acknowledge that Trump's statements have made some Ukrainians "nervous." Zelensky will visit the U.S. later this month to present President Joe Biden with a victory plan, which he told CNN would also be presented to Vice President Kamala Harris and Trump, ahead of the upcoming November presidential election. Ukrainian officials, including Zelensky, have stressed that Kyiv will continue to cooperate productively with any U.S. administration, regardless of the outcome of the November election. Read also: Is Russians at War propaganda? We asked 7 people in film who saw it Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Ukrainian President Volodymyr Zelenskyy has revealed details of a conversation he had with US presidential candidate Donald Trump two months ago, saying that Trump was very supportive and understood the challenges of surviving during a war. Source: Zelenskyy in an interview with CNN journalist Fareed Zakaria Details: Zelenskyy also mentioned that he would first share his peace plan with US President Joe Biden. He added that it would be fair and proper to share the plan with both presidential candidates, Donald Trump and Kamala Harris. Additionally, since many decisions depend on the US Congress, the president plans to present the peace plan there as well. Afterwards, the peace plan will be revealed to the public. Commenting on Trump's recent statements, Zelenskyy said he didn't fully understand them because he wasn't sure what Trump meant. Quote: "My position [is] that election period and election messages are election messages. Sometimes they are not very real and thats why I think these messages can make us nervous, all of our people, of course. But I had a phone call with Donald Trump and he said he is very supportive and we had a good conversation. It was, I think, two months ago. He understands how it is difficult to survive during the war. Thats why I want to share this plan. To hear his reaction." More details: The full interview will be aired on the show Fareed Zakaria GPS on CNN on 15 September. Background: During a recent US presidential debate, Donald Trump twice avoided directly answering whether he wanted Ukraine to win the war, stating instead that it was in the interest of the US for the war to end. Support UP or become our patron! President Volodymyr Zelenskyy has called on the United States to implement agreements on the supply of military aid without delay. Source: Zelenskyys evening address Quote: "I met with a delegation of members of the US Congress. It is important to keep our partners fully informed about our needs and our positions at all levels. It is critically important that everyone, especially countries like the United States, implement our agreements promptly. This should be felt on the battlefield. Every delay in [the supply of] military packages has negative consequences at the front. Every genuinely timely, fast delivery has a positive impact. I briefed the members of Congress on the current situation and prospects. We are also preparing for meetings in the United States." Details: Zelenskyy later posted a video of the meeting with the Congressmen. "I told them that in the near future, I plan to personally present a plan for Ukraine's victory to Joe Biden and the Republican and Democratic presidential candidates," he said. Support UP or become our patron! Apple Intelligence will be blocked for almost two billion people and we don't know for how long When you buy through links on our articles, Future and its syndication partners may earn a commission. Credit: Apple iPhone 16 preorders have opened, and Apple fans worldwide are heading in their thousands to the companys online store to secure an iPhone 16, iPhone 16 Plus, iPhone 16 Pro, or iPhone 16 Pro Max. This years biggest upgrade is Apple Intelligence, the forthcoming suite of advanced AI features coming to iPhone, iPad, and Mac. However, two regions with a combined population of nearly two billion people are set to lose out on Apple Intelligence entirely. Apple wont be bringing Apple Intelligence to the EU, and we can now confirm that Apple Intelligence wont be available on iPhones sold in mainland China either. Apple refers to this region as China mainland, which we gather does not include the territories controlled by the Republic of China, most notably Taiwan, nor the Special Administrative Regions of Hong Kong and Macau. The EU The situation for Apple Intelligence in the EU is complex to say the least. As we previously reported, Apple wont bring Apple Intelligence to the EU because of the Digital Markets Act (DMA), a piece of EU legislation designed to protect competition and prevent monopolies. Apple has begrudgingly adhered to the DMA through EU-exclusive features like app sideloading, without offering the same to the rest of the world. Apple told us in June that the DMA has created regulatory uncertanties that make launching Apple Intelligence in the EU unfeasible due to the regulatory uncertainties brought about by the Digital Markets Act (DMA), we do not believe that we will be able to roll out [] Apple Intelligence to our EU users this year Apple Intelligence will not be available while physically in the EU so long as your Apple ID country/region is also within the EU. Both of these conditions need to be satisfied for Apple Intelligence to be blocked. This means that visitors to the EU, who have their Apple ID country/region set outside the EU, will be able to use Apple Intelligence during their visit, though we havent been able to test this yet. And EU-bought iPhones with EU Apple ID addresses are perfectly capable of using Apple Intelligence elsewhere, so long as the device language and Siri language are set to a supported language (currently only US English). Users can change their iPhone device language in the Settings app, by going to General > Language & Region > Add Language. Changing Siri's language can be done in the Settings app also, by going to Siri & Search > Language. Changing your Apple ID region temporarily isn't a viable workaround either, as this requires you to cancel any subscriptions and revokes access to apps purchased in the previous regions app store. As MacRumors reported in August, at least one beta version of iOS 18.1 has allowed users in the EU to test out Apple Intelligence. Mainland China The situation in mainland China is stricter. Currently, iPhones purchased in mainland China are unable to use Apple Intelligence in any part of the world, and iPhones purchased elsewhere are unable to access Apple Intelligence while in mainland China. According to Reuters, the Chinese government mandates that generative-AI chatbots which will soon describe Siri need to be vetted before release. And as the South China Morning Post reports, the 188 large language models currently approved by the Peoples Republic of China all originate from within the country. There are no signs yet of when, or if, Apple Intelligence will be allowed to function in mainland China. Apple clearly anticipates that Apple Intelligence will come to both the EU and mainland China eventually. The company has confirmed that, once available, supported iPhone models in the EU and mainland China will be able to activate and use Apple Intelligence. For the latest updates on Apples upcoming AI feature set, check out our Apple Intelligence coverage and be sure to check out our iPhone 16, iPhone 16 Plus, iPhone 16 Pro, and iPhone 16 Pro Max hubs for all you need to know about Apples new iPhone lineup. You might also like When you buy through links on our articles, Future and its syndication partners may earn a commission. Credit: ASML ASML, the world's only maker of EUV lithography chipmaking tools, has never shipped an EUV tool to its primary Chinese customers due to the Wassenaar arrangement and the most recent export regulations, it doesn't mean that Chinese makers will never be able to build their own EUV chipmaking tools. This week, it turned out that Shanghai Microelectronics Equipment (SMEE) had applied for a patent covering an EUV lithography machine, reports the South China Morning Post. The patent, which was submitted by SMEE in March 2023, focuses on 'extreme ultraviolet (EUV) radiation generators and lithography equipment.' Based on the SCMP's description, SMEE is attempting to patent the key set of components of an EUV tool: a laser-produced plasma (LPP) EUV source. An LPP EUV source comprises a CO2 light source that is applied to tiny tin droplets around 30 microns in diameter in a special chamber to create ionized gas plasma at electron temperatures of several tens of electron volts, which is then collected with a special mirror coated with several layers of molybdenum and silicon to selectively reflect the of 13.5 nm EUV light. Shanghai Microelectronics Equipment (SMEE) is China's leading producer of lithography equipment. For now, the company supplies customers in China with its most advanced litho tool, the SSX600, which can be used to make chips on 90nm, 110nm, and 280nm process technologies. Last year, the company said it was on track to demonstrate a 28nm-capable system in 2023, though it is unclear whether it started mass production of this tool. EUV lithography is used to make chips on advanced process technologies, such as 7nm, 6nm, 5nm, 4nm, and 3nm. Currently, SMIC produces processors on its 2nd Generation 7nm-class process technologies using immersion DUV lithography and multi-patterning, which is inefficient from a production cycle point of view and poses many risks in terms of yields. However, SMIC and its partner Huawei have no choice but to keep using DUV lithography with multi-patterning for 7nm and then for 5nm and maybe even 3nm-class production nodes. SMEE's patent filing represents a significant step forward in China's efforts to develop its own EUV lithography tools. Though it is hard to say when the company will build at least one production EUV system that can be used to make chips in high volumes, it is evident that it is making strides towards EUV litho tools. Shanghai Microelectronics Equipment is not the only company in China that has filed a patent concerning EUV lithography. Huawei filed an EUV system-related patent in China back in 2022. These patents mark an important milestone in China's push to develop independent semiconductor manufacturing capabilities. If SMEE ever produces advanced DUV and EUV tools, it will help China reduce its reliance on foreign firms like ASML and strengthen its position in the global semiconductor market. It should be kept in mind that in many cases, patents are filed well before commercialization. An EUV lithography tool is an ultra-complex machine that uses dozens of high-tech breakthroughs made over three decades. Could SMEE make the same breakthroughs in just several years? Time will tell. If you are sending a Letter To the Editor, please be sure to follow these rules: Letters have a firm 200-word limit and will be edited for grammar, clarity and accuracy. The person who signs the letter must be the author. Anonymous letters will not be considered. Letters must address the editor, not a third party. We will not print form letters, libelous letters, business promotions or personal disputes, poetry, open letters, letters espousing religious views without reference to a current issue, or letters considered in poor taste. Letters reflect the opinion of the writer. The Yakima Herald-Republic cannot verify the accuracy of all statements made in letters. Writers are limited to one published letter per calendar month. The Regional Center for Border Health will receive $7.5 million in reimbursement for providi Thank you for reading! Please log in, or sign up for a new account and purchase a subscription to continue reading. Eid-e-Milad-Un-Nabi 2024 will be celebrated from the evening of September 15 to the evening of September 16. This day marks the birth and passing of Prophet Muhammad. Eid-e-Milad-Un-Nabi, also called Eid-e-Milad, is a significant event in Islam that honors the birth and passing of Prophet Muhammad. It is observed in the third month of the Islamic calendar, Rabi' al-awwal, and is celebrated with prayers, gatherings, and various religious activities. In 2024, Eid-e-Milad will take place from the evening of September 15 to the evening of September 16. Eid-e-Milad in 2024 Since the Islamic calendar is based on the lunar cycle, the date of Eid-e-Milad changes annually. In 2024, the celebration will start on the evening of Sunday, September 15, and end on the evening of Monday, September 16. History of Eid-e-Milad-Un-Nabi Prophet Muhammad is thought to have been born around 570 AD in Mecca, with Sunni scholars marking his birth on the 12th day of Rabi' al-awwal, while Shia scholars believe it occurred on the 17th. This day is observed both to celebrate his birth and to mourn his passing in 632 AD. The first large-scale celebration of Eid-e-Milad was recorded nearly 600 years after the Prophets death, primarily in Egypt during the Fatimid dynasty, though some suggest that the tradition may have originated in Syria or Iraq as well. Significance of Eid-e-Milad-Un-Nabi Eid-e-Milad is a time for reflection, prayers, and gathering with loved ones. Muslims around the world come together to express gratitude, pray, and share greetings. Many mark the occasion by wearing new clothes, preparing special meals, and visiting shrines, mosques, or dargahs. However, certain Islamic traditions like Wahhabism, Deobandism, and Ahl-i Hadith view Eid-e-Milad as a religious innovation (bid'ah) not rooted in early Islamic teachings. As a result, countries such as Saudi Arabia and Qatar do not observe the day. New Delhi: Foreign portfolio investors (FPIs) bought equities in the Indian stock market worth Rs 16,800 crore this week, taking the total buying to Rs 27,856 crore this month (till September 13). As per NSDL data, FPIs were buyers of equity in the cash market on all days of the week. Industry watchers said that it is significant to note that unlike in previous weeks when FIIs were buyers through the primary market, this week, they were buyers through the exchanges. There are two reasons why FPIs have changed their strategy from selling to buying. There is a consensus now that the Fed will start cutting rates from this month onwards pushing the US yields down, facilitating fund flows from the US to emerging markets. Also, the Indian market is extremely resilient with strong momentum and missing out on the Indian market would be a bad strategy for FPIs. In 2024, the total investments by FPIs now stand at Rs 70,737 crore to date. According to Manoj Purohit, Partner and leader, FS Tax, Tax and Regulatory Services, BDO India, the month of September came with a full swing from the FPI fraternity which made a substantial infusion in the Indian equity market, recording the second highest single-day purchase of 2024. This shift in the investment wave is largely attributable to the Indian equity market reaching new all-time highs. The robust inflows are due to underlying factors such as global confidence in India's economic outlook and the governments commitment to drive a long term growth story, Purohit mentioned. FPIs are encashing at the right time to tab the Indian market amidst positive market sentiments, political stability, contributing to the rally. This incursion not only mirrors the growing attractiveness of Indian equities but also emphasises the confidence foreign participants have shown in India's financial markets historically as well during geopolitical crises and other macro factors. Also, due to the market regulators timely actions on easing business norms, rolling out consultation papers on industry issues, being agile to accept and inculcate global best practices to make India a competitive and one of the most preferred destination for imbedding funds to get better returns as compared to other developing economies, said experts. New Delhi: India has announced a 20 percentage point increase in the basic import tax on both crude and refined edible oils, the government said on Friday. This move aims to safeguard domestic farmers who are struggling with lower oilseed prices. As the world's largest importer of edible oils, India is taking this step to support its agricultural sector and ensure fair pricing for its farmers. This decision is likely to drive up the prices of edible oils which could lead to a decrease in demand and a reduction in imports of palm oil, soyoil, and sunflower oil. Following the announcement of the tax increase, soyoil prices on the Chicago Board of Trade continued to decline, dropping by more than 2 per cent. New Delhi announced on Friday that a 20 per cent basic customs duty will be applied to crude palm oil, crude soyoil, and crude sunflower oil, starting from September 14, according to the official notification. It will effectively increase the total import duty on the three oils to 27.5% from 5.5% as they are also subject to India's Agriculture Infrastructure and Development Cess and Social Welfare Surcharge. Imports of refined palm oil, refined soyoil and refined sunflower oil will attract 35.75% import duty against the earlier duty of 13.75%. Reuters reported in late August that India was considering an increase in import taxes on vegetable oils to help soybean growers ahead of regional elections due in Maharashtra later this year. "After a long time, the government has been attempting to balance the interests of both consumers and farmers," said Sandeep Bajoria, CEO of Sunvin Group, a vegetable oil brokerage. The move has increased the likelihood of farmers receiving the minimum support price set by the government for their soybean and rapeseed harvests, he said. Domestic soybean prices are around 4,600 rupees ($54.84) per 100 kg, lower than the state-fixed support price of 4,892 rupees. India meets more than 70% of its vegetable oil demand through imports. It buys palm oil mainly from Indonesia, Malaysia and Thailand, while it imports soyoil and sunflower oil from Argentina, Brazil, Russia and Ukraine. "India's edible oil imports consist of more than 50% palm oil, so it's obvious that the Indian duty hike is going to have a negative impact on palm oil prices next week," said a New Delhi-based dealer with a global trade house. (With Reuters Input) Prime Minister Narendra Modi on Saturday addressed a mega rally in Jammu and Kashmirs Doda district. The Prime Ministers address was to gather support for the Bharatiya Janata Party faction for the upcoming Assembly elections. While addressing the crowd at the rally, PM said, "I will repay this love and blessings of yours by working twice and thrice as hard for you and the country. Hinting at the Congress party, NC and PDP, Modi said, Three families schemed you... these families supported Corruption and land mafia...only those close to the three families got government jobs. PM alleged that NC, PDP and Congress want to bring back Article 370, which will snatch reservation. He questioned, "Will you allow them to bring back an old era of fear?" #WATCH | Doda, J&K: Prime Minister Narendra Modi says "This time the elections of Jammu Kashmir is going to decide the fate of J&K. Since independence, our beloved J&K has been targeted by foreign powers. After this, 'pariwarwad' started hollowing this beautiful state. The pic.twitter.com/8tZdtBl5Ni ANI (@ANI) September 14, 2024 In a sharp attack on political rivals ahead of the Jammu and Kashmir elections, PM Modi claimed the upcoming polls will "decide the fate" of the region. He alleged that foreign powers have long targeted J&K, and following independence, the rise of "pariwarwad" (dynastic politics) had begun to undermine the states integrity. "Those political parties you trusted did not care about your children," Modi stated, accusing them of promoting only their own family members while ignoring the needs of the youth, who have been grappling with terrorism. He further added that these parties deliberately prevented new leadership from emerging in the region and pointed out that no panchayat elections were held after 2000, depriving the local population of democratic representation. Polling in Jammu and Kashmir is set to take place in three phases, scheduled for September 18, September 25, and October 1. The Bharatiya Janata Party (BJP) is contesting all 43 Assembly seats in the Jammu division, with the vote counting slated for October 8. This will be Jammu and Kashmirs first Assembly election in a decade, and the first since the abrogation of Articles 370 and 35A. The BJP, which secured all 25 seats in the Jammu region during the 2014 Assembly polls, is looking to solidify its stronghold. Last weekend, Home Minister Amit Shah held a rally in Jammu, followed by Defence Minister Rajnath Singh addressing voters in Ramban district on Sunday. Asias largest soundproof bridge, constructed in Madhya Pradesh's Seoni district on National Highway 44 has been severely damaged after continuous rainfall. The bridge was constructed with a massive budget of Rs 960 crores by a private company. As per multiple reports, the repair work is currently underway, causing frequent traffic disruptions on the highway, which connects Kashmir to Kanyakumari. The bridge, located near the Pench Tiger Reserve on the Seoni-Nagpur route, was designed to minimize noise pollution and includes light reducers and 14 wildlife underpasses. Constructed by private firm Dilip Buildcon at a cost of 960 crores, the bridge spans 29 kilometers. Despite a 10-year guarantee, the structure began showing signs of damage just five years after completion, with cracks and broken sections reported following heavy rainfall. Traffic on the highway has been partially halted as the company responsible for its construction undertakes repair efforts. The early deterioration raises concerns about the bridge's durability and the effectiveness of the engineering, given the significant investment involved. Delhi Chief Minister and Aam Aadmi Party leader Arvind Kejriwal was released from prison on Friday after being granted bail in the CBI's excise policy case. While the AAP declared him fully empowered, the Supreme Court's bail order comes with certain restrictions. According to the apex court, Kejriwal is barred from visiting his office or the Delhi Secretariat. Media reports also suggest he is prohibited from signing any official files. Can Kejriwal Sign Files Many media reports suggested that Arvind Kejriwal cannot sign any file, however, Senior lawyer and Arvind Kejriwal's counsel in the liquor policy case, Abhishek Manu Singhvi has clarified thats not the case. "There is misinformation going on that he (Arvind Kejriwal) cannot sign any files. Today's order doesn't add a comma or a full stop to the order already passed on July 12 in the PMLA case, India Today quoted Singhvi. He added that the order states that Kejriwal does not hold any portfolio and does not sign any files, except for those that need to be sent to the Lieutenant Governor, which he is required to sign. Shall Not Make Any Public Comments Over The bail order prohibits Arvind Kejriwal from making any public statements regarding the details of the CBI case. "The appellant shall not make any public comments on the merits of the CBI case, it being sub judice before the trial court. This condition is necessitated to dissuade a recent tendency of building a self-serving narrative on public platforms, the SCs order said. The bench explained that these conditions imposed do not stop the appellant from presenting all his arguments before the trial court. What Are Additional Conditions According to the Supreme Court's ruling, Arvind Kejriwal is required to be present at every hearing before the trial court and must fully cooperate to ensure the swift conclusion of the trial proceedings. Additionally, the terms and conditions set by a coordinate bench in the Enforcement Directorate (ED) case will apply to this case with necessary modifications. The terms and conditions imposed by a coordinate bench of this court vide orders dated May 10, 2024 and July 12, 2024, are mutatis mutandis (with the necessary changes) in the present case," the bench said. In a significant move to support the youth of Assam, Chief Minister Himanta Biswa Sarma announced that the state cabinet has approved financial aid for over 25,000 beneficiaries under the CMAAA scheme. He highlighted that each selected beneficiary will receive a total of Rs 2 lakh, comprising Rs 1 lakh as a subsidy and Rs 1 lakh as an interest-free loan for five years. After the cabinet meeting, Assam CM addressed a press meeting and spoke about the key decisions of the cabinet. He revealed that the distribution of these funds will commence on November 3. Assam Chief Minister Himanta Biswa Sarma says, "Today's cabinet has approved 25,238 beneficiaries under the CMAAA scheme and they will get Rs 2 lakh each, they will not have to return Rs 1 lakh (Subsidy) and Rs 1 lakh (an interest-free loan for 5 years). From November 3 we will https://t.co/nkcFnAN5ZW pic.twitter.com/MKIsHO6PL4 ANI (@ANI) September 13, 2024 Sarma also informed that the cabinet has also approved substantial funds for infrastructure development. Rs 107 crore has been approved for the construction of a new stadium in Kokrajhar and Rs 111 crore for the construction of a new flyover in Guwahati." On Friday, CM Himanta Biswa Sarma announced key decisions for the state, including the transfer of illegal trading scam cases to the Central Bureau of Investigation (CBI), partnering with Dassault to develop skilled professionals in aerospace, and launching a fresh recruitment drive for teachers, among other initiatives. Haryana Assembly Polls: Aam Aadmi Party (AAP) leader and Delhi Chief Minister Arvind Kejriwal has been released from Tihar Jail just ahead of the crucial assembly elections in Haryana. While the opposition parties including Congress were quick to welcome the Supreme Court's decision, the BJP taunted that the 'Jail CM' has now become 'Bail CM'. While Kejriwal's release has infused the AAP workers and leaders with a renewed enthusiasm, it has caused tension to its rivals. With the elections approaching, Kejriwals focus will now be entirely on the Haryana polls, which could increase the challenges for other political parties. It may be noted that despite Rahul Gandhi's eagerness to forge an alliance with the AAP, the Congress could not do it due to opposition from some Haryana leaders and lack of agreement over seat-sharing issue. AAP sensed that Congress is deploying delaying tactics and thus it went ahead to announced candidates on all the 90 seats. AAP and Congress had contested the recent Lok Sabha elections together in Haryana and Delhi but failed to reach an agreement for the Haryana Assembly elections. Now, the AAP is one of the contenders besides regional parties like JJP, INLD and ASP. Most of the times, voting trends have shown that the AAP ends up eating into the Congress party's vote share. AAP came to existence opposing the Congress and it has been considered a rival by the Congress leaders. This is one of the reason that Congress leaders did not cede space for AAP in Haryana. In the Haryana Lok Sabha polls, both parties contested together as Congress fielded candidates on 9 seats, and AAP on 1. As a result, BJP lost 5 seats compared to previous elections, securing only 5 seats. Congress, which had failed to win any seats in the 2019 elections, managed to win 5. However, AAP, which contested from Kurukshetra, lost its seat. In the last 5 years, AAPs vote share in Haryana has increased. If this trend continues in the upcoming assembly elections, both Congress and BJP could suffer. However, the major dent may be on the Congress party's side. It is worth noting that voting for all 90 seats of the Haryana Assembly will take place in a single phase on October 5, and results will be declared on October 8. Jammu and Kashmir Chunav: The Vice President of National Conference, Omar Abdullah, coutered Prime Minister Narendra Modi for failing to acknowledge the current security situation in Jammu and Kashmir. "Today, while PM Modi is just a few kilometers away in Doda, we mourn the loss of two brave soldiers, including an officer, in a terrorist attack in the neighboring district of Kishtwar. Instead of focusing on political rhetoric, he should speak about this tragedy," Abdullah urged. He added, "Its now been five years since Article 370 was revoked. How will they explain the current situation? We lost soldiers in Kishtwar, and an encounter is ongoing in Baramulla." Abdullah also responded to Prime Minister Modi's remarks about the alleged destruction of Jammu and Kashmir by dynasty politics. He said, "Instead of targeting us and talking about family politics, the Prime Minister should address the pressing issue of security, particularly the tragic loss of two soldiers in the Kishtwar terrorist attack." Omar further criticized the BJP, stating, "In the past, the BJP formed alliances with the PDP because it suited them at the time. Were we responsible for any destruction then? I was a minister in the BJP government, but at that time, we were not considered bad." Abdullah urged people to remember the promises made in the National Conference manifesto as they head to the polls. "Help us safeguard the rights of Jammu and Kashmir, which were taken from us five years ago," he appealed. Prime Minister Narendra Modi is all set to sound the poll boggle in a mega rally in Jammu and Kashmirs Doda district on Saturday. This marks the first visit to Doda in 42 years by any Prime Minister. Tight security measures have been put in place across the Doda and Kishtwar regions, especially following Fridays encounter in Kishtwar, which claimed the lives of two army officers. Prime Minister Narendra Modi's upcoming rally is expected to boost BJP cadres and candidates in the Chenab Valley, where voting for eight Assembly seats in Doda, Kishtwar, and Ramban districts is slated for September 18. Modi last addressed a BJP rally in Kishtwar during the 2014 Assembly elections, and since then, residents of Doda have been eagerly awaiting his return, a party leader said, reported PTI. No Prime Minister has visited Doda in the past 50 years. But after the visit of PM Modi, a message will go that PM Modi has worked a lot, bringing remote areas on par with developed areas," Union Minister Jitendra Singh said while speaking to ANI. Singh noted that this will be the first visit by a Prime Minister to Doda in nearly 50 years. Polling dates are scheduled in three phases on September 18, September 25, and October 1. Bharatiya Janata Party (BJP) is contesting on all 43 Assembly seats in the Jammu division. The results and counting of votes are slated for October 8. Jammu and Kashmir is set to witness its first Assembly election in a decade, and the first since the revocation of Articles 370 and 35A. The BJP, which dominated the Jammu region by securing all 25 seats in the 2014 Assembly polls, is aiming to maintain its stronghold in the upcoming elections. Last weekend, Home Minister Amit Shah addressed a rally in Jammu, followed by Defence Minister Rajnath Singh holding a poll rally in Ramban district on Sunday. Kolkata Blast: A blast occurred at the busy intersection of Blochmann Street and S N Banerjee Road in Kolkata at approximately 13:45 hrs today, leaving one person, identified as a rag picker, injured. The injured individual sustained a serious injury to his right wrist and was immediately rushed to NRS Medical College and Hospital for treatment, according to Kolkata Police. An eyewitness, who was near the scene at the time, recounted, "We were standing nearby when the explosion took place. The sound was very loud. We rushed over and saw that the injured person, a rag picker, was lying on the ground with a wounded wrist. Fortunately, no one else was injured." #WATCH | Kolkata, West Bengal: A person who claims to be the eyewitness, says, "We were standing nearby when the explosion took place...We immediately ran to the spot and saw that one person who was a rag picker was lying by. The person sustained an injury on his right wrist. The https://t.co/g83wPjpqUH pic.twitter.com/cgUPkrs7ie ANI (@ANI) September 14, 2024 Kolkata Police officers, led by the Officer-in-Charge of Taltala Police Station, promptly responded to the incident. The area was quickly cordoned off with security tape to prevent any further accidents, and the Bomb Detection and Disposal Squad (BDDS) was called in to examine the scene. Upon arrival, BDDS personnel thoroughly checked the rag picker's bag and the surrounding vicinity for any additional threats. After a detailed inspection, the squad cleared the area, confirming there were no further explosives present. Following the clearance, traffic movement on the busy intersection was restored. The police are continuing their investigation into the cause of the explosion, and further updates are awaited. Kolkata Rape-Murder Case: In a development that could spell further trouble for former RG Kar Medical College Principal Sandip Ghosh, the Central Bureau of Investigation (CBI) has arrested him and added charges of rape and murder in connection with the rape-murder case of a trainee doctor. The incident occurred on August 9, when an on-duty doctor was raped and murdered at the state-run RG Kar Medical College and Hospital. Ghosh, who is already in judicial custody for a corruption case, now faces additional serious charges. A policeman has also been arrested in connection with the rape and murder, according to officials. The CBI also accused Ghosh and the cop for allegedly misleading investigators and trying to hide evidence. Former principal Sandip Ghosh and a police officer allegedly attempted to obstruct the investigation into the rape and murder case, which had caused nationwide outrage. The arrests were made shortly after protesting doctors left Chief Minister Mamata Banerjee's residence without meeting her, following her refusal to allow the live-streaming of their discussion. On September 10, a Central Bureau of Investigation (CBI) court sent Sandip Ghosh and three others to judicial custody until September 23 in connection with the financial irregularities case at RG Kar Medical College and Hospital. Ghosh, the former principal, was arrested by the CBI's Anti-Corruption Branch on September 2. He was being investigated for alleged corruption and financial misconduct at the institution, following an order from a single bench of the Calcutta High Court that directed the CBI to look into the matter. On August 24, following the orders of the Calcutta High Court, an official FIR was registered by the CBI against Ghosh in the alleged corruption case. The Indian Medical Association (IMA) of Kolkata also suspended the membership of former Sandip Ghosh amid a CBI probe into the corruption case. Kerala Lottery Results Saturday 14-09-2024 LIVE: The Kerala Lottery Department, on behalf of the Keralan government, announces the "KARUNYA KR-671" Lucky Draw Result today Karunya Kr-671, September 14, 2024. The draw will be held at Gorky Bhavan near Bakery Junction in Thiruvananthapuram. The Kerala Lottery Result 2024 for "Karunya KR-671" will feature 12 series, with changes in series possible each week. A total of 108 lakh tickets are available for purchase weekly. The ticket prices may vary. Check the Karunya KR-671 results right here to see if youre the first-place winner of 80 Lakhs. Stay tuned to this website for the live update of Kerala Lottery Karunya KR-671 results today. Kerala Lottery Result 14-09-2024 Sep: FULL LIST OF WINNING NUMBERS FOR KARUNYA KR-671 Draw LUCKY NUMBER FOR 1ST PRIZE OF RS 80 LAKHS IS: KS 475528 LUCKY NUMBER FOR 2ND PRIZE OF RS 5 LAKHS IS: KN 337567 LUCKY NUMBERS FOR 3RD PRIZE OF RS 1 Lakh ARE: KN 242358 KO 866223 KP 950193 KR 405005 KS 932550 KT 728495 KU 259335 KV 177981 KW 464029 KX 201062 KY 805243 KZ 924841 LUCKY NUMBERS FOR CONSOLATION PRIZE OF RS 8,000 ARE: KN 475528 KO 475528 KP 475528 KR 475528 KT 475528 KU 475528 KV 475528 KW 475528 KX 475528 KY 475528 KZ 475528 (For The Tickets Ending with The Following Numbers below) LUCKY NUMBERS FOR 4TH PRIZE OF RS 5,000 ARE: 0028 1211 1281 2269 3063 4074 4099 4100 4483 5225 5265 6743 8040 8156 9398 9617 9746 9831 LUCKY NUMBERS FOR 5TH PRIZE OF RS 2,000 ARE: 0035 0712 1788 3374 5496 5849 7365 8827 9131 9733 LUCKY NUMBERS FOR 6TH PRIZE OF RS 1,000 ARE: 1348 1618 1769 2367 2516 2656 3316 3951 3988 6402 6920 8432 9279 9607 LUCKY NUMBERS FOR 7TH PRIZE OF RS 500 ARE: 0646 0659 0722 0771 0811 0879 0952 1037 1041 1147 1205 1258 1372 1394 1495 1749 1810 1842 1877 2075 2281 2315 2324 2335 2375 2703 2797 2974 3000 3116 3381 3796 3834 4000 4243 4266 4438 4677 4905 5041 5201 5228 5301 5395 5432 5535 5543 5827 6092 6164 6200 6204 6228 6603 6866 7513 7577 7648 7866 7901 8030 8170 8273 8280 8353 8354 8373 8586 8611 8697 8715 9098 9195 9239 9387 9672 9829 9847 9853 9992 LUCKY NUMBERS FOR 8TH PRIZE OF RS 100 ARE: 6707 9208 5322 8321 5296 8678 2832 1831 6051 9534 0629 1445 7137 4180 7940 3649 6013 5410 4676 2568 5606 3836 3598 8243 8729 5839 3028 2420 5459 3012 8038 3279 6629 6410 8525 4429 1321 7617 2758 3632 1329 4665 3847 7190 5835 4217 8419 6519 5192 6359 6825 8294 3645 7210 8625 7442 6217 0944 4696 2551 5675 8292 0668 5046 4480 4644 7767 3306 9039 5368 1982 9094 6228 6167 9576 7013 0023 4902 7678 5313 9929 2561 7423 0084 6976 6929 2631 4740 2453 7090 9877 6159 9393 4048 0414 7655 1856 3094 7469 5695 5365 0774 2920 9730 3730 9800 7195 KERALA LOTTERY RESULT 14-09-2024 September TODAY: KARUNYA KR-671 LOTTERY PRIZE DETAILS 1st Prize: Rs 80 Lakhs 2nd Prize: Rs. 5 lakhs 3rd Prize: Rs. 1 Lakh 4th Prize: Rs. 5,000 5th Prize: Rs. 2,000 6th Prize: Rs. 1,000 7th Prize: Rs. 500 8th Prize: Rs. 100 Consolation Prize: Rs. 8,000 (NOTE: Lottery can be addictive and should be played responsibly. The data provided on this page is for informational purposes only and should not be construed as advice or encouragement. Zee News does not promote the lottery in any way.) A 30-year-old woman from Mumbai, who is alleged to be a recruitment agent, has been arrested by Telangana Polices cybercrime wing in connection with an international job scam. Reportedly, Priyanka Shivkumar Siddu, a 30-year-old woman from Chembur, Mumbai, has been accused of trafficking job seekers to Cambodia. There they were allegedly coerced into engaging in cybercriminal activities under harsh conditions, according to the Telangana Cyber Security Bureau (TGCSB). Officials allege that after working at a now-defunct job processing agency, she started her own unlicensed agency, deceiving job seekers with promises of legitimate employment visas. As per the reports, the Siddu scheme involved collaboration with Narayana, who is the other agent who had connections with Jithender Shah, also known as Amer Khan, the director of a Chinese-owned company in Cambodia. She also travelled to Cambodia to consider job opportunities and was offered a commission of around 42,000 for each candidate she recruited. Upon returning to India, she began processing visas for her nephew, Akshay Vaidhya, and his friend, Danish Khan, who became the first victims of her scam, police said, India Today reported. The Telangana Cyber Crime Police have charged Siddu with several offenses, including human trafficking and cybercrime violations. The investigation is ongoing as authorities strive to reveal the full scope of her criminal network and the extent of the harm inflicted on her victims. New Delhi: Congress Parliamentary Party Chairperson, Sonia Gandhi paid tribute to CPI(M) General Secretary Sitaram Yechury at the CPI office in Delhi on Saturday. Congress leaders Jairam Ramesh, Ajay Maken, Rajeev Shukla, and several CPI (M) leaders and workers arrived at the CPI (M) office in Delhi to pay tribute to Yechury. His mortal remains were taken to the party's office from his residence in Vasant Kunj here. Yechury passed away on September 12 at AIIMS Hospital after suffering from a respiratory tract infection. Speaking to ANI, Kerala Minister P Rajeev said that his demise has created a big vacuum in the national politics. Speaking to ANI, Rajeev said, "The sad demise of Sitaram Yechury has created a big vacuum in the national politics. I got the opportunity to work with him as a deputy leader when he was the leader of the CPI (M) in Rajya Sabha. He was the most acceptable person in the party and everyone came to him to make decisions on every issue. It is a big loss to the party, the left and the country." On Friday, Union Minister and BJP national President JP Nadda paid floral tribute to him at his residence. Remembering Yechury, Nadda said that he maintained relationships with those whose views differed from his own. "Paid floral tribute to the mortal remains of Former Rajya Sabha MP and General Secretary of the CPI (M) late Shri Sitaram Yechury ji. We both had different ideologies. He was a person more inclined towards ideas, but at the same time, he maintained relationships with those whose views differed from his own. He believed in agreeing to disagree and often said that this is the beauty of democracy," Nadda wrote in a post on X. "May God grant him eternal peace and give his family the strength to endure this pain," he added. Reflecting on his memories of the late CPI(M) leader, Nadda said he was very firm in his ideas and he devoted his whole life to propagating those ideas but being a social person, we can never forget his personal relationship and humanistic approach. "My friend Sitaram Yechury is no more among us... Sitaram Yechury used to come to Himachal from JNU to propagate his ideology and I have known him since then. We both belonged to different ideologies. He was very firm in his ideas and he devoted his whole life to propagate those ideas but being a social person we can never forget his personal relationship, humanistic approach. So I can say that he was a person who was more inclined towards ideas but at the same time, he also maintained relations with those people of the society who were different from his ideology. He believed that we agree to disagree and he used to say that this is the beauty of democracy. May God give him a place in his feet and give strength to all the members of his family to bear this grief," Nadda told ANI. Three terrorist was killed on Saturday in an active encounter with security officials in Jammu and Kashmirs Baramulla. Security forces and terrorists engaged in an encounter in the Chak Tapper Kreeri Pattan area of Baramulla district, Jammu and Kashmir, on Friday night, officials reported. In a separate operation on Friday, troops from the Army's Rising Star Corps neutralized two terrorists in Kathua. Earlier the same day, two Army personnel, including a junior commissioned officer (JCO), were killed and two others injured during an encounter with terrorists in the higher reaches of Jammu and Kashmir's Kishtwar district. "Encounter has started at Chak Tapper Kreeri Pattan area of Baramulla. Police and security forces are on the job. Further details shall follow," the Kashmir Zone Police wrote in a post on X. A joint team of police and army conducted a cordon and search operation in the area yesterday. When the forces approached the suspected location, the militants hiding there opened fire, prompting a retaliatory exchange of gunfire. Every year on September 14th, India celebrates Hindi Diwas to honor the adoption of Hindi as one of the official languages of the country in 1949. While the day is a tribute to the rich cultural and linguistic heritage of Hindi, BollywoodIndias vibrant film industryhas played a crucial role in shaping the languages modern identity and expanding its reach, both nationally and globally. The Rise of Hindi Cinema and Its Cultural Influence Bollywood, since its inception in the early 20th century, has been a powerful vehicle for promoting Hindi. Starting with the first talkie, Alam Ara (1931), Bollywood used Hindi and its variants as the primary language of communication and storytelling. As the industry grew, so did the influence of Hindi cinema in shaping cultural norms, fashion, music, and language. In the 1950s and 1960s, the Golden Age of Hindi cinema produced iconic films such as 'Mother India' (1957), 'Mughal-e-Azam' (1960), and 'Shree 420' (1955), which became not just blockbusters but also cultural phenomena. Bollywood as a Language Equalizer One of the most significant impacts of Bollywood on the popularity of Hindi is its role as a linguistic equalizer. From Kolkata to Kerala and Gujarat to Assam, Bollywood has brought Hindi into homes where it otherwise might not have been spoken. Even in southern states where regional languages dominate, Hindi films are widely consumed, and songs and dialogues often become part of popular culture. This has helped in making Hindi more accessible and fostering a pan-Indian identity. The Global Reach of Hindi Through Bollywood In recent decades, Bollywood has not just promoted Hindi in India but has become a global ambassador for the language. Indian films, especially Hindi-language ones, are watched by millions worldwide, including in countries with large Indian diasporas such as the USA, UK, Canada, and the Middle East. Popular stars like Shah Rukh Khan, Amitabh Bachchan, and Deepika Padukone have international fan followings, and their films contribute significantly to the global recognition of Hindi. Bollywoods fusion of Hindi with vibrant music, compelling stories, and glamorous aesthetics has made the language appealing to non-native speakers. Platforms like Netflix and Amazon Prime have further amplified Bollywoods global reach. Bollywood and Hinglish: A Modern Twist on Hindi One of the more recent developments in the impact of Bollywood on Hindi is the rise of 'Hinglish'a blend of Hindi and English. While traditional Hindi still holds sway, many modern Bollywood films, especially post-2000, frequently mix Hindi with English. Films like 'Dil Chahta Hai' (2001), 'Zindagi Na Milegi Dobara' (2011), and 'Yeh Jawaani Hai Deewani' (2013) showcase urban, modern characters who switch between Hindi and English effortlessly. The Role of Music and Dialogues in Popularizing Hindi Beyond the films themselves, Bollywood's music and dialogues have had an indelible effect on the popularity of Hindi. Hindi film songs are enjoyed not only in India but across the world, making them a key component of Indian identity abroad. Legendary lyricists like Gulzar, Javed Akhtar, and Anand Bakshi have penned unforgettable lyrics in Hindi that have resonated across generations. From romantic ballads to energetic dance numbers, Bollywood music is a genre in itself. Bollywood as Hindi's Global Voice On Hindi Diwas 2024, it is important to recognize Bollywoods immeasurable contribution to the popularity of the Hindi language. From making Hindi accessible across linguistic barriers within India to elevating it on the global stage, Bollywood continues to shape how Hindi is perceived, spoken, and celebrated. New Delhi: Shabana Azmi, a towering figure in Indian cinema, is set to celebrate a monumental milestone as she marks 50 years in the film industry. The International Film Festival of South Asia (IFFSA) Toronto 2024 will pay homage to Azmi during its 13th edition, recognizing her remarkable contributions to cinema over five decades. Azmis cinematic journey began in 1974 with the groundbreaking film 'Ankur', a seminal work in Indian parallel cinema known for its socially conscious themes and neo-realism. Her powerful performance in this film catapulted her into the limelight, establishing her as a leading actress in this influential genre and setting the stage for a career defined by remarkable versatility and critical acclaim. Throughout her illustrious career, Shabana Azmi has garnered numerous accolades, cementing her status as one of the finest actresses in Indian cinema. Her exceptional talent has earned her five National Film Awards for Best Actressan unparalleled achievementas well as five Filmfare Awards and several international honors. In 1988, Azmi was awarded the Padma Shri, one of India's highest civilian honors, in recognition of her contributions to Indian cinema. The tribute at IFFSA Toronto 2024 will be a highlight of the festival, celebrating Azmis enduring impact on both Indian and global cinema. As one of North America's largest South Asian film festivals, IFFSA Toronto provides a fitting platform to honor Azmis half-century journey and her significant influence on the film industry. New Delhi: Tamil cinemas celebrated superstar Vijay is poised to embark on a new chapter in his life as he transitions into politics. Before diving fully into his new role with his recently established political party, Tamilaga Vettri Kazhagam, Vijay is set to make a final cinematic appearance. Today, KVN Productions, the Bengaluru-based distribution house, has officially announced that Vijay's 69th film will be titled 'Thalapathy 69' KVN Productions, a Bengaluru-based distribution house, revealed the news via the social media platform X (formerly Twitter). The announcement expressed the companys enthusiasm: We are beyond proud & excited to announce that our first Tamil film is #Thalapathy69, directed by the visionary #HVinoth with music by the sensational Rockstar @anirudhofficial. Super happy to collaborate with the one and only #Thalapathy @actorvijay. The torchbearer of democracy is arriving on October 2025. We are beyond proud & excited to announce that our first Tamil film is #Thalapathy69, directed by the visionary #HVinoth, with music by the sensational Rockstar @anirudhofficial Super happy to collaborate with the one and only #Thalapathy @actorvijay The torch bearer of pic.twitter.com/Q2lEq7Lhfa September 14, 2024 In anticipation of this landmark event, KVN Productions previously released a touching montage on YouTube titled The Love for Thalapathy | Thalapathy 69 Announcement. The video reflects on Vijays distinguished three-decade career and his deep connection with fans, with the caption: We all grew up with your films & youve been a part of our lives every step of the way. Thankyou Thalapathy for entertaining us more than 30 years. The films release is scheduled for October 2025, marking a poignant end to Vijays illustrious acting career. The announcement has generated considerable excitement among fans and industry insiders, who eagerly await the final cinematic offering from the celebrated actor, directed by H. Vinoth and featuring music by Anirudh Ravichander. Gemini Live Free: Tech giant Google is rolling out its Gemini Live AI-powered assistant to all Android users for free. This AI-powered assistant allows users to have natural conversations with the chatbot on their phone. Earlier, Gemini Live was exclusively available to users with a Gemini Advanced subscription, priced at Rs 1,950 per month following a one-month free trial. This subscription also grants access to Gemini 1.5 Pro, Google's most advanced AI model. Google introduced the assistant with the Google Pixel 9 series last month with several new AI features which include the Pixel Studio, enhanced Magic Eraser, and Gemini Live. Notably, this new AI-powered assistant or Google Live chatbot was only available to Gemini Advanced subscribers. Gemini Live AI Availability The free version of the Gemini Live chatbot is currently available only for Android users through the Gemini app and exclusively in English. iPhone users, who can access Gemini Live via the Google app on iOS, are not able to use the free version at this time. Android users eager to try Gemini Live without subscribing can explore the free version once it's released. Google is also expected to introduce the free version of Gemini Live in additional languages and expand its availability to other platforms, including iOS. How To Access Gemini Live Step 1: Open the Gemini app on your phone and locate the new feature by tapping on the "new circular waveform with a sparkle icon" in the bottom right corner of the app or overlay. Step 2: After tapping the icon, a fullscreen window will appear, displaying "Hold" and "End" buttons at the bottom of the screen. Step 3: Users Interact with Gemini by holding the "Hold" button to engage in a conversation. Step 4: If needed, exit the fullscreen interface to multitask and continue using your phone while the conversation with Gemini remains active. Step 5: To end the session, tap on the notification or simply say "Stop" to disable Gemini Live. What Gemini Live Subscription Model Offers The subscription model includes Gemini support for Google services such as Gmail and Docs, along with Python code access for developers, and offers priority access to new features. With Gemini Advanced, users get 2TB of Google One storage, which can be utilized across Gmail, Google Drive, and Google Photos. This storage also serves as a backup for devices, functioning similarly to other Google One plans. Viral Video: In a disturbing and shocking incident from the Loni area of Ghaziabad, a juice vendor was caught allegedly mixing human urine into fruit juices and serving it to customers. The horrifying revelation came to light when local customers noticed an odd taste in their drinks and raised an alarm. The incident occurred at a juice shop in the Indirapuri area, where outraged locals confronted the shop owner. Upon discovering the disgusting practice, they caught the vendor red-handed and proceeded to physically assault him before contacting the authorities. Locals Reported The Incident During a search of the juice shop, police found a bottle filled with human urine. Local residents filmed the entire episode and alerted the police, who arrived on the scene promptly. Two individuals, including the shop owner, were taken into custody. Samples of the juice and urine were collected and sent for forensic examination. Police Statement On The Incident Ghaziabad police arrested a 29-year-old juice vendor from the Loni Border area on allegations of mixing human urine into fruit juices, while a 15-year-old accomplice was also detained. According to Assistant Commissioner of Police (ACP) Bhaskar Verma of the Ankur Vihar region, the arrest was made following complaints from the public. Locals claimed that the vendor had been serving contaminated juice to unsuspecting customers. Urine-Filled Plastic Container Recovered Police identified the vendor as Amir (29), and during a search of his juice cart, a plastic container filled with urine was recovered. Despite questioning, Amir was unable to provide a satisfactory explanation. The ACP confirmed that Amir has been arrested and his juvenile accomplice has been detained. Legal proceedings are ongoing in the case. This shocking discovery has left the local community in disbelief, and the authorities are investigating the matter further. If your body were exposed to the vacuum of space without a spacesuit, here's what would happen. A vacuum means a space that has no air, gas, or any other matter. In space, a vacuum is an area with no atmosphere or air pressure. The first thing to understand is that many Hollywood portrayals of this situation are exaggerated.They often show people without helmets or spacesuits either exploding or freezing to death immediately.In reality, the same things would happen, but they wouldnt be as extreme. An astronaut floating in space without a suit wouldnt survive, but death would occur in minutes rather than seconds. It would be a brutal process, with body fluids boiling and their nose and mouth freezing. Space is an empty vacuum with no air, which means theres no atmosphere and no air pressure like we have on Earth. Air pressure affects the temperature at which liquids boil and become gas. When the air pressure outside a liquid is high, like it is at sea level on Earth, it becomes more difficult for gas bubbles to form, move up to the top, and escape. In space, where there is almost no air pressure, liquids boil at much lower temperatures. This means that even at cooler temperatures, liquids can start to boil quickly in space. Dr. Kris Lehnhardt, a scientist for NASA's Human Research Program, explained to Live Science that this is a big issue because about 60% of the human body is made up of water. Without pressure, the liquid water in our bodies would start to boil and quickly turn into gas."In other words, all the water-containing tissues in your body would begin to swell," he said. Some people have actually been exposed to almost no air pressure and have lived to share their experiences. In 1966, NASA aerospace engineer Jim LeBlanc was testing spacesuit prototypes in a large vacuum chamber. During the testing, the hose that was supplying air to his suit got disconnected."I remember stepping backward and feeling the saliva on my tongue starting to bubble just before I passed out, which means right before I lost consciousness. That was the last thing I recalled," he shared in the 2008 documentary series "Moon Machines" episode about the spacesuit. The formation of gas bubbles in bodily fluids, called ebullism, can also happen to deep-water scuba divers who come up too quickly. This is because they move from an area with high pressure underwater to a low-pressure environment at the surface. In high pressure, the gases in the body stay dissolved in the fluids. When they rise quickly and pressure drops, those gases can suddenly form bubbles, which can be dangerous. For astronauts without suits, the blood in their veins boils more slowly than the water in their tissues because the circulatory system has its own internal pressure. However, the body's tissues would still experience a lot of bubbling, known as ebullism, very quickly. A 2013 study published in the journal Aerospace Medicine and Human Performance examined past cases of animals and humans exposed to vacuums. It found that they lost consciousness in less than 10 seconds. Some of them couldn't control their bladder and bowel movements, and the swelling in their muscles blocked blood flow to their hearts and brains. This happened because their muscles expanded and acted like a vapor lock. Vapor lock refers to a condition where the flow of liquid is obstructed due to the formation of vapor or gas in the system, causing a blockage. Lehnhardt stated that it is impossible for a person to survive this, and death is probable in less than two minutes. As per information from NASA's bioastronautics data book, the lack of pressure in space would draw the air out of your lungs, leading to suffocation in just a few minutes. This happens because in the vacuum of space, there is no air pressure to keep the air in your lungs, causing it to be pulled out rapidly, making it impossible to breathe. Once the first rush of air escapes, the vacuum of space would keep pulling gas and water vapor from your body through your air passages. This means that in the absence of pressure, the air and moisture inside your body would be continuously pulled out, making it impossible for you to breathe or survive in space. The ongoing boiling of water in your body would create a cooling effect. As water molecules evaporate, they would take heat energy from your body, causing the areas around your nose and mouth to almost freeze. The rest of your body would also get colder, but this would happen at a slower pace since there would be less evaporation occurring. (Girish Linganna is a Defence and Aerospace Analyst based out of Bengaluru. He is also Director of ADD Engineering Components, India, Pvt. Ltd, a subsidiary of ADD Engineering GmbH, Germany. The views expressed in this article are of the author only.) It has been four years since the Galwan Valley clash, during which relations between India and China soured significantly. China had maintained a strong military presence in the Galwan Valley since then, but the tensions are now gradually easing. After four years, China has ordered its troops to withdraw from the region. The question arises: what has changed after these four years that prompted China to pull back its forces? Lets dive into the details. On Friday, September 13, Chinas Ministry of Foreign Affairs announced that troops have been withdrawn from four locations, including the Galwan Valley, in Eastern Ladakh. The ministry mentioned that during a meeting in Russia, both India and China agreed to work together to create a conducive environment for improving bilateral relations. According to a press release issued by Chinas Ministry of Foreign Affairs, National Security Advisor Ajit Doval and Chinese Foreign Minister Wang Yi held talks on Thursday on the sidelines of a high-level BRICS meeting in St. Petersburg, Russia. During this meeting, both sides discussed recent progress in their deliberations on border issues. A spokesperson from Chinas Ministry of Foreign Affairs stated, "In recent years, the forward-deployed forces of both countries have completed the withdrawal process from four points along the western sector of the China-India border, including the Galwan Valley. The situation along the China-India border is generally stable and under control." This statement followed Indian Foreign Minister S. Jaishankars remarks in Geneva, where he mentioned that about 75% of the issues related to troop withdrawal with China have been resolved, though the increasing militarization along the border remains a significant concern. Ajit Doval and Wang Yi, as special representatives for the India-China border negotiations, discussed the future of relations between the two nations. In a detailed press release, Chinas Ministry of Foreign Affairs noted that both sides believe the stability of India-China relations is in the fundamental and long-term interest of their people and contributes to regional peace and development. According to a report by Chinas official news agency Xinhua, Wang Yi, a member of the Communist Party of China's Central Committee Political Bureau, emphasized that in the face of a turbulent world, as two ancient Eastern civilizations and emerging developing nations, China and India should remain steadfast in their independence, choose unity and cooperation, and avoid harming each other. Wang expressed hope that both sides would adopt a pragmatic approach to resolve differences appropriately, find a suitable way to work together, and bring India-China relations back onto a healthy, stable, and sustainable development path. ABOARD THE PAPAL PLANE: Pope Francis on Friday slammed both U.S. presidential candidates for what he called anti-life policies on abortion and migration, and he advised American Catholics to choose who they think is the lesser evil in the upcoming U.S. elections. Both are against life, be it the one who kicks out migrants, or be it the one who kills babies, Francis said. The Argentine Jesuit was asked to provide counsel to American Catholic voters during an airborne news conference while he flew back to Rome from his four-nation tour through Asia. Francis stressed that he is not an American and would not be voting. Neither Republican candidate Donald Trump nor the Democratic candidate, Kamala Harris, was mentioned by name. But Francis nevertheless expressed himself in stark terms when asked to weigh in on their positions on two hot-button issues in the U.S. election abortion and migration that are also of major concern to the Catholic Church. Francis has made the plight of migrants a priority of his pontificate and speaks out emphatically and frequently about it. While strongly upholding church teaching forbidding abortion, Francis has not emphasized church doctrine as much as his predecessors. Francis said migration is a right described in Scripture and that anyone who does not follow the Biblical call to welcome the stranger is committing a grave sin. He was also blunt in speaking about abortion. To have an abortion is to kill a human being. You may like the word or not, but its killing, he said. We have to see this clearly. Asked what voters should do at the polls, Francis recalled the civic duty to vote. One should vote, and choose the lesser evil, he said. Who is the lesser evil, the woman or man? I dont know. Everyone in their conscience should think and do it, he said. The Harris and Trump campaigns did not immediately respond to requests for comment from The Associated Press. U.S. President Joe Biden, an observant Catholic, shares Harris strong support for abortion rights, a stance that prompted some Catholic bishops and other conservatives to call for him to be denied access to Communion. After meeting Francis in person at the Vatican in October 2021, Biden came away saying the pope told him he was a good Catholic and should continue receiving Communion. Francis, asked on previous occasions about some U.S. bishops who want to deny Communion to Biden over his support for abortion rights, has said bishops should be pastors, not politicians. Friday's news conference was not the first time Francis has weighed in on a U.S. election. In the run-up to the 2016 election, Francis was asked about Trumps plan to build a wall at the U.S.-Mexico border. Francis declared then that anyone who builds a wall to keep out migrants is not Christian. In responding Friday, Francis recalled that he celebrated Mass at the U.S.-Mexico border and there were so many shoes of the migrants who ended up badly there. Trump pledges massive deportations, just as he did in his first White House bid, when there was a vast gulf between his ambitions and the legal, financial and political realities of such an undertaking. The U.S. bishops conference, for its part, has called abortion the preeminent priority for American Catholics in its published voter advice. Harris has strongly defended abortion rights and has emphasized support for reinstating a federal right to abortion. In his comments, the pope added: On abortion, science says that a month from conception, all the organs of a human being are already there, all of them. Performing an abortion is killing a human being. Whether you like the word or not, this is killing. You cant say the church is closed because it does not allow abortion. The church does not allow abortion because its killing. It is murder. However, cells are only beginning the process of developing into organs in the earliest weeks of pregnancy. For example, cardiac tissue starts to form in the first two months initially a tube that only later evolves into the four chambers that define a heart. The American College of Obstetricians and Gynecologists says that by 13 weeks, all major organs have formed. In other comments, Francis: denied a French media report that he would travel to Paris for the December inauguration of the restored Notre Dame Cathedral, saying flat-out he would not be there. But he confirmed he would like to go to the Canary Islands to highlight the plight of migrants. tamped down renewed speculation that he might finally return to Argentina later this year, saying he wants to go but that nothing had been decided. He added: There are various things to resolve first. Francis has not been home since before the 2013 conclave that elected him pope. declared that China was a promise and a hope for the Catholic Church and hoped to one day visit. called sexual abuse demonic and weighed on the latest revelations of assault against a legendary French priest, Abbe Pierre. NASA Astronaut Sunita Williams, along with Butch Willmore, expressed their desire to vote in the upcoming US presidential elections this November. During a live press conference on Friday night, from 250 miles above Earth aboard the International Space Station, Williams said that she is in her happy place and loves being in space. "This is my happy place. I love being up here in space... A test flight means that we're probably going to find some stuff." she said, ANI reported. The astronauts said that they have requested a ballot to vote in the upcoming U.S. elections, emphasising the "important role" that citizens play in the democratic process. "I sent down my request for a ballot today, as a matter of fact, and they should get it to us in a couple of weeks," Wilmore said, as per the ANI reports. A decision was made to return the Starliner spacecraft to Earth without its crew, and it successfully landed on September 6 after spending over three months in space. The spacecraft made a safe landing at White Sands Space Harbor in New Mexico. Both astronauts will stay on the International Space Station as part of the Expedition 71/72 crew and are expected to return in February 2025. They will return aboard the SpaceX Dragon spacecraft with two other crew members as part of NASA's SpaceX Crew-9 mission. On June 5, the pair launched aboard Boeing's Starliner spacecraft for its inaugural crewed flight and arrived at the space station on June 6. CORVALLIS, OR - Our beloved Josette was a blessing and a joy to all of her family and friends. We remember Josette as a playful and loving family member who made us laugh and encouraged us to be compassionate. She made numerous friends and acquaintances over the course of her life in Corvallis, Hood River, Albuquerque and many other cities. Josette was a world traveler. She loved cultures and languages. She was fluent in Spanish and French and studied Portuguese and Japanese. Josette spent a year in France with her family while in middle school. She also worked in the foreign exchange student program at Oregon State University, and studied abroad in Quito, Ecuador and Puebla, Mexico. She earned undergraduate degrees from Oregon State University and a Master's degree from the University of New Mexico in Urban Planning. Josette was hired as a county planner in Hood River, Oregon, working there for fifteen years before to returning to Corvallis where she worked at Stover, Evey and Jackson. She enjoyed her jobs and coworkers. Copyright 1995 - . All rights reserved. The content (including but not limited to text, photo, multimedia information, etc) published in this site belongs to China Daily Information Co (CDIC). Without written authorization from CDIC, such content shall not be republished or used in any form. Note: Browsers with 1024*768 or higher resolution are suggested for this site. 0108263 License for publishing multimedia online Registration Number: 130349 Registration Number: 130349 It was billed that it was going to be a debate for the ages. As I pulled up my recliner and a bowl of popcorn, I'm expecting Lincoln-Douglas level combat oratory that would answer every question about candidate beliefs and plans for America that could ever come up. What it turned out to be was a carnie sideshow that was selling the value equivalent of a late night infomercial hawking RONCO spray on hair in a can, or the inimitable Billy Mays shilling me to order Oxi-Clean guaranteeing that all of my blood soaked T-shirts would once again be brilliant white. What a waste of the time and the money it took to bring that circus to town. Every party involved, from the talent (candidates) to those lurking behind the scenes, failed at whatever it was they were trying to accomplish. Hey, I remember high school debate team, and that was not even close. It was all-star "rassling" from the 1960s that should have been broadcast in black and white. As I drive around town, any town, I see bouquets of campaign signs: Red as Roses, indicating that homeowner is either a) all in for Donald Trump, or b) absolutely, positively, never voting for, and wishing every possible misfortune to befall Kamala Harris. If the bouquet of campaign signs are blue as a bed of delphiniums, that indicates that homeowner is either A), all in for Kamala Harris, or B) absolutely, positively, never voting for, and wishing every possible misfortune to befall Donald Trump. Nine out of 10 voters fall into one or the other of these categories, many times planting their opposite campaign sign bouquets, next door to each other. You want to talk about the potential for gun violence; I am honestly surprised that there are not more instances of weapons being brandished by neighbors on neighbors. Not just roses on delphiniums, but also and as equally possible, delphiniums on roses. As a side note, when I once asked my step-dad, Bob Wilson who was in business of some sort or another in North Iowa for 65 years, why he did not post campaign signs in his store fronts or at home for candidates and issues he supported, he said, without blinking, "Why would I want to piss off half of my customers?" But I digress. In raw numbers, of the 162 million votes that will be legally cast on Nov. 5, 153.9 million have, and did so, long before any debate, cast their undying allegiance and commitment, to either Blue Delphiniums or Red Roses. Nothing can change their minds. That leaves undecided voters of 16.2 million who will, as they are always tasked to do, resolve this mess. The 2024 election cycle will see spending for advertising buys alone reaching $16 billion times five if you add in all of the ancillary costs. From talking heads all the way down to bellhops, from security, travel, venue rental, and transportation to geeks salting social media, the total election costs will exceed $75 billion. Nearly $5,000 will be lit on fire and burned for each undecided vote available. But that is not really the complete picture. Of $75 billion spent, an amount that is over 8 times the total annual budget of the entire State of Iowa, nearly all of it will go to "preaching to the choir." Reds burn money on reds; and Blues burn money on blues. In the vernacular of these idiotic times, that money is spent on "shoring up the base." I'm a simple person and maybe simple minded, but blues spending money to "shore up" blues, and reds spending money to "shore up" reds, when both blues and reds have already drunk their respective Kool-Aid, makes about as much sense as a screen door on a submarine. How do we everyday citizens of the United States continue to condone and justify this nonsense? If somebody schedules another "debate," I'm going to lose it. A new reign begins for Decatur's Gyro King The Gyro King has relinquished his crown. Its just time to retire, Chris Droukas, 79, said. At my age, I can't do it no more. The new king is Decatur native Vasilios Chiligiris, who took over the long-time Gyro King food truck three weeks ago. I just wanted to keep the tradition alive, Chiligiris said. The Gyro King was one of the first food trucks to have a daily parking spot in Decatur. For more than 40 years the popular Greek food has been a favorite among lunch time diners. For years the trailer was parked at the southeast corner of Martin Luther King Jr. Drive and Pershing Road. In April, Droukas moved the Gyro King food truck to the Ashley Furniture Outlet parking lot on Pershing Road, where it remains with the new owner. The Chiligiris and Droukas families arrived together in Central Illinois 50 years ago. The family friends started up their own businesses shortly afterwards. When he was younger, Chiligiris, now 32, was often found working in the Gyro King trailer during Saturday shifts. The food truck hours will remain the same, 11 a.m. to 6 p.m. Monday through Friday. Next year were probably going to try to open on Saturday again, Chiligiris said. Gyro King will continue serving food until at least the end of October. And Im going to try and stay open through November if I can, Chiligiris said. Droukas plans to stick around Illinois, including Chicago as well as Decatur. Then Im going to go back home in the summertime, he said about his native Greece. Its different there in the summertime and wintertime. Chiligiris took four months to train, according to Droukas. I showed him all kinds of details, Droukas said. Its a big deal. Carol Stillwell is a regular Gyro King customer. Ive been coming to him since 2003, she said. He has the best. To keep the customers happy, Chiligiris will leave much of the food as well as the food truck the same. I think people are going to like everything staying traditional, he said. A lot of people told us not to change anything. Right, Stillwell added. WASHINGTON Heres a look at how area members of Congress voted over the previous week. Along with this weeks roll call votes, the House also passed these measures: the Confirmation Of Congressional Observer Access Act (H.R. 6513), to confirm the requirement that states allow access to designated congressional election observers to observe the election administration procedures in Congressional elections; the Subterranean Border Defense Act (H.R. 7404), to require annual reports on counter illicit cross-border tunnel operations; the No Russian Tunnel to Crimea Act (H.R. 7701), to require sanctions on any foreigner who knowingly participates in the construction, maintenance, or repair of a tunnel or bridge that connects the Russian mainland with the Crimean peninsula; and the Economic Espionage Prevention Act (H.R. 8361), to impose sanctions with respect to economic or industrial espionage by foreign adversarial companies. House votes COMPETITION WITH CHINA: The House has passed the Countering the PRC Malign Influence Fund Authorization Act (H.R. 1157), sponsored by Rep. Andy Barr, R-Ky., to authorize $325 million of annual spending, for the next 3 years, on government actions against Chinas attempts at malign influence on the U.S. and its allies. Barr said the bill sought to direct those scarce taxpayer resources effectively so that they actually do the job that the taxpayers deserve and we actually win this fight with China. The vote, on Sept. 9, was 351 yeas to 36 nays. NOT VOTING: McHenry R-NC (10th) YEAS: Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Adams D-NC (12th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Ross D-NC (2nd), Edwards R-NC (11th), Nickel D-NC (13th), Davis (NC) D-NC (1st), Foushee D-NC (4th), Jackson (NC) D-NC (14th) CONTRACTS WITH CHINESE BIOTECHNOLOGY FIRMS: The House has passed the BIOSECURE Act (H.R. 8333), sponsored by Rep. Brad R. Wenstrup, R-Ohio, to bar federal government agencies from reaching contracts with, or sending grant funds to, any of five different China-based biotechnology companies that have been deemed to be a risk to U.S. national security. Wenstrup said the ban will help protect the biologic data of American patients and make sure that their data does not fall into the hands of our adversaries. A bill opponent, Rep. James P. McGovern, D-Mass., cited a lack of due process and transparency for determining which companies to ban, as well as the political nature of the ban. The vote, on Sept. 9, was 306 yeas to 81 nays. NOT VOTING: McHenry R-NC (10th) YEAS: Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Adams D-NC (12th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Ross D-NC (2nd), Edwards R-NC (11th), Nickel D-NC (13th), Davis (NC) D-NC (1st), Jackson (NC) D-NC (14th) NAYS: Foushee D-NC (4th) HONG KONG GOVERNANCE: The House has passed the Hong Kong Economic and Trade Office (HKETO) Certification Act (H.R. 1103), sponsored by Rep. Christopher H. Smith, R-N.J. The bill would require the removal of various forms of special treatment for the Hong Kong Economic and Trade Offices should China impair Hong Kongs autonomy. Smith said these offices serve as Beijings propaganda arm in the United States, defending and dismantling the freedom of Hong Kong and obscuring the truth. The vote, on Sept. 10, was 413 yeas to 3 nays. NOT VOTING: McHenry R-NC (10th) YEAS: Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Adams D-NC (12th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Ross D-NC (2nd), Edwards R-NC (11th), Nickel D-NC (13th), Davis (NC) D-NC (1st), Foushee D-NC (4th), Jackson (NC) D-NC (14th) REPORT ON COLLEGE TIES TO CHINA: The House has approved an amendment sponsored by Rep. Ben Cline, R-Va., to the DHS Restrictions on Confucius Institutes and Chinese Entities of Concern Act (H.R. 1516). The amendment would require a Homeland Security Department report to Congress on colleges and universities that have ties to a Confucius Institute or other Chinese groups deemed national security threats. Cline said of the need for a report: Congress has a duty to conduct oversight of institutes of higher education that value their relationships with Communist China over national security for the American people. An amendment opponent, Rep. Bennie G. Thompson, D-Miss., said it would drain Homeland Security resources and could lead to punishing U.S. colleges for innocent ties with Chinese colleges. The vote, on Sept. 10, was 253 yeas to 151 nays. YEAS: McHenry R-NC (10th), Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Edwards R-NC (11th), Davis (NC) D-NC (1st) NAYS: Adams D-NC (12th), Ross D-NC (2nd), Nickel D-NC (13th), Foushee D-NC (4th), Jackson (NC) D-NC (14th) CONFUCIUS INSTITUTES AND COLLEGES: The House has passed the DHS Restrictions on Confucius Institutes and Chinese Entities of Concern Act (H.R. 1516), sponsored by Rep. August Pfluger, R-Texas. The bill would bar certain types of Homeland Security Department funding for U.S. colleges and universities that have established ties to Confucius Institutes, which are cultural organizations linked to Chinas government. Pfluger said the institutes were part of an adversarial campaign from China, and that we cannot allow any of these malign activities or actions to continue to undermine the United States. An opponent, Rep. Bennie G. Thompson, D-Miss., said the bills overly broad funding ban denies critical homeland security funding to U.S. universities, puts American students at risk, and harms our national security. The vote, on Sept. 10, was 249 yeas to 161 nays. YEAS: McHenry R-NC (10th), Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Edwards R-NC (11th), Davis (NC) D-NC (1st) NAYS: Adams D-NC (12th), Ross D-NC (2nd), Nickel D-NC (13th), Foushee D-NC (4th), Jackson (NC) D-NC (14th) TAIWAN AND THE WHO: The House has passed an amendment sponsored by Rep. Andrew Ogles, R-Tenn., to the No WHO Pandemic Preparedness Treaty Without Senate Approval Act (H.R. 1425). The amendment would voice unequivocal U.S. support for Taiwans full participation in the World Health Organization. Ogles said of the need for full participation: Because the world allowed the PRCs [Chinas] pressure campaign against Taiwan to succeed, no one listened when Taiwan tried to warn the World Health Organization of possible human-to-human transmission of the coronavirus on December 31, 2019. The vote, on Sept. 11, was unanimous with 403 yeas. NOT VOTING: McHenry R-NC (10th) YEAS: Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Adams D-NC (12th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Ross D-NC (2nd), Edwards R-NC (11th), Nickel D-NC (13th), Davis (NC) D-NC (1st), Foushee D-NC (4th), Jackson (NC) D-NC (14th) APPROVING PANDEMICS TREATY: The House has passed the No WHO Pandemic Preparedness Treaty Without Senate Approval Act (H.R. 1425), sponsored by Rep. Thomas P. Tiffany, R-Wis., to require Senate approval of U.S. involvement in any pandemic-related international agreement involving the World Health Assembly. Tiffany said Senate review of the draft pandemic treaty currently proposed by the World Health Organization was needed because the draft treaty shreds intellectual property rights. It also contains certain provisions that may police our First Amendment rights. An opponent, Rep. Gregory W. Meeks, D-N.Y., said this bill only serves to undermine diplomatic efforts seeking to strengthen global health security. The vote, on Sept. 11, was 219 yeas to 199 nays. YEAS: McHenry R-NC (10th), Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Bishop (NC) R-NC (8th), Davis (NC) D-NC (1st) NAYS: Adams D-NC (12th), Manning D-NC (6th), Ross D-NC (2nd), Nickel D-NC (13th), Foushee D-NC (4th), Jackson (NC) D-NC (14th) NOT VOTING: Edwards R-NC (11th) ANTI-CHINA INITIATIVE: The House has passed the Protect Americas Innovation and Economic Security from CCP Act (H.R. 1398), sponsored by Rep. Lance Gooden, R-Texas. The bill would re-establish the China Initiative at the Justice Department, and rename it the CCP (Chinese Communist Party) Initiative. The Initiative, which began in 2018 and was halted in 2022, aimed to counter threats from China, including spying and economic espionage. Gooden said: The rollback of the China Initiative left us lacking a dedicated approach and no oversight on issues of critical national interest. A bill opponent, Rep. Jerrold Nadler, D-N.Y., said the Initiative produced little more than a series of unsupportable cases against Chinese academics at the height of their careers that left their reputations in tatters. The vote, on Sept. 11, was 237 yeas to 180 nays. YEAS: McHenry R-NC (10th), Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Bishop (NC) R-NC (8th), Edwards R-NC (11th), Davis (NC) D-NC (1st) NAYS: Adams D-NC (12th), Manning D-NC (6th), Ross D-NC (2nd), Nickel D-NC (13th), Foushee D-NC (4th), Jackson (NC) D-NC (14th) FARMLAND SALES AND NATIONAL SECURITY: The House has passed the Protecting American Agriculture from Foreign Adversaries Act (H.R. 9456), sponsored by Rep. Dan Newhouse, R-Wash., to require the Committee on Foreign Investment in the U.S. to determine whether to make a national security review of potential sales of agricultural land to certain foreign parties, including Russian or Chinese nationals. Newhouse said: This legislation strengthens the safety of our supply chains and opens a door to new reporting mechanisms that USDA [Agriculture Department] can use to keep us safe from this threat. An opponent, Rep. Maxine Waters, D-Calif., said: The bills broad-brush approach of targeting individuals from China, North Korea, Russia, and Iran amounts to racial profiling. The vote, on Sept. 11, was 269 yeas to 149 nays. YEAS: McHenry R-NC (10th), Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Bishop (NC) R-NC (8th), Manning D-NC (6th), Edwards R-NC (11th), Davis (NC) D-NC (1st), Jackson (NC) D-NC (14th) NAYS: Adams D-NC (12th), Ross D-NC (2nd), Nickel D-NC (13th), Foushee D-NC (4th) ELECTRIC VEHICLE BATTERIES AND TAXES: The House has passed the End Chinese Dominance of Electric Vehicles in America Act (H.R. 7980), sponsored by Rep. Carol D. Miller, R-W.Va. The bill would bar electric vehicles that use batteries sourced from certain companies in China and other foreign countries from eligibility for the federal new clean vehicle tax credit. Miller said: This legislation will close the Chinese billionaire loophole that currently allows those entities owned by billionaires from countries of concern to benefit from the electric vehicle subsidies. A bill opponent, Rep. Daniel T. Kildee, D-Mich., said it imposed unworkable, unclear restrictions on electric vehicle makers that would lead the auto industry and battery manufacturers to pull back their U.S. investments and to pull back on investing in manufacturing for those critical elements right here in the United States and from friendly countries and to, instead, go back to relying on China. The vote, on Sept. 12, was 217 yeas to 192 nays. YEAS: McHenry R-NC (10th), Foxx R-NC (5th), Hudson R-NC (9th), Murphy R-NC (3rd), Rouzer R-NC (7th), Bishop (NC) R-NC (8th), Edwards R-NC (11th), Davis (NC) D-NC (1st) NAYS: Adams D-NC (12th), Manning D-NC (6th), Ross D-NC (2nd), Nickel D-NC (13th), Foushee D-NC (4th), Jackson (NC) D-NC (14th) Senate votes MARYLAND JUDGE: The Senate has confirmed the nomination of Adam Abelson to be a judge on the U.S. District Court for Maryland. Abelson was a lawyer at a Baltimore law firm before, in 2023, being named a magistrate judge on the Maryland court. The vote, on Sept. 10, was 53 yeas to 43 nays. NAYS: Tillis R-NC, Budd R-NC NEW YORK JUDGE: The Senate has confirmed the nomination of Jeannette Vargas to be a judge on the U.S. District Court for the Southern District of New York. A staffer in the U.S. Attorneys Office for the Southern District since 2002, Vargas has been the deputy chief of its civil division since 2016. The vote, on Sept. 10, was 51 yeas to 43 nays. NAYS: Tillis R-NC, Budd R-NC VERMONT JUDGE: The Senate has confirmed the nomination of Mary Kay Lanthier to be a judge on the U.S. District Court for Vermont. A public defender for a Vermont county since 2007, Lanthier was previously a private practice lawyer and a public defender. A supporter, Sen. Bernie Sanders, ID-Vt., said: I am confident that she will treat everyone in her courtroom with the compassion, respect, and dignity that they deserve. The vote, on Sept. 11, was 55 yeas to 42 nays. YEAS: Tillis R-NC NAYS: Budd R-NC MINNESOTA JUDGE: The Senate has confirmed the nomination of Laura Margarete Provinzino to be a judge on the U.S. District Court for Minnesota. Provinzino, a prosecutor for the U.S. Attorneys Office for the district since 2010, was previously a lawyer in Minneapolis. A supporter, Sen. Amy Klobuchar, D-Minn., said: Through her devotion to justice and the rule of law, she has earned the respect and support of attorneys and law enforcement across our state. The vote, on Sept. 12, was 54 yeas to 41 nays. NAYS: Tillis R-NC, Budd R-NC Today, Lincolns Block 67 houses three buildings, two commercial enterprises and a large church, although most Lincolnites will easily remember a point in history, not so long ago, when there were at least five structures standing. Today only two physical remnants remain of the earliest structures, one still plainly visible, the other total invisible but patiently waiting resurrection. In 1866, the year before the village of Lancaster became the city of Lincoln, the only structure in the entire community south of todays N Street sat roughly in what today is Block 67, bounded by M, N, 11th and 12th streets. That building, Valentine Brothers' Lumberyard, disappeared almost immediately in 1867 when the east half of Section 26, which originally belonged to Jacob and Editha Dawson, was platted and sold at auction by the State of Nebraska with the creation of the State of Nebraskas first capital. The Nebraska Capital Commission gave lots 10, 11 and 12 in the southeast corner of Block 67 to First Methodist Episcopal Church, todays St. Paul United Methodist Church. 1873 saw a second building constructed north of the church as the office of John Butler Architect and Builder. In the mid-1870s the northwest quarter of the block was purchased for $800, platted as Ballentines Addition but sold, undeveloped, at a later sheriffs sale for $30. Within two years, the northwest corner lots became the double-fronted Billingsley-Montgomery building. Sometime before 1884 a one-story building appeared at 226 South 11th Street, directly across the street east from the Lincoln fire departments engine house and joined a manse/parsonage directly north of the Methodist Church with the Webster Block and Masonic Temple. Timothy W. Townsend was born in a Quaker family turned Methodist, in 1844 in Ohio living in a house which was a stop on the Underground Railroad. In 1866 the family moved to Iowa, again living in a home which served as a station on the Underground Railroad, while Timothy operated photo studios in Des Moines and Iowa City. Son Alva was born in Iowa City in 1872 and Charles in 1874. Although Timothy briefly operated a photo studio in Hastings, in 1888, the family moved to Lincoln that same year. That year the one-story building at 226 South 11th was either enlarged or replaced, as a two-story masonry building. The upper served as their residence which was accessed via separate entrance stairs at 228 South 11th. The ground floor became Townsends Elite Photo Studio until simply being known as Townsends after 1895. Timothy and his wife moved to California in 1898, with the photo studio turned over to Alva. They then returned to Des Moines in 1900 where Timothy died in 1912. On Sept. 16, 1899, a fire exploded out of the back of Jacob North Printing, which was almost instantly spotted by the fire headquarters to the west. The fire quickly spread to the Masonic Hall while the church was ignored, being of stone and thought fireproof. Unfortunately, the fire caught the wooden rafter-ends, spreading, unseen, into the church. Within minutes the 14-year-old, $45,000, supposedly fireproof building, burned from the inside out and nearly an entire half block was destroyed with only the Webster Block and Townsend Studio surviving. In 1901 the extant St. Paul United Methodist Church was dedicated. By 1965 the west half of the block retained the single-story Christiansen Appliance store on the Masonic Temple site, a single-story auto supply, Townsend Studio and the Billingsley-Montgomery building, which housed the Army & Navy Store, with the upper floors nearly vacant. In the early 1970s Billingsley-Montgomery and the auto supply store were razed for the new Lincoln Federal Savings & Loan building. The Townsend building was placed on the National Register of Historic Places in 1984 with the building becoming the House of Flowers four years later. The Smith family, of Speedway Properties, who then owned the Townsend building, donated the site to St. Paul Methodist in 1996 but salvaged the stone facade in September of 1997 before razing the balance of the building. In 1945 Alva Townsend sold the photo studio to Ben Meyer who in turn sold it to James Clark in 1970, turning it over to his son Bradford who still operates the business. Townsend Studios still owns the large archive of glass plate and acetate negatives going back to 1888 with many prints archived at the Nebraska State Historical Society. Some of the churchs pre-fire stained-glass windows survive on the north side of the current church which was almost seamlessly extended to the west over the old Townsend site whose stone facade and 1888 datestone patiently waits in a Speedway Properties warehouse, perhaps for reuse in the Historic Haymarket. Nebraska's federal court judges have chosen a state judge to join the court as a United States magistrate judge. In a press release, Chief Judge Robert F. Rossiter Jr. said they have selected Buffalo County District Judge Ryan C. Carson. Carson will take the United States magistrate judge bench on Nov. 1, filling the vacancy created by the appointment of Judge Susan M. Bazis as U.S. District Judge, who was based in Omaha. "Nebraska's federal court, and the people it serves, are very fortunate to welcome such a highly qualified individual to our bench," Rossiter said. He said the district judges are grateful to the Merit Selection Panel for its "conscientious work, helping to ensure the selection of the new magistrate judge with outstanding experience, intellect, work ethic, temperament, and commitment to justice. The district judges are equally grateful to each of the extremely well-qualified candidates who took the time to apply for this important position." Carson is a cum laude graduate of California State University, Fullerton, and a cum laude graduate of the University of Notre Dame Law School. Prior to his state judgeship, Carson was in private practice focusing primarily on product liability defense, insurance defense and civil and commercial litigation. He was a partner with Jacobsen, Orr, Lindstrom & Holbrook in Kearney, and Taylor | Anderson LLP in Denver. Nebraska has three United States magistrate judge positions, two with chambers in Omaha and one in Lincoln. Magistrate judges are independent judicial officers, serving terms of eight years with potential for renewal. They are responsible for the management of most pretrial proceedings in federal civil and criminal matters, the trial of misdemeanor cases, and may preside over civil trials with the consent of the parties. Magistrate judge nominees are subject to FBI and IRS background checks before taking office. The current salary for the position is $223,836. Top Journal Star photos for September 2024 By Huang Fang, Wu Jiaju The 2024 China International Fair for Trade in Services (CIFTIS), themed "Global Services, Shared Prosperity," is being held at the China National Convention Center and the Shougang Park in Beijing from September 12 to 16, featuring participation from over 80 countries and international organizations. What impressions does the 2024 CIFTIS leave on participants? And what are the event's highlights that have won widespread praise from them? Kazakhminister:service tradebecomesa key driver of global economy Arman Shakkaliyev, Kazakhstan's minister of Trade and Integration, delivers a speech at the opening ceremony of the national pavilion of Kazakhstan at the China National Convention Center in Beijing, on Sep. 12, 2024. (Photo provided by the interviewee) "Kazakhstan places great importance on opportunities to discuss service trade, as it is becoming one of the key drivers of the global economy," said Arman Shakkaliyev, Kazakhstan's minister of Trade and Integration. He highlighted the broad scope of the strategic partnership between Kazakhstan and China, where services play a special role. "Both countries have achieved significant success in sectors such as transportation, logistics, information technology, education, healthcare, and tourism," Shakkaliyev noted, adding that transportation and logistics have become the foundation for success of many other industries. He also emphasized the potential for growth and cooperation in digital services and artificial intelligence. "We are keen to exchange experiences with China and are ready to collaborate on various initiatives and projects." Additionally, Shakkaliyev also expressed interest in the education and tourism sectors, noting their role in strengthening multinational culture exchanges. Italian governor: Western people should experience China's development firsthand Dott. Maurizio Rasero, the governor of Province Asti, Italy, talks to China News Network at the China National Convention Center in Beijing, on Sep. 12, 2024. (Photo: China News Network / Wu Jiaju) "I hope to have enough time to attend all the forums at CIFTIS," said Dott. Maurizio Rasero, the governor of Province Asti, Italy. After attending the conference organized by the World Tourism Cities Federation (WTCF), a key forum at the 2024 CIFTIS, Rasero remarked that the conferences mission aligns closely with Asti Provinces plans for cultural and tourism development. Having visited nearly 30 cities in China, Rasero emphasized the unique culture of each one and expressed admiration for the diligence and indomitable spirit of the Chinese people. "I hope that more Western politicians and citizens will come to China and experience its development firsthand," Rasero added. Zimbabwean diplomat: China's development is astounding Thuresi Ndarama, a Third Secretary at the Zimbabwean Embassy in Beijing, gives an interview with China News Network at the Shougang Park in Beijing, on Sep. 12, 2024. (Photo: China News Network / Huang Fang) "China is an amazing country with amazing people who are very friendly!" said Thuresi Ndarama, a Third Secretary at Zimbabwe's Embassy. At the Belt and Road Initiative cultural showcase in Shougang Park, the Zimbabwe Embassys pavilion featured an array of animal-shaped handicrafts. "This is a colorful world, and it is nice to meet so many people at CIFTIS," said Ndarama, expressing her hope for more Chinese people to visit Zimbabwe to further economic and cultural exchanges between the two countries. Slovak diplomat:shared prosperity fosters understanding and cooperation Tomas Kudlacak, a Third Secretary at the Embassy of the Slovak Republic in Beijing, talks to China News Network at the China National Convention Center in Beijing, on Sep. 12, 2024. (Photo: China News Network / Huang Fang) Commenting on CIFTIS' theme, Tomas Kudlacak, a Third Secretary at the Embassy of the Slovak Republic in Beijing, emphasized that despite the cultural differences, efforts to understand each other help overcome obstacles. "When you want to communicate, you just do what you can. If somebody wants to understand, then he will understand," he said. Kudlacak highlighted efforts to promote cultural exchanges between the people of Slovakia and China, noting that it was an honor to experience diverse cultures while working at the embassy. International exhibitors praise CIFTISasan excellent exchange platform Rwandan exhibitor Mutijima Emma participates in an interview with China News Network at the Shougang Park in Beijing, on Sep. 12, 2024. (Photo: China News Network / Huang Fang) "CIFTIS is the world's largest comprehensive fair and a good platform for exchanging experience and business ideas, showcasing our countrys goods, and facilitating cultural exchanges," said the Yemeni exhibitor Al-Hababi Rashad Ali Hussein at the Yemen Embassys pavilion in Shougang Park. Thanks to the Belt and Road Initiative, Yemeni economy is progressing steadily, and cultural exchanges between Yemen and China are increasing, further deepening bilateral relations, he noted. Rwandan exhibitor Mutijima Emma, who has lived in China for seven years, remarked on the country's rapid development. "China is a country that has been developing so fast. I think a group of countries should learn from China and cooperate with China," Emma said. Photos by Avery Aiken () Did you know that metro stations in South Korea have express trains? Well, I didnt. And unfortunately for me, I learned that while stuck on one. My husband, Corey, and I had been trying get to Pyeongtaek Jije Station, but the metro we were on raced passed Jije and continued for another 15 minutes. We didnt have 15 minutes to waste. We had a bullet train to catch; one that left in half an hour. As we stood on the wrong platform in the wrong city, I started to cry. By miscalculating the train route, we had turned our early arrival at Jije into a missed connection to Busan. I felt so defeated. How were we going to make it back to the right station on time? Answer: we probably werent. We had suitcases slowing us down. On top of that, I was wearing a skirt that didnt make sprinting to the taxi pick-up zone very practical. And even once we made it into a taxi, a car can only go so fast. When our driver entered Jije Station into Naver Map, I realized the 15 minutes we had spent zooming farther and farther from Jije on the metro was going to take 30 minutes of racing through traffic to undo. Our train to Busan left in 5 minutes. We were defeated. I had spent weeks planning this trip, a whole afternoon getting our bullet train tickets, and a couple of hours mapping the route from our apartment to Jije Station, which is where the bullet train leaves from. An express tutorial All that effort seemed like enough to get it right. But I didnt know about the express train, and it foiled my plans. So, let me take a moment to explain it for you so that you dont end up crying in the middle of train station like me. To begin, most metro lines have an express train which runs the same route as the normal metro train. However, the express train stops at significantly fewer stations. If youre using the KakaoMetro app to map your travels, look to see if there is a gray train symbol above your intended destination. If there is, then you can get on the Line 1 express train at Pyeongtaek Station and start your journey seamlessly. The gray symbol indicates which stops the express train will make. Additionally, youll know if youre getting on an express train because (1) Naver Map annotates them by putting an E next to the name of whichever line youre supposed to be on, and (2) as the train approaches the platform, there is an announcement over the loudspeaker which literally says the express train to [X] is approaching. So yeah, that was embarrassing to learn. But Im glad that I did. Also, when youre using Naver Map to route your trip, pay attention to the time the app says your designated train is supposed to be arriving. You might be on the right platform and it might have signs that are pointing in the right direction, but if Naver says your train isnt supposed to be there until 9:38 and a train arrives at 9:32, its not your train. At least, not when youre riding the metro. Learn from me. Dont get on an express train when youre supposed to be on the regular one. And dont get on the regular train when youre supposed to be on an express train. It will add a ton of time to your journey. I made that mistake too, and it turned a 30-minute return trip into an hour-and-a-half meander through Gyeonggido. Man behind the counter Anyways, back to my story. Im crying in the back of the taxi. Corey is squeezing my hand and already thinking of perfectly good solutions that, in my disappointment, I refuse to consider. And our taxi driver is weaving between buses and delivery drivers like were in a video game. Finally, we arrive at Jije Station. Corey hops out of the car with renewed optimism and begins to unload our bags while I finish paying our driver. We ride the long escalator up into Jije and walk over to the ticket counter. I have stopped crying at this point. We hand our ticket printouts to the man working the counter. Its pretty impossible to buy bullet train tickets online without a Korean credit card. And we dont have one. Which means the only way we could buy tickets in advance was to go to the Discover Seoul office on Camp Humphreys and pay in cash. That is why we have printouts instead of an email or some sort of high-tech ticket. That is also why I was very concerned about catching this one particular bullet train to Busan. I tell the man that we missed our train. He reads the tickets: 12:50 departure. He turns to look at the large red numbers on the digital clock behind him: 13:09. He kindly confirms that we did, in fact, miss our train. We nod, our eyes widening to look like a puppy dogs. Without a word, he pulls up the train schedule. The next one departs at 13:21. He asks if we want to reschedule our tickets. We nod, our faces bright with hopeful smiles. His computer screen is mirrored on a screen facing into the station lobby, so we can see what hes seeing. He clicks on the only ticket that seems to be listed. Miraculously, that ticket is on the next train to Busan, but there are no more tickets listed for the rest of the day. I keep thinking that hes going to break the news to us, but instead he clicks the single ticket to claim it and then refreshes the screen. Nothing. He refreshes it again. Nothing, again. He keeps refreshing it, again and again and again, his index finger jamming enter on his keyboard probably 20 times every minute. The time is passing and were getting closer to that 13:21 departure time. I am holding my breath, sure that this man is going to give up on us. But he doesnt. He calls someone over to assist the people who get in line behind us. He wont stop looking for our second ticket. Never in my life have I had someone work so hard to correct my mistake for me. But this man is determined to save our weekend. Its now 13:18, and I am pretty sure were going to have to start seeing if there are any tickets to Busan for tomorrow. Its too late to cancel the first night at our hotel, but that seems like the least of our concerns right now. Just as Im about to voice my surrender, a ticket appears. It leaves an hour after the 13:21 train. The man asks if were okay going on two different trains. We nod, our heads moving so fast that my sunglasses fall off the top of my head. He smiles, claims the second ticket for us, and starts processing our payment. We decide Corey will go first. The clock hits 13:20 and he tells Corey to run to the train. We yell our goodbyes, and I collect the receipt. An hour later, as Im standing on the platform waiting to board, I see the man who saved our trip. Hes helping people find their seats and lift their bags up the trains steps. With a smile, he walks to me, gives me a playful nudge, and exclaims that now its my turn to start the vacation. I must repeat, never in my life have I had a total stranger invest so deeply into helping me fix my travel mistake. Not a gate agent at the airport or a taxi driver in any city Ive ever visited. And certainly not someone at a train stations ticket counter. Last time I was in Boston, I had the wrong tickets for the commuter rail and when I asked for help getting the right tickets, the ticket agent told me it would probably be fine as she gulped down a Dunkin latte. For the entire two-hour ride to Busan, I kept my eyes glued to the window and said little prayers of thankfulness in my head for the Korean ticket agent who got me a seat, who fixed my mistake, who saved the day. Traveling in Korea might be filled with firsts and hard-learned lessons, but the kindheartedness of Koreans makes it a lot easier to learn those lessons. And once you do, you can sit back and enjoy picturesque views on the bullet train to Busan. People from both sides of the Taiwan Strait gathered in Fuzhou, capital of East China's Fujian province, on Sept 12, 2024 to celebrate the upcoming Mid-Autumn Festival. [Photo by Wen Linxiang/For chinadaily.com.cn] Ahead of the Mid-Autumn Festival, a traditional Chinese holiday that symbolizes family reunions and falls on Tuesday this year, more than 200 people from both sides of the Taiwan Strait gathered in Fuzhou, capital of East China's Fujian province, to call for peaceful development. Song Tao, head of both the Taiwan Work Office of the Communist Party of China Central Committee and the Taiwan Affairs Office of the State Council, stressed upholding the one-China principle and the 1992 Consensus as well as resolutely opposing "Taiwan independence" while meeting with Taiwan guests attending the event on Thursday. "It is a tradition for Chinese people to reunite with the whole family and celebrate the festival," Song said, adding that the Chinese mainland will actively boost the cross-Strait flow of personnel as well as exchanges and cooperation in various areas, and continue deepening integrated development across the Strait. Hsia Li-yan, vice-chairman of the Chinese Kuomintang, said, "People from both the mainland and Taiwan are all Chinese and close as family." The activity featured snacks, cultural performances and exhibitions by Taiwan firms. Guests from both sides, as well as children dressed in hanfu attire, joined hands to light lanterns, hoping together for a better future across the Strait. The event was co-hosted by Fuzhou and Matsu an island off the coast of Fujian and administered by Taiwan, which has become a frontier for interactions between Taiwan and the mainland. In April, the mainland announced the resumption of permitting Fujian residents to travel to Matsu, after suspension for several years due to the COVID-19 pandemic. Weng Ying-min, executive vice-chairman of the Fuzhou Taiwan-funded Enterprises Association, said, "Local residents have a deep longing for mainland residents to visit Matsu and Kinmen for tourism, which would greatly benefit the local economies." Chen Yi-ting, a member of the All-China Federation of Taiwan Compatriots, said: "It was particularly meaningful because compatriots from both sides gathered to celebrate the festival of reunion. Such moments are especially precious. In Taiwan, we also like to find a place to enjoy the fun of barbecuing together." Wang Chenye and Wen Linxiangcontributed to this story. EUSTIS On Tuesday, Sept. 10, the old gymnasium at Eustis-Farnam Elementary School was filled with enthusiastic elementary school teachers, students, bank employees and members of the Eustis Chamber of Commerce for a ribbon-cutting ceremony marking the opening of a new student branch of Waypoint Bank in Eustis. The initiative aims to provide children at Eustis-Farnam Elementary School with a foundational understanding of money management and savings. This program is designed to prepare students for better financial planning as they grow older. Before the ceremony, sixth-grade students received training from Waypoint Bank employees. The goal was to equip them with the skills to assist younger students in learning basic banking principles. These older students will act as peer educators, sharing the financial skills theyve acquired with their younger counterparts. Waypoint Bank Assistant Vice President Tristan Newcomb said the partnership between the bank and the school emerged from her previous work with older students. Part of Newcombs role includes visiting fourth-grade classes and high schools to teach banking fundamentals. Eustis-Farnam Elementary School Principal Taylor Jenner proposed introducing similar financial education programs to elementary-age students. This proposal ultimately led to a collaborative partnership between Eustis-Farnam Elementary School, Waypoint Bank and the University of Nebraska-Lincoln. The result was the launch of the student bank branch under the School Savings Program initiative. Newcomb highlighted the type of training the sixth graders received, explaining that they were taught essential banking skills, including how to act as a teller, handle deposits and develop professional relationships with customers. This hands-on experience provided these students with a deeper understanding of banking operations. Banking and finance are part of everyones everyday lives, she said. Its something everybody needs to know. Newcomb stressed the importance of starting financial education early. We want to start teaching it at a young age so the kids can be prepared as they get older and are experienced when they have to deal with major financial duties in their lives, she said. While the program is geared toward teaching students the value of saving and managing money, some students may still struggle to fully grasp the long-term importance of financial literacy. To address this, Newcomb offered advice to parents, encouraging them to consistently communicate with their children about the value of money and financial planning outside the classroom. Teaching kids how important money is will lead to success in their lives, Newcomb said. Depending on what they want to buy in the future, whether its a car or a house, maybe theyll have enough cash saved up to make a purchase outright, rather than relying on multiple loans. Newcomb expressed her excitement about the future of the program and the opportunities it provides for students. Were really excited to get this branch going. Banking is a big passion of mine, and we just want the kids to be prepared for everyday life and the banking world, and to understand how important it is to save up, she said. The U.S. is divided when it comes to state and federal marijuana policy, but recent political developments could move the country toward a greater acceptance of cannabis. Both major presidential candidates signaled support for a federal policy change to reclassify marijuana as a less dangerous drug, and voters in several additional states will get a say this fall on legalization. Though still illegal under federal law, public approval of marijuana grew significantly and so has the number of states where it's legally sold in stores. What does the law say? Possessing marijuana is a federal crime punishable by fines and prison time. Selling or cultivating marijuana is a more serious federal crime, punishable by prison sentences of five years to life, depending on the quantity of the drug. But many states abolished their own marijuana penalties. Twenty-four states and the District of Columbia representing 53% of the U.S. population legalized marijuana and now tax and regulate sales, according to the Marijuana Policy Project, which supports legalizing cannabis. An additional seven states removed jail sentences for possessing small amounts of marijuana. The District of Columbia and 38 states have laws that allow the medical use of marijuana. What's happening in Washington? The Justice Department in May proposed to reclassify marijuana from a Schedule I drug to a less dangerous Schedule III drug, which includes such things as ketamine and some anabolic steroids. That switch involves a lengthy process. The Drug Enforcement Administration set a Dec. 2 hearing to take comment on the proposal. That means a final decision could come after Democratic President Joe Biden leaves office in January. Vice President Kamala Harris, the Democratic presidential nominee, backs decriminalization and said it's "absurd" that marijuana is classified as a Schedule I drug, alongside heroin and LSD. Former President Donald Trump, the Republican presidential nominee, also signaled support for the policy change. He posted on social media that he would "continue to focus on research to unlock the medical uses of marijuana to a Schedule 3 drug" and said he would vote "yes" on a Florida ballot proposal to legalize recreational marijuana. What's on the ballot? The Florida initiative would allow recreational sales to people over 21 from existing medical marijuana dispensaries, with the potential for the Legislature to license additional retailers. The proposal needs at least a 60% vote to pass and would take effect six months after voter approval. The campaign was the costliest of nearly 160 measures on state ballots this year, attracting tens of millions of dollars of contributions primarily from supporters, according to the election tracking organization Ballotpedia. Among the opponents are the Florida Republican Party and Gov. Ron DeSantis, who said it would reduce quality of life. Voters in North and South Dakota will be asked for the third time whether to legalize marijuana beyond medical use. The measures need a simple majority to pass. Nebraska Secretary of State Bob Evnen said initiatives to legalize and regulate medical marijuana appear to have enough petition signatures to qualify for a statewide vote. He faced a Friday deadline to certify measures for the ballot. What are people saying? About 70% of American adults said marijuana should be legal in a Gallup poll last year, the highest level recorded by the polling firm since it first asked about marijuana policy in 1969. By contrast, only about one-third of respondents supported marijuana legalization 20 years ago. Last year's Gallup poll showed the highest support for marijuana among young voters, a key demographic in seven presidential battleground states. An analysis of national survey data published earlier this year found an estimated 17.7 million people reported using marijuana daily or near-daily in 2022 up dramatically from less than 1 million people in 1992. What's happening with similar drugs? Some state officials are battling the sale of unregulated products derived from hemp, which is federally classified as distinct from marijuana. Some states banned or restricted synthetic hemp products, including South Dakota and Wyoming, where new laws took effect July 1. Indiana authorities warned stores to remove products that contain delta-8 THC, a synthesized form of CBD that's prevalent in hemp, that they say also contain illegal amounts of the psychoactive delta-9 THC found in marijuana. In Missouri, where marijuana was legalized in 2022, Republican Gov. Mike Parson raised concerns that certain hemp-derived products are being marketed to children and ordered a crackdown by the state health department. He joined with Attorney General Andrew Bailey this month to announce a task force focused on unregulated psychoactive cannabis products. ___ 'Behind the 8 ball': How research is trying to catch up on cannabis and kids 'Behind the 8 ball': How research is trying to catch up on cannabis and kids 'Behind the 8 ball': How research is trying to catch up on cannabis and kids Azerbaijan's Azercosmos took part in the 5th Space Economy Leaders Meeting, held from September 11-13 during the G20 Summit hosted by Brazil, Azernews reports. The global summit focused on the development of the space economy, touching on its commercial, scientific, and political dimensions. The event aimed to unite leaders from governments, space agencies, and the private sector to foster dialogue on the expansion of the space economy. Under the theme "Space Economy and Climate Change: Challenges and Opportunities," discussions centered on the growing role of space technology in tackling climate change and advancing sustainable development goals. Dunay Badirkhanov, Vice-Chairman of Azercosmos, delivered a speech during the Heads of Space Agencies Session. He discussed the growth of Azerbaijan's space sector, the significance of hosting the International Astronautical Congress in Baku, and the role of space technologies in addressing climate change. He also highlighted Azerbaijan's program for Small Island Developing States and invited the space community to attend the upcoming COP29 conference in Baku this November. In addition to G20 nations, the summit was attended by representatives from various countries and organizations, including space agencies, the World Bank, the International Astronautical Federation, and the UN Office for Outer Space Affairs. Azerbaijani embassy officials in Brazil were also present at the event. PORTAGE Republican U.S. Senate candidate Eric Hovde signaled support for former President Donald Trumps proposal to end taxes on overtime wages at a campaign event Friday in Portage. He also expressed some dissatisfaction with the GOP presidential nominees performance in Tuesdays debate and said he hopes to see another, despite Trumps refusal so far to agree to a rematch with Democratic Vice President Kamala Harris. Hovde is set to meet incumbent Sen. Tammy Baldwin in a debate Oct. 18. Hovde was accompanied at Wieser Concrete Products by South Dakota Sen. John Thune. Hovde, Thune and several employees joined the companys president, Mark Wieser, on a tour of the facility. You are what makes this country great, the men and women that get up every single day and go work and build and create the economic engine that America has, Hovde told employees during an address that focused mainly on the high cost of living and the crisis at the southern border. After remarks from Hovde and Thune, Hovde took questions from his audience. One employee asked whether Hovde would support ending taxes on overtime pay, as proposed by Trump at a Thursday rally in Arizona. He also asked if Hovde would support previous appeals by Trump to working-class voters, such as removing taxes on tips and Social Security benefits. Im very amenable to not having a tax on overtime, Hovde said. I just heard about this and Id have to think through it, but to me it has such a limited impact on the federal deficit and revenue and expenses. Another employee, who said he agreed with Hovdes call to stop illegal border crossings, asked about steps the candidate would take to fix Americas legal immigration system. Hovde agreed that the countrys legal immigration system is a mess and proposed moving the country toward a point-based immigration system similar to Canadas Comprehensive Ranking System, which assigns a merit-based score to candidates applying for permanent residency. For example, we have a shortage right now of doctors and nurses in this country, Hovde said. Under a points-based immigration system, he said, doctors and nurses would be granted residency on a quicker timeline. He also used the question as an opportunity to double down on his criticisms of Democrats handling of illegal border crossings. Once they open the southern border, its not just good people wanting to come here. Its every bad person as well, he said. They allowed (Nicolas) Maduro and Venezuela to essentially empty their jail cells into our country. More questions Concerned about an increased cost of living, another Wieser employee asked about Hovdes plans to bring down reckless government spending. Hovde proposed reducing government spending to pre-pandemic levels and decreasing business regulations. Almost every single businessperson I talk to talks about how regulations are hampering, he said. Farmers always talk about it. We need to get business activity growing in a much more robust way. Another worker asked if Hovde believes in term limits, saying he is fed up with old kooks in office who prioritize their own interests over those of their constituents. The candidate said he 100 percent believes in term limits and pointed to a term limit pledge he signed during his 2012 Senate campaign. Debate feedback Hovde, who is set to meet Baldwin on the debate stage on Oct. 18, has repeatedly called on his opponent to agree to more than one debate. But Hovde excused Trumps refusal to debate Harris a second time, saying Trump has already served as president and gives frequent press conferences and so voters already know enough about him. Still, Hovde said, he hopes they end up having another debate, though it is less important at the presidential level because candidates have more access to the people and the press. Overall, while he was not thrilled with Trumps performance on Tuesday, Hovde said he was more concerned with Harris shortcomings. Look, would I have liked to have seen a better performance by President Trump? Of course, Hovde said. But do I think Harris did well? No, she never addressed anything. Tim Eiseles column in the Wisconsin State Journal last Sunday, Hunting cranes wont fix problem, doesnt tell the whole story. Eisele suggested avoiding a hunting season by shifting the cost of agricultural damage from Wisconsins rapidly growing sandhill crane population to the taxpayer. A state legislative study committee is now examining issues posed by our surging crane population. The committee is comprised of bipartisan legislators, farmers, birders and hunters. My organization, Wisconsin Waterfowl Association, is represented by that last group. After years of study, our conclusion is that crane hunting as occurs in one-third of the states is ethical and would suppress future damage to crops. Eisele questioned whether a crane hunt in Aldo Leopolds home state of Wisconsin is appropriate. But this is a shallow argument, especially because Leopold was a hunter. For generations, waterfowlers have embraced the U.S. Fish and Wildlife Services science-based management of migratory game birds such as ducks, geese and cranes. Thanks to fact-based management and hunter funding for habitat, waterfowl gamebirds are up a remarkable 56% in the past 50 years, according to a 2019 Journal Science report. Meanwhile, the backyard birds we feed are collectively down 3 billion. Hunters put their money where their heart is, contributing billions of dollars to wildlife habitat. Besides licenses, stamps and excise taxes on our equipment, waterfowlers make voluntary contributions organizations such as Ducks Unlimited and my own Wisconsin Waterfowl Association. Waterfowl benefit, and so do communities surrounding Wisconsins hundreds of thousands of acres of restored wetland. The crane populations visiting Wisconsin grew 650% in those 50 years. At 111,000 birds, its nearly four times the federal requirement to support a hunt. It continues to grow at 4% annually, according to the latest annual bird count. That growth exacts an expanding toll from farmers who plant corn, potatoes and other crops. Last year, Wisconsin farmers reported $1.9 million in crop damage, spending an additional $2 million to apply a chemical that deters cranes to 200,000 acres, according to the U.S. Department of Agriculture. Thats the tip of the iceberg. The USDA says 2.8 million Wisconsin acres are at risk. At $10 to $15 an acre, the liability that farmers not bird watchers could be $28 million to $45 million per year. Farmers can get federal permits to protect crops by killing marauding cranes. In the past decade, 10,000 were killed in Wisconsin, which under those permits must be left to rot. Curiously, no birding group came forward to offset the chemical treatment costs to reduce that number. Meanwhile, because the crane is not hunted here, hunter-supplied dollars for depredation remain unavailable to farmers to offset crane damage, now reserved for geese, turkeys and bear. How large will the crane population grow in the next decade is anyones guess. But even the International Crane Foundation says todays population sustains a hunt. Further, its research suggests hunting can constrain the population, limiting future farmer-absorbed damage. Establishing a hunt under U.S. Fish and Wildlife Services successful management will sustain the health of the crane population, expand field-to-table hunting opportunities, help offset farmer losses and constrain future agricultural damage. Lets hope the study committee gets past superficial anti-hunting rhetoric to reach conclusions that serve all state interests. The 10th International United Cultures Forum has come to an end in St. Petersburg, Russia. The final session of the event saw the participation of a delegation from Azerbaijan led by Minister of Culture Adil Karimli, Azernews reports. The session was moderated by Mikhail Gusman, Deputy General Director of TASS agency. Tatyana Golikova, Deputy Chairman of the Government of the Russian Federation, highlighted the significance of the forum, emphasizing its role in fostering development and cooperation based on traditional values. She noted that the event, titled United Cultures, has become an important platform for intercultural exchange, bringing together representatives from various countries to discuss global issues. Azerbaijans Ambassador to Russia, Polad Bulbuloglu, also spoke at the forum. He praised the productive meetings held by the Azerbaijani delegation and proposed expanding the CIS Interstate Humanitarian Cooperation Fund to include participants from a wider range of countries beyond the CIS. His proposal received support from Tatyana Golikova, who thanked him for his work and extended her best wishes. Two lifelong friends embarked on a remarkable journey that combined the artistic traditions of the Philippines and Indonesia. Inspired by the beauty of Indonesian batik, they began a quest to blend it with the delicate Philippine fabric Jusi. Through perseverance and experimentation, Freddy Mercado Jr. and Thelma Victorio created Barong Batika stunning fusion that revolutionized both the Philippine barong and Indonesian batik. Each piece, meticulously hand-drawn by skilled artisans, is a unique work of art, a showcase of cultural collaboration and the pursuit of beauty. - Advertisement - Their story began in 2006, not as a grand business plan, but as an experiment rooted in curiosity and artistic passion. Mercado, an artist himself, was captivated by the intricate process of batik creation he witnessed in a bustling Indonesian mall. I saw an old lady doing the batik process with a kettle of hot oil, painting on a white cloth, and I immediately thought of why not on our jusi, Mercado recalls. This sparked a quest to find batik artisans in Central Java, leading them to the heart of batik artistry in Pekalongan. It took over a year of experimentation to perfect the process of applying batik to jusi, ensuring the colors wouldnt bleed and the fabric would retain its elegance. We usually travel back and forth to Manila, and when we had the chance we brought the jusi with us to Jakarta and the artisans tried to sample the process using our fabric. It took time for the batik artisans to master the technique. After more than a year of experimentation, we brought the textile back to Manila to have it made into barong, Victorio says. Modernizing tradition The Barong Batik made its debut at a Philippine Embassy reception in Jakarta in 2010. Mercados creation sparked immediate interest among the Filipino expat community. They were drawn to the vibrant colors and modern designs, a departure from the traditional dark hues of batik. Mercado and Victorio both realized that their accomplishments in the mid-2000s marked the start of a fashion revolution. Barong Batik modernized the traditional Philippine barong and Indonesian batik, creating a unique fusion of cultures that appealed to a sophisticated clientele including Indonesian and Philippine dignitaries, the Philippine expat community, government officials, businessmen, and individuals looking to make a statement. Piece of wearable art The process begins with Philippine jusi transported to Pekalongan, where skilled batik artisans meticulously hand-draw intricate patterns using the traditional wax-resist technique. Each cut takes about four to six weeks to complete for Jaab Batik and eight months for Batik Tulis. Despite receiving offers from major retailers like SMs Kultura and renowned barong maker Onesimus to expand the reach of Barong Batik, Mercado and Victorio opted to maintain their exclusive market positioning. The decision stemmed from the high cost associated with both the intricate fabric and the specialized production process involved in creating these unique garments. What we are selling is a piece of art. Barong Batik is more than just clothing; its a statement of individuality and cultural appreciation. Its niche market comprises those seeking something truly special for lifes significant moments, from ballroom galas to business conferences, Victorio says. Global presence While based in Jakarta, Barong Batik has expanded its reach, with showrooms in the Philippines and collaborations with prominent figures in the Filipino business community, such as that with Filipiniana maverick designer Patis Tesoro. Their commitment to quality and artistry has garnered attention from those seeking to incorporate Barong Batik into various fashion and lifestyle products. The product speaks for itself as it is a timeless fashion statement. Every person wants to be recognized. Donning Barong Batik truly elevates both the Philippines and Indonesias creativity to global recognition, Mercado says. Its a celebration of two nations artistic traditions, woven together into a unique and timeless fashion statement. From its humble beginnings to its global recognition, Barong Batik represents the enduring spirit of friendship, innovation and the pursuit of beauty. Ministers from Muslim and European countries along with the European Unions foreign affairs chief gathered Friday in Madrid to discuss how to advance a two-state solution to the Israeli-Palestinian conflict. Together, we want to identify the concrete actions that will enable us to make progress towards this objective, Spanish Prime Minister Pedro Sanchez wrote on social network X. - Advertisement - The international community must take a decisive step towards a just and lasting peace in the Middle East, the Socialist premier added. Sanchez welcomed participants at his official residence before the start of the meeting at the foreign ministry in central Madrid, hosted by his top diplomat Jose Manuel Albares. The implementation of the two-state solution is the only way to ensure a just and lasting peace in the region through the peaceful and secure coexistence of the state of Palestine and the state of Israel, Albares told a news conference. In attendance were Palestinian Prime Minister Mohammad Mustafa and the foreign ministers of Egypt, Jordan, Qatar, Saudi Arabia and Turkey all members of the Arab-Islamic Contact Group for Gaza as well as the heads of the Arab League and the Organisation of Islamic Cooperation. The European Union was represented by its foreign affairs chief Josep Borrell as well as the foreign ministers of Ireland, Norway and Slovenia in addition to Spain. EU nations have different positions on whether to recognise Palestine as a state but there is undoubtedly unanimity in the union on the need to support the solution based on the construction of the Palestinian state, because the state of Israel already exists, Borrell told a separate press conference after the end of the meeting. Israeli Foreign Minister Israel Katz blasted Borrell for taking part in the gathering, writing on social network X that Borrell supports establishing a Palestinian terror state controlled by Iran, and the axis of evil against Israel, moderate Arab states, and Europe. This is Borrells legacy - anti-Semitism and hatred towards Israel, he added. Tragic dimension Asked about Katzs comments, Borrell said that accusing those who disagree with a governments position of anti-Semitism makes no sense. There have unfortunately been examples in history of what it means to be anti-Semitic, and I dont think we should play with big words that have had a tragic dimension in history, he added. Calls for a two-state solution have grown since the outbreak of the war in Gaza, which began with Hamass October 7 attack on Israel. That attack resulted in the deaths of 1,205 people, mostly civilians, according to an AFP tally based on Israeli figures. The militants also seized 251 hostages, 97 of whom are still in Gaza, including 33 the Israeli military says are dead. Israel has responded with an offensive that has killed at least 41,118 people in Gaza, according to the health ministry in the Hamas-run territory. The UN rights office says most of the dead are women and children. Sanchez has been one of the staunchest critics in Europe of Israels Gaza offensive since the start of the conflict. Under his watch, Spain on May 28 along with Ireland and Norway formally recognised a Palestinian state comprising the Gaza Strip and the West Bank. Earlier this month he announced that the first bilateral summit between Spain and Palestine would be held before the end of the year. He said he expected several collaboration agreements between the two states to be signed. The Manila International Airport Authority (MIAA) has officially transferred the management of Ninoy Aquino International Airport (NAIA) to its new private operator, New NAIA Infrastructure Corp. (NNIC), for the planned rehabilitation of the countrys premier airport. Facing the challenge of modernizing the airports facade, capacity, operations, and maintenance, NNIC will now be responsible for raising NAIA to international standards. - Advertisement - NNIC chairman Ramon Ang described the concession agreement as an investment in the Philippines future, stating it will contribute to the countrys economy. A world-class airport means more jobs, more tourists, and a much stronger and more prosperous Philippines, Ang said during the handover ceremony at NAIA Terminal 3. The baton has been passed, and now it is our turn at NNIC to carry it forward to the finish line, NNIC General Manager Lito Alvarez said. Transportation Undersecretary for Aviation Roberto Cecilio Lim expressed support for NNIC, adding the takeover will pave the way for a world-class and modernized NAIA. Today, we celebrate the competitive spirit of the winning consortium, which brings financial strength, management expertise, and the technical operations strength and experience of Incheon Airport, Lim said. Peru will on Saturday lay to rest polarizing former president Alberto Fujimori, who ruled with an iron fist in the 1990s and later spent 16 years in prison for crimes against humanity. Fujimori, who had Japanese heritage, was revered by many for crushing leftist guerrillas and for boosting the economy, but reviled by others as an autocrat who signed off on brutal human rights abuses. - Advertisement - He died on Wednesday, aged 86, after a long battle with cancer. After lying in state for three days he will be buried on Saturday following a state funeral. The death of the ex-leader, who loomed large over Peruvian politics long after he faxed in his resignation from exile in Japan in 2000, triggered a vigorous debate on social media over his legacy. Thousands of admirers queued at the National Museum in Lima on Thursday and Friday to pay their respects at his open casket. He defeated terrorism and in reality was the best president Peru could have had, Jackeline Vilchez, from a family of self-described fujimoristas, said outside the former leaders residence, where she came to pay her respects. But relatives of the victims of army massacres carried out on his watch lamented that he went to the grave without showing remorse for their deaths. He left without asking forgiveness from their families, he made a mockery of us, Gladys Rubina, the sister of one of the civilian victims, told AFP, sobbing. Fujimori, an engineer by training, worked as a university maths professor before entering politics. In 1990, he caused a surprise by defeating acclaimed writer Mario Vargas Llosa to win the presidency. His neoliberal economic policies won him the support of the ruling class and international financial institutions. He also won praise for crushing a brutal insurgency by Shining Path and Tupac Amaru leftist rebels in a conflict that left more than 69,000 people dead and 21,000 missing between 1980 and 2000, according to a government truth commission. But the brutal tactics employed by the military saw him spend his twilight years in jail. In 2009, he was sentenced to 25 years in prison for crimes against humanity over two massacres carried out in the name of Perus so-called war on terror one at a house party, the other in a university dormitory that left 25 people dead. As recently as July, Fujimori had been considering a comeback attempt in 2026 elections, according to his daughter Keiko, also a politician. But he was dogged by ill health and had only recently completed treatment for tongue cancer. Nigeria counts the losses from a dam collapse that killed at least 37 people, destroyed thousands of homes and affected 1 million others in the state of Borno, according to authorities. The flash flood occurred when a dam overflowed, engulfing a zoo and sweeping animals including crocodiles and snakes into communities. In Maiduguri, the capital of Borno State, schools, hospitals, government offices, worship centers, banks, markets, and commercial areas were submerged. The dam collapse would worsen the refugee crisis in the state of Borno where the government is battling an Islamist insurgency led by terrorist group Boko Haram. BoKo Haram insurgency has spilled across borders around Lake Chad killing more than 35,000 people, displaced 2.6 million others in the countrys north-east region This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Boar's Head announced Friday that its Jarratt, Va. deli meat manufacturing plant, found to be the source of a deadly outbreak of listeria infections, will be closed indefinitely. Boar's Head added that it also believes it has located the source of contamination. "Our investigation has identified the root cause of the contamination as a specific production process that only existed at the Jarratt facility and was used only for liverwurst. With this discovery, we have decided to permanently discontinue liverwurst," the company said in a statement released Friday. As of Aug. 28, the death toll from listeria linked to tainted Boar's Head deli meats had risen to nine, according to a U.S. Centers for Disease Control and Prevention update. Deaths have now occurred in Florida, Illinois, New Jersey, New Mexico, South Carolina, Tennessee and Virginia. Fifty-seven people have been hospitalized with severe listeria illness tied to the outbreak. It's now the largest listeria outbreak reported in the United States since one linked to tainted cantaloupes in 2011, the CDC noted. The expanded recall now includes 71 products made between May 10 and July 29 under the Boar's Head and Old Country brand names. Some of the suspect product could still be in family refrigerators, since some of the products had sell dates stretching into October. "Everyone should check their homes for any remaining recalled Boar's Head products since they can have long a shelf-life," the CDC said. "Look for 'EST. 12612' or 'P-12612' inside the USDA mark of inspection on the product labels." The closure of the Jarratt plant comes after numerous citations from the USDA's Food Safety and Inspection Service over the past two years. On July 30, the recall of Boars Head deli meats broadened to include an additional 7 million pounds of products, because they may also have been contaminated with Listeria monocytogenes bacteria. In a letter from FSIS to Boars Head dated July 31, the agency said it was ordering the suspension of operations at the Jarratt plant due to repeat failures by the company "to maintain sanitary conditions." "Product may have been prepared, packed or held under unsanitary conditions, whereby product may have become contaminated with filth or whereby product may have been rendered injurious to health," the FSIS letter states. According to CBS News, records released by FSIS showed 69 instances of "noncompliance" noted by the agency at the Jarratt plant over the past year. Mold and mildew were found at sinks used by plant employees to wash their hands, on the outside of steel vats, and in holding coolers between the site's smokehouses. In other spots around the plant, pooling or leaking water was seen, including one puddle with "a green algal growth" and condensation found to be "dripping over product being held," CBS News reported. Beyond that, in February FSIS inspectors noted "ample amounts of blood in puddles on the floor" and a "rancid smell" in a cooler used at the plant. Insect infestations -- flies, "gnat-like insects" and "ants traveling down the wall," a beetle and a cockroach -- were all observed by inspectors at the Jarratt plant in June. In its Friday statement, Boar's Head said that, "in response to the inspection records and noncompliance reports at the Jarratt plant, we will not make excuses." "Given the seriousness of the outbreak, and the fact that it originated at Jarratt, we have made the difficult decision to indefinitely close this location, which has not been operational since late July 2024," the company said. "This is a dark moment in our company's history, but we intend to use this as an opportunity to enhance food safety programs not just for our company, but for the entire industry." Boar's Head said it plans to take steps to better ensure product quality and safety. These include hiring a Chief Food Safety & Quality Assurance Officer (CFSO) who will report directly to the Boar's Head president; appointing a "Food Safety Council" made up of independent industry-leading food safety experts; and creating a companywide food safety and quality assurance program. According to the CDC, "Listeria is especially harmful to people who are pregnant, aged 65 or older or with weakened immune systems," the agency said in a news release. "[The] CDC always recommends people at higher risk for listeriosis avoid eating meats sliced at the deli or heat them to an internal temperature of 165F or until steaming hot before eating." Besides the liverwurst products, some of the other Boar's Head meats that have been included in the recall include certain lots of Virginia Ham/Old Fashioned Ham, Italian Cappy Style Ham, various types of bologna and beef salami, among others. If consumers find they have any of the recalled products in their fridges, they should discard them or return them to the place of purchase. "Consumers who have purchased these products are also urged to clean refrigerators thoroughly to prevent the risk of cross-contamination," FSIS added. Deli owners who find they have the products "should clean and sanitize all food and non-food surfaces and discard any open meats and cheeses in the deli," the agency added. Listeria bacteria can cause listeriosis, the third-leading cause of death from food-borne illness in the United States. Symptoms typically include a fever, muscle aches and fatigue. Infection may also cause a headache, a stiff neck, confusion or seizures. Pregnant women may experience pregnancy loss or premature birth. More information: Find out more about listeriosis at the CDC. SOURCE: Boar's Head, announcement, Sept. 13, 2024; USDA Food Safety and Inspection Service, letter, July 31, 2024; U.S. Centers for Disease Control and Prevention, news release, Aug. 29 and Aug. 8, 2024 ; U.S. Department of Agriculture's Food Safety and Inspection Service (FSIS), news release, July 30, 2024; CBS News Copyright 2024 HealthDay. All rights reserved. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Susannah Johnston, of Croton-on-Hudson, N.Y., walks her dog Ellie on Sept. 8, 2024 in Norfolk, Conn. Johnston, a fit yoga instructor and strength trainer, has been injured three times in incidents involving walking her dog. Credit: Vincent Cohan via AP The cuddles. The loyalty. The worshipful eyes. There's a lot of joy in having a dog, not the least of which is heading out for a brisk walk. And therein lies a peril some dog people should pay more attention to. Over the past 20 years, injuries related to dog walking have been on the rise among adults and children in the U.S., according to Johns Hopkins University researchers. Fractures, sprains and head trauma are among the most common. From 2001 to 2020, the estimated number of adults seen at emergency departments for dog-walking injuries increased significantly, from 7,300 to 32,300 a year, lead researcher Ridge Maxson told The Associated Press. Most patients were women (75%). Adults overall between ages 40 and 64 amounted to 47%. And that's just emergency room visits. "We know that a significant number of people might seek treatment at primary care, specialty or urgent care clinics for their injuries," Maxson said. Dog ownership has become increasingly common, he noted, with about half of U.S. households having at least one dog. The pandemic contributed to the spike. How to protect yourself Staying safe when walking a leashed dog takes diligence, focus and, in inclement weather, extra precautions. Multitasking can be hazardous. Put your phone away. "You can't really afford to relax when you walk a powerfully built dog with the torque of a small tractor. You have to pay attention," said Noel Holston, a dog owner in Athens, Georgia. In the early 2000s, Holston was walking his 65-pound (29.4-kilogram) pit bull in a park near home when a goose flapped and squawked. The dog bolted down an embankment, jerking the now 76-year-old Holston off the sidewalk. "Off balance and struggling to keep my footing, I stepped into a hole and heard my left ankle snap. The pain was so intense. I almost passed out. My wife, Marty, had to hail a jogger to help get me back to our car. My left foot was dangling like a big wet noodle," he said. Susannah Johnston, 64, is a yoga instructor who runs a 40,000-member Facebook group for women aimed at improving balance, strength and a body's ability to absorb impact. She's been injured three times while dog walking over the years. About five years ago, her 50-pound (22.6-kilogram) lab mix went after a squirrel while Johnston was kneeling to tuck a sweatshirt into her backpack, the leash wrapped around one hand. She fractured a finger. "That was the worst because it was twisted and pulled and I had to have surgery and rehab and everything else," said Johnston, who lives in New York's Croton-on-Hudson. Running with a leashed dog is another hazard no matter how well trained you think a dog is. It's especially dangerous with a dog that's easily spooked, very young or prone to the zoomies. That's what happened to Robert Godosky in Manhattan. "We used to be in a routine of sort of running the last block home," he said. "There was a section of sidewalk that had scaffolding up. My dog is a rescue dog and was relatively new to us. He got spooked and got in front of me, and I went flying over the dog and smacked into the scaffolding. I ended up breaking two ribs." There are other hazards in rural areas, said Steven Haywood, an ER doctor in Corinth, Mississippi. A dog walker checks a mobile device while guiding dogs in Washington Park in Denver on Feb. 21, 2023. Credit: AP Photo/David Zalubowski, File " Getting struck by vehicles," he said. "That's definitely the most life-threatening injury when people are walking their dogs." Areas like his have many roads without sidewalks or wide shoulders. That's especially hazardous when people wear dark clothes with no reflectors or lights on human or animal. "It's something we see on a regular basis," Haywood said. The right shoes, leashes and more In addition to lights and reflectors, there is other gear that can minimize dog-walking risks: Wear appropriate footwear with decent treads in snow and ice. Consider wearing footwear with spikes or studs. Maxson suggests using a non-retractable leash of 6 to 8 feet (1.8 to 2.4 meters). "Longer leashes are more likely to get tangled around your legs and cause falls. Retractable leashes can sometimes make your dog more difficult to control." In San Francisco, dog trainer Shoshi Parks recommends a no-pull harness with a leash clipped to a dog's chest rather than their back, she said. It gives the walker more control and puts less strain on the dog. Parks suggests holding a leash at your center of gravity, near your torso, hip or thigh. Slip your hand through the loop of a leash and grab it a little lower down to hold on. She calls retractable leashes a "no go." Period. They can cause burns when held too close to the body if they lengthen or retract quickly. Dog walkers and dog trainers For people with mobility or balance issues, experts suggest seeking help walking a dog, especially in inclement weather. A neighbor, an older child or a professional dog walker, for instance. Johnston, Haywood and Maxson agreed that balance and strength-training exercises, especially for older adults, can help decrease the risk of falls and fractures. And they said working with a dog trainer helps not only the dog but the walker, who can learn to read their pet's body language better. "Even young, healthy, strong people may have difficulty controlling larger breeds that aren't used to walking on a leash. Any exercise to give strength, give balance, is going to help," Haywood said. "Make sure you can control the dog that you're walking." 2024 The Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed without permission. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain We may think of air pollution as an outdoor problem, made up of car exhaust and smog. But if the air inside our homes is polluted, this can also affect our health. In Australia, around 12% of childhood asthma can be attributed to gas stoves and the toxic chemicals they release into the air. And while there's a growing push to phase out gas indoors, some 38% of Australian households rely on natural gas for cooking. Recommended interventionssuch as replacing a gas stove with electricmay not be possible for those who are renting or struggling with the cost of living. This is important because, as our research shows, childhood asthma is more common in socioeconomically disadvantaged areas. If you're living with gas, here's how it can affect you or your child's asthma, and what you can do to improve air quality. What is asthma? Asthma is the most common chronic condition in Australian children. The respiratory condition affects almost 400,000 of those aged 14 and underclose to 9% of that age group. Asthma narrows the airways and obstructs airflow, making it hard to breathe. Many people manage the condition with inhalers and asthma action plans. But it can be serious and even fatal. Australian emergency departments saw 56,600 presentations for asthma in 2020-21. While there is no single cause for asthma, both indoor and outdoor air pollution play a significant role. Being exposed to small airborne particles increases your risk of getting asthma, and can aggravate symptoms if you already have it. Gas stoves release nitrogen dioxide The gas stoves commonly found in Australian homes release toxic chemicals into the air. They include carbon monoxide (CO), PM 2.5 (small particles, often from smoke), benzene, formaldehyde and nitrogen dioxide (NO 2 ). All are harmful, but nitrogen dioxide in particular is associated with asthma developing and getting worse. Gas heaters can also produce nitrogen dioxide. As nitrogen dioxide is a tasteless, invisible gas, it's difficult to know how much is in your air at home unless you have an air monitor. However, one US study showed houses with gas stoves can have nitrogen dioxide levels three times higher than houses with electric stoves. This is particularly concerning for households with children, given children tend to spend most of their time indoors. Banning gas There is a growing push across Australia to replace gas stoves with electric stoves, which are more energy efficient and can reduce indoor air pollution. Victoria and the Australian Capital Territory have announced bans on gas connections in new homes from 2024. Sydney's Waverley council recently made a similar move. But until a ban on using household gas appliances is implemented across the country, the problem persists for children who are currently living in old homes or rented properties with gas stoves. Do exhaust fans in the kitchen help? Using a high-efficiency exhaust hood placed over an existing gas cooktop can be effective. They can capture more than 75% of air pollutants and direct them outside. Cooking on the back burnerrather than the front burnercan also improve their efficiency. However, exhaust hoods with lower flow rates, or hoods that don't vent the air outside, are less effective. And an exhaust hood only improves air quality if you use it. One study in Melbourne found more than 40% of people didn't use an exhaust hood regularly while cooking. For many people, installing high-efficiency exhaust hoods will not be practicalespecially for those renting or experiencing socio-economic disadvantages. Natural ventilation There is a free way to ventilate your home. Keeping windows open during and after cooking will increase air flow and evidence shows this can improve overall air quality. However, this is not always possible, especially during cooler months of the year, which can be especially chilly in places such as Victoria and Tasmania. Unfortunately, people are also more likely to use gas heaters during those cooler months. What about heaters? There are two kinds of gas heaters, flued and unflued. Like cooking with gas, unflued gas heaters release air pollutants including nitrogen dioxide directly into the home. Flued heaters are better for air quality because they use a chimney, or "flue," to send emissions outside. If you can, replacing your unflued gas heater with a flued oneor even better, an electric heatercan significantly alleviate asthma symptoms. If you can't replace your unflued gas heater, do not use it overnight in the room where you or your children sleep. Asthma can't be cured, but its symptoms can be controlled by managing triggersand this may be easier to do indoors than out. Improving air quality, even in a rented or old property, can help people with asthma breathe more easily. This article is republished from The Conversation under a Creative Commons license. Read the original article. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain Every time you are prescribed medicine in hospital, a computer will prompt your doctor about the appropriateness of the medicine and its dose. Every time health professionals update patient records on the computer, they need to fill in the appropriate information in the correct spot, or choose an option from a drop-down menu. But as a growing body of research shows, these electronic systems are not perfect. Our new study shows how often these technology-related errors occur and what they mean for patient safety. Often they occur due to programming errors or poor design and are less to do with the health workers using the system. What did we look at? What did we find? Our team reviewed more than 35,000 medication orders at a major metropolitan hospital to understand how frequently technology-related errors occur. We focused on errors made when medications are prescribed or ordered via a computer-based system. In many hospitals, these systems have replaced the clipboard that used to hang at the end of a patient's bed. Our research showed that as many as one in three medication errors are technology-related. That is, the design or functionality of the electronic medical system facilitated the error. We also examined how technology-related errors changed over time by reviewing rates of errors at three time points: in the first 12 weeks of using the system, and at one and four years after it was implemented. We may expect technology-related errors to become less frequent over time as health professionals become more familiar with systems. However, our research showed that although there is an early "learning curve", technology-related errors continued to be an issue for many years after electronic systems are implemented. In our study, the rate of technology-related errors was the same four years after the system went in as it was in the first year of use. How could errors happen? Errors can happen for a number of reasons. For instance, prescribers can be confronted with a long list of possible dose options for a medication and accidentally choose the wrong one. This can lead to a dose less than, or more than, the one intended. In our study, we found high-risk medications were frequently associated with technology-related errors. These included oxycodone, fentanyl and insulin, all of which can have serious adverse effects if prescribed incorrectly. Technology-related errors can also happen at any point in a patient's care when a computer is used. One case in the United States involved a nurse accessing and administering the wrong medicine. She obtained the medicine from a computer-controlled dispensing cabinet (known as an automated dispensing cabinet), which is used to store, dispense and track medicines. Through poor design, the cabinet allowed the nurse to search for a medicine by entering just two letters. A good design would not have displayed any medication options with only two letters. The nurse selected and administered the wrong drug to the patient, causing cardiac arrest and the nurse faced a criminal trial. Automated dispensing cabinets are being increasingly rolled out in Australian hospitals. Earlier this year we heard of an error in South Australia's electronic medical record system. This miscalculated the due date for more than 1,700 pregnant women, possibly prompting premature inductions of labor. We produce a series of safety bulletins for the health system that describe and address specific examples of poor system design we have identified during our research or others working in the system have brought to our attention. These include a drop-down menu that allows prescribing of a medicine via injection into the spine. This particular medicine would be fatal if administered this way. Another shows an in-built calculator that rounds up or down the doses for medication according to set rules. But this may lead to incorrect doses in very young or lower-weight children. For each example, we include recommendations to optimize the systems. Organizations can then use these specific examples to test their systems and take action. What else would improve safety? With increasing digitization in our hospitals and health services, the risk of technology-related errors increases. And that's even before we talk about the potential for error in artificial intelligence used in our health systems. We're not calling for a return to paper-based records. But until we commit to the task of making computer-based systems safe, we will never fully benefit from the enormous potential digital systems could deliver in health care. Systems need to be continually monitored and updated, to make them easier and safer to use and to prevent issues from becoming catastrophic. Health IT managers and developers need to understand errors and recognize when system design is suboptimal. Since clinicians are often the first to notice issues, there should also be mechanisms to investigate and address their concerns promptly, supported by systematic data on technology-related errors. This article is republished from The Conversation under a Creative Commons license. Read the original article. The 11th Beijing Xiangshan Forum took place in the capital city of the People's Republic of China, with a delegation led by Agil Gurbanov, Deputy Minister of Defense of Azerbaijan, participating in the event, Azernews reports, citing the Azerbaijani Defense Ministry. During the visit, the delegation first paid respects at the Embassy of Azerbaijan in China, where they honored the memory of Great Leader Heydar Aliyev by laying flowers at his bust. At the forum, attended by ministers and officials from over 100 countries and regional and international organizations, Gurbanov met with Admiral Dong Jun, Minister of National Defense of China. Gurbanov expressed his gratitude for the invitation. Additionally, Gurbanov held meetings with the head of the Central Military Council's Department of Cooperation on Weapons, Military Equipment, and Technology, as well as leaders from companies within the State Defense Industrial Complex. Discussions focused on the current state and future prospects of military-technical cooperation between Azerbaijan and China. The 41-count case of professional misconduct against Montana Attorney General Austin Knudsen will proceed, the commission that governs lawyer conduct said this week. Knudsen, who is up for re-election this year, argued his dispute with the state Supreme Court in recent years gave him ammunition to criticize justices and his position as an elected official gave him latitude to spar with another branch of government. The attorney general is accused of attempting to undermine the court's credibility along the way of that dispute. The commission, however, said this was in fact not a political matter, nor had anything to do with Knudsen's stature in government. "Under (Knudsen)'s theory, he is not answerable at all to the courts for any conduct as long as it fits his definition of 'official,'" the commission wrote in its order on Tuesday. The Attorney General's Office on Friday countered the commission had not fully considered Knudsen's arguments. "Were disappointed the commission outright ignored many of our substantive arguments on the case," spokesperson Emilee Cantrell said in an email. "The full facts will come to light at the upcoming hearing." The Daily Montanan first reported on the commission's decision this week. Special counsel with the Office of Disciplinary Counsel last year submitted the case against Knudsen to the Commission on Practice, the professional board appointed by the Supreme Court, alleging a series of violations of professional rules of conduct related to Knudsen and his office's sharp criticisms of the nonpartisan judicial branch. Knudsen is scheduled to face a trial on the complaint in mid-October, weeks before the general election. On the most severe end of potential discipline, Knudsen could be disbarred. The complaint hangs largely on statements Knudsen or his office made during a high-voltage separation of powers dispute in 2021 while he was representing the Legislature as it sought to wrest records from the judicial branch. The crux of the complaint alleges Knudsen violated the Montana Rules of Professional Conduct intended to preserve public confidence in the fairness and impartiality of the state's judicial system. In August, attorneys for Knudsen argued the commission's complaint ran afoul of the separation of powers because the attorney general was exercising his duties by representing the legislative and executive branches in a dispute with the judiciary. The commission's order this week reminded Knudsen that, to become licensed to practice law in Montana, he took an oath maintain the respect due to the courts. Knudsen, the commission wrote, did not establish that his duties as attorney general preclude him from abiding by that oath and the professional conduct rules required of all attorneys. "In the control of litigation, the attorney general, like every other attorney, has the duty to conform his conduct to the rules of professional ethics," the order reads. " There is no basis for the contention that any part of this process has been 'weaponized,' a charge that seems a current popular label for public relations rather than substantive." Napa County and the Napa Communities Firewise Foundation have completed a hazardous fuel reduction project on Sanitarium Road in Deer Park. According to a news release issued Friday, the project significantly enhances evacuation routes, improves year-round access to the Adventist Health St. Helena hospital, and establishes a vital fuel break in a historically fire-prone and populated area that was damaged by the 2020 Glass Fire. In 2023, Napa County invested in treating county roads to improve evacuations routes and access for hundreds of residents across 200 miles of Napa County. Each project was prioritized through a partnership between Napa County, the Firewise foundation and Napa County Fire/Cal Fire, based on factors such as resident population, historical fire activity and project impact. The Sanitarium Road project involved reducing hazardous fuels up to 110 feet from the road's center line, creating a buffer zone designed to slow or stop the spread of wildfires. The work is particularly impactful for residents of Deer Park and Angwin, who depend on Sanitarium Road as their primary evacuation route. The project also benefits first responders and patients requiring emergency transport to Adventist Health St. Helena, as well as Upvalley residents and visitors using the hospital for routine medical needs. We would like to express our sincere gratitude to Napa County and Napa Firewise for their leadership and support in the recent roadside work on Sanitarium Road, said Dr. Steven Herber, the hospital president. This project, fully funded by the county and expertly managed by Napa Firewise, has significantly improved safety by addressing critical hazards and ensuring reliable, year-round access to both our hospital and the Deer Park community. From identifying the need to coordinating efforts with our forester and vendors, Napa Firewise played a pivotal role in making this project a success. In collaboration with the county and Napa County Fire/Cal Fire, Sanitarium Road was prioritized as a crucial evacuation route and fuel break, reinforcing safety for all, and for that we are thankful for this partnership. "Projects like the one on Sanitarium Road are essential to our mission of enhancing fire safety across Napa County, said Napa County Fire Administrator David Shew. By reducing hazardous fuels and strengthening evacuation routes, we are not only protecting our communities today but also laying the groundwork for a more resilient future. Napa County is proud to partner with Napa Firewise, Cal Fire, and local residents to ensure that our county is better prepared to face the increasing threat of wildfires." For more information on wildfire mitigation projects happening or completed around Napa County, visit Napa Firewises project dashboard at napafirewise.org. Residents can use the dashboard to filter projects by funding source, treatment type and year. Photos: The Glass Fire's aftermath in Deer Park Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire Deer Park after Glass Fire The meeting was organized on Friday in Hyderabad by Ministry of Labour & Employment in collaboration with Employees' Provident Fund Organisation. The session aimed at creating awareness about three schemes framed as part of ELI Scheme and its benefit to employers and employees in order to boost employment in manufacturing and job creation in formal sectors. The ELI Scheme launched in Union Budget this year aims to create more than 2 crore jobs in the country over a period of 2 years in sectors that significantly contribute in increasing employment opportunities and enhancing livelihood. Setting the context of the session, Secretary Sumita Dawra, emphasized about drivers of employment as evidenced by growth in employment in sectors such as trade, manufacturing, services and construction sectors. She said, "Government data such as PLFS, KLEMS shows employment growth and at the same time, quality of employment is of equal focus." She further highlighted the need to generate new employment, including by MSMEs, that should scale up to generate employment as well. A brief presentation on ELI scheme, including the PM's package of 5 schemes on employment, skilling and internships, was followed by interactive session. The ministry stated that several constructive suggestions were received from industry participants for formulation of feasible practical scheme. In her closing remarks, the secretary highlighted that this scheme represents a significant step towards the shared goal of creating a more prosperous and inclusive India. She further stated that such interactive sessions shall continue to be held in future in the course of finalization of the ELI Scheme before it is implemented. The session was attended by official representatives from Union Labour Ministry, EPFO, Industry Leaders from sectors like Pharma, Construction, PSU, Manufacturing, Finance, etc. and MSME representatives. (ANI) The delegation was headed by Deputy Chief Executive Officer Nisha Biswal and it also included U.S. Ambassador to India Eric Garcetti and Assistant U.S. Trade Representative for South and Central Asia Brendan Lynch. As per the ministry of finance during the meeting held on Friday, discussions were centred on strengthening economic cooperation between India and the US, with a particular focus on investment opportunities in India. "Union Finance Minister Smt.@nsitharaman interacted with a delegation from the U.S. International Development Finance Corporation @DFCgov with Deputy Chief Executive Officer, Ms.@NishaBiswal; US DFC @DFCgov shared that India offers good opportunities and is one of their key geographies for #investment" stated a social media post on 'X' by the Ministry of Finance yesterday. During the interaction, representatives from DFC acknowledged India's significant potential as a key geography for investment. They highlighted the favourable opportunities in various sectors and expressed their commitment to further expanding their investment footprint in the country. DFC has been an active player in India, supporting development initiatives across sectors like infrastructure, clean energy, healthcare, and technology. Finance Minister Sitharaman also emphasized the broad-based and multi-sectoral cooperation between India and the US, noting that recent reforms and the country's evolving investment climate have created a conducive environment for growth. She highlighted India's potential for increased economic cooperation, particularly in sectors crucial to sustainable development and innovation. Recently, the US International Development Finance Corporation has announced new investments of USD 70 million in India's private sector. These investments aim to advance key priorities of the US and Indian governments, including strengthening health systems, particularly vaccines, expanding access to affordable housing, and increasing support for small businesses. (ANI) Senior Chinese military official meets foreign guests attending Beijing Xiangshan Forum Xinhua) 08:23, September 14, 2024 BEIJING, Sept. 13 (Xinhua) -- He Weidong, vice chairman of the Central Military Commission, on Friday met with foreign guests from Myanmar, South Africa and Pakistan, who are in Beijing for the ongoing 11th Beijing Xiangshan Forum. While meeting with Tin Aung San, Myanmar's deputy prime minister and union minister for defense, He said that the Chinese military is willing to work with the Myanmar side to enhance pragmatic cooperation and safeguard the security and stability of the two countries' border areas. During his meeting with Angie Motshekga, South Africa's minister of defense and military veterans, He said that the two countries' militaries should engage in deeper, more solid cooperation and work together to protect global fairness and justice. When meeting Sahir Shamshad Mirza, chairman of the Joint Chiefs of Staff Committee of the Pakistan Army, he pledged to work with Pakistan to shield the two countries' strategic interests, as well as regional peace and stability. (Web editor: Zhang Kaiwei, Liang Jun) The 11th Xiangshan Forum, held in Beijing under the theme "Promoting Peace for a Common Future," brought together officials and experts from over 100 countries and international organizations. Azernews reports that the event was jointly organized by the Chinese Ministry of National Defense and the Military Science Association. Chinese Defense Minister Don Jun emphasized the right of every nation to protect its own security and stressed the need for global cooperation to ensure a safe world. He highlighted the importance of all countries participating in the creation of a multipolar world built on equal and orderly foundations. The forum featured discussions on various global and regional security issues, including "Security Cooperation and Progress and Stability in the Asia-Pacific Region" and "Multipolarity and the Changing International Order." The Xiangshan Forum, established in 2006, provides a platform for addressing security challenges with a focus on the Asia-Pacific region and beyond. Unlike Western-dominated security forums, the Xiangshan Forum also addresses the concerns of developing and smaller countries. Topics covered included artificial intelligence, ongoing conflicts, space and maritime security, security evolution in Europe, Middle East peace, international arms control, and counter-terrorism. The three-day forum facilitated discussions on South-South cooperation and North-South joint activities, contributing to a fair international order. NewsVoir Agartala (Tripura) [India], September 14: The Government of Tripura, in collaboration with the All India Institute of Medical Sciences (AIIMS), New Delhi, and the Centre for Chronic Disease Control (CCDC), announced the launch of the STAR-NCD program, aimed at improving the prevention, diagnosis, and management of Non-Communicable Diseases (NCDs) across the state. Strengthening Ambulatory Care for Non - Communicable Diseases in India (STAR-NCD) is a National Health Research Priority (NHRP) initiative by Indian Council of Medical Research (ICMR). This initiative will strengthen out-patient care services, especially for conditions such as high blood pressure, diabetes, and heart disease. The program was launched by the Chief Minister of Tripura, Prof. (Dr.) Manik Saha, who emphasized the state's commitment to addressing the growing burden of NCDs. "Tripura is dedicated to improving healthcare services, and the STAR-NCD program will play a pivotal role in reducing the impact of chronic diseases. By integrating advanced technologies and focusing on primary care, we aim to ensure better health outcomes for our citizens," said Prof. Saha. The Secretary of Health & Family Welfare, Govt. of Tripura, also highlighted the importance of the initiative, stating, "This program marks a significant milestone in our healthcare system. By strengthening the capabilities of our health facilities, we are building a future where quality healthcare is accessible to all, especially those in rural and hard-to-reach areas." Prof. Nikhil Tandon of AIIMS, New Delhi, further stressed the collaborative efforts, "The STAR-NCD program is designed to enhance the capacity of our healthcare workers and introduce cutting-edge clinical decision support systems (CDSS) to improve diagnosis and treatment pathways. Our partnership with the Government of Tripura will serve as a model for other states." Prof. D. Prabhakaran, Executive Director of CCDC, added, "NCDs are a major challenge to public health, and we are excited to work closely with the state of Tripura to bring evidence-based solutions that address the unique healthcare needs of the region. The STAR-NCD project will empower health systems with tools and data to improve patient outcomes." The STAR-NCD program will be rolled out across various districts, starting with Gomati District, and aims to benefit millions by strengthening the state's healthcare infrastructure and integrating digital health platforms. (ADVERTORIAL DISCLAIMER: The above press release has been provided by NewsVoir. ANI will not be responsible in any way for the content of the same) VMPL Hyderabad (Telangana) [India], September 14: Ramky Estates & Farms Limited, a distinguished leader in the Indian real estate sector, proudly marks 29 years of unparalleled excellence. As Hyderabad's foremost real estate titan with a commanding presence across South India, Ramky Estates stands as a beacon of quality, reliability and visionary development. With a robust portfolio spanning across Bengaluru, Chennai, Visakhapatnam and Warangal, Ramky Estates has masterfully delivered over 10 million sq. ft. of premium residential and commercial spaces. Currently, 15 million sq. ft. of residential projects are under strategic development, with an additional 10 million sq. ft. poised for imminent launch, solidifying Ramky Estates' legacy as the architect of South India's skyline. Since its inception in 1995, Ramky Estates & Farms Limited has pioneered the Indian real estate landscape with unparalleled service and iconic developments like Ramky Towers. With relentless pursuit of excellence and a vision to build an enduring legacy, Ramky Estates has expanded its asset base into a billion-dollar portfolio in south India. Over the past 29 years, Ramky Estates has consistently demonstrated its mastery across the entire real estate spectrum namely, residential, commercial and integrated townships. Distinguished by their visionary approach, Ramky's projects are meticulously designed to break away from conventional norms, introducing contemporary aesthetics and execution that set new benchmarks in the industry. Nanda Kishore, Managing Director of Ramky Estates expressed his thoughts, saying, "It is truly heartwarming to enter the third decade as leaders in the real estate industry. The company's unwavering commitment to customer satisfaction is evident through the 9000+ happy families we have served. Ramky Estates has solidified its reputation as a trusted name in the residential properties market, building a legacy of success as the only real estate developer with a significant footprint across South India. We are proud to be recognized as the finest in what we do, making a meaningful difference in people's lives by helping them build a better tomorrow." For nearly three decades, Ramky Estates has been a formidable force in driving the nation's economic growth, marking milestones of remarkable progress. With 35 completed projects and 18 more in various stages of development, Ramky's total project portfolio stands at 53. With a portfolio that caters to a broad spectrum of buyers, their units are priced between Rs55 lakh and Rs3.5 crore. Ramky Estates stands as the definitive authority in real estate, providing comprehensive solutions that encompass every aspect of property development. Distinguished by its unwavering commitment to excellence, Ramky Estates has set the benchmark in delivering superior quality, unparalleled customer experiences, and ethical business practices, all while ensuring robust returns for its stakeholders. "It gives us immense pleasure to celebrate 29 years of success at Ramky Estates. Throughout this journey, we have remained focused on sustainable growth and prudent financial management, establishing a strong foundation of excellence and innovation. As Indian real estate continues to be a driving force in empowering the nation's economy, we are proud to be a significant contributor to this progress. Our commitment to delivering high-quality, innovative projects has helped us build a legacy of trust while continuing to shape the future of real estate in India. Ramky Estates remains dedicated to fostering growth and prosperity for the communities we serve." said NS Rao, CFO, Ramky Group. Ramky Estates has spent decades crafting iconic projects that set the standard for innovation, sustainability, and excellence in real estate. With over 29 years of legacy and trust, Ramky leads in luxury and theme-based developments, using cutting-edge design and technology to deliver premium, lasting homes. Achieving a remarkable 42% CAGR over the past five years, Ramky's success is driven by a customer-centric approach and pioneering 'Community Living' initiatives. Their deep understanding of lifestyle fuels growth, with 25% of sales from repeat customers and referrals. Strengthening its leadership in Hyderabad, Ramky Estates has launched flagship projects like Ramky Nextown, Ramky One Orion, Ramky One Odyssey, Ramky One Symphony, Ramky One Genext, Ramky One Astra plotted venture Ramky Bliss to name a few. These, along with other key developments, embody Ramky's commitment to 'building with heart and building it smart.' Ramky integrates modern design and state-of-the-art amenities into every project, reinforcing their dedication to vibrant, socially enriched living environments. Ramky Estates, a premier real estate company, has led the Indian realty sector for 29 years, delivering 50 top-quality projects across 10 million sq. ft. With visionary leadership and a team of over 200 exceptional professionals, the company specializes in developing premier residential and commercial spaces. As a part of the esteemed RAMKY Group--a multinational conglomerate valued at 2 billion USD--Ramky Estates & Farms Limited has cemented its reputation as a trusted market leader. Offering residential projects priced between Rs55 lakhs and Rs3.5 crores, Ramky Estates stands as the sole Hyderabad-based developer catering to diverse customer needs across South India, including Hyderabad, Bangalore, Chennai, Visakhapatnam, and Warangal. The company's dedication to customer satisfaction is underscored by its service to over 9,000 satisfied families, emphasizing community living and technology integration. Ramky Estates has garnered a wealth of accolades, including "Emerging Developer of the Year" at the Construction Week India Awards 2019, "Integrated Township Project of the Year" at the 10th Realty + Excellence Awards 2018 South, and "Excellence in Delivering High Quality Construction" at the ET Excellence Telangana 2024 edition, underscoring its industry leadership. For more details: https://www.ramkyestates.com/ For media queries, contact: Adfactors PRNaveen Kumar | M: 93969 81816 | E: Naveen.janapalli@adfactorspr.com Kalasapati Rasagna|M:7013935591|E: kalasapati.rasagna@adfactorspr.com (ADVERTORIAL DISCLAIMER: The above press release has been provided by VMPL. ANI will not be responsible in any way for the content of the same) Tamil Nadu Chief Minister, MK Stalin, on Saturday returned from America, said that during his US tour, 19 Memorandums of Understanding (MoUs) worth Rs 7,618 crore were signed for the State. Through these MoUs, 11,516 jobs will be created in the State, said the Chief Minister, addressing reporters at the Chennai Airport. "I have completed my official visit to America. This was a successful visit. 19 MoUs have been signed. I got an investment of Rs 7618 crore for the state. 11,516 people will get new jobs. Factories will be set up in Trichy, Madurai, Coimbatore, Chennai, Krishnagiri, and other districts. There are many companies that have expressed to invest in Tamil Nadu. Ford, which stopped its production has said that they will start their production again in Chennai," Stalin said. On September 13, the US carmaker Ford Motor Company has announced that it would restart operations at its closed manufacturing plant in Tamil Nadu.The decision follows several high-level discussions between Ford and the Tamil Nadu government, including a recent meeting between Hart and Stalin during the latter's visit to the United States.The state Sovernment claim to have secured a significant investment of Rs 2000 crore at the Tiruchirapalli which will create around 5000 jobs in the district. During his visit, an MoU was signed between RGBSI and the Tamil Nadu government to establish an advanced electronics and telematics manufacturing unit in Hosur with an investment of Rs 100 crore.Additionally, the Chief Minister met with top executives of several leading global companies and invited them to invest in Tamil Nadu. Rockwell Automation, a company in the industrial automation sector will expand its manufacturing with a Rs 666 crore investment in Kanchipuram which will bring around 365 jobs. Stalin also held a discussion with the officials of 'BNY Mellon' for potential investment opportunities in AI (Artificial Intelligence) in the State. Earlier on September 6, Stalin said that Tamil Nadu has secured MOUs (memorandum of understanding), worth Rs 850 crores with US-based companies Lincoln Electric, Vishay Precision, and Visteon. Tamil Nadu also signed a Rs 2000 crore Memorandum of Understanding (MoU) with Trilliant to establish a manufacturing unit as well as create their Development and Global Support Centre in the state. Stalin signed MOUs with Eaton, a multinational power management company, for Rs 200 crore R&D and engineering centre expansion in Chennai. Earlier in August the State signed an agreement with Ohmium for a new factory in Chengalpattu district for producing electrolysers and green hydrogen. The plant will have an investment of Rs 400 crores and create 500 job opportunities. An MoU was also signed with Google to set up artificial intelligence (AI) labs in Tamil Nadu. During Stalin's US visit, in San Francisco, MoUs were signed with six leading global companies--Nokia, PayPal, Yield Engineering Services, Microchip, Infinx Healthcare, and Applied Materials--for an investment of Rs 900 crores to create 4,100 job opportunities. (ANI) Ethan Slater and Lily Jay finalized their divorce on Thursday, after nearly five years of marriage, according to a report from TMZ. The former couple had been together since 2012 and married in November 2018. They share one child, a son, who was born in August 2022. The Spamalot actor and Jay filed for divorce in New York, with the terms of their settlement sealed. Ethan Slater made headlines earlier this year when he began dating his 'Wicked' co-star Ariana Grande after separating from Jay. Slater filed for divorce in New York City on July 26, but the reason for their split was not disclosed. Earlier the actor often shared posts about his family on his Instagram account. On Mother's Day, he posted a heartfelt message for Jay, calling her the "most loving, caring, and wonderful mom/person in the world," a post that was liked by Ariana Grande. He had also celebrated their fourth wedding anniversary, referring to Jay as his "best friend" and marking the milestone with the message, "4 years married, 10 years together. And this is easily the best (and most bizarre) year yet." Ariana Grande, 30, also went through her own separation from ex-husband Dalton Gomez in July 2023, after nearly two years of marriage. The two settled their divorce in early October 2023. According to a source who spoke to PEOPLE, Grande and Gomez have been "working on their friendship" since the split. As for Slater and Grande, the couple has been keeping their relationship out of the spotlight. A source told PEOPLE in September 2023, "They are just trying to navigate their new relationship in private." (ANI) William Zabka, famed for his role in 'The Karate Kid,' has fond memories of his friend and co-star, Chad McQueen who passed away recently. McQueen's family, on Thursday, confirmed his death, sharing that the actor and race car driver died on Wednesday, Sept. 11, in Palm Springs at the age of 63. Taking to his Instagram account on Saturday, the actor shared pictures from their time together on The Karate Kid set. McQueen played Dutch, a member of the Cobra Kai in the original 1984 film and its 1986 sequel, The Karate Kid Part II. In his post, Zabka shared both behind-the-scenes photos and group shots of McQueen with other cast members. One photo shows McQueen, Zabka, Rob Garrison, Ron Thomas, Tony O'Dell, and the late Pat Morita (who played Mr. Miyagi) enjoying ice cream together. Along with the pictures Zabka added a heartfelt caption that read, "Sadly, we lost the legendary Chad McQueen yesterday. I have so many great memories of him when we were filming the 'Karate Kid'. He was truly one of kind. He marched to the beat of his own drum and always made me laugh. We would caravan to set everyday -- him in his silver Porsche, music blasting, and me in my badass Honda Accord. He was the baddest of the OG Cobra's in the most fun and entertaining way." https://www.instagram.com/p/C_4D66jv7Ba/?utm_source=ig_web_copy_link "I'm so thankful I had the chance to reconnect with him over the past few years. It was like no time had gone by at all. My love and deepest sympathies to his wife and family. RIP Chadwick -- my dear friend & Cobra Kai brother 4 ever," his post further read. Zabka and McQueen's Karate Kid co-star Ron Thomas also commented on Zabka's post, saying, " The brotherhood we share. The memories. The stories -- like the one with a certain sock . R.I.P. brother Chad." Chad McQueen was the son of Hollywood legend Steve McQueen. Following in his father's footsteps, Chad became both an actor and a professional race car driver. He appeared in films like Death Ring, Firepower, and Red Line before focusing on car racing in the 1990s. His last movie appearance was in 2001's Fall: The Price of Silence. (ANI) Rebel Wilson's directorial debut 'The Deb' premiered at the Toronto International Film Festival amid legal trouble, reported People. Rebel is currently involved in legal trouble with three of its producers, who filed a defamation lawsuit against her in July. At the red carpet event, she shared that this is not an "ideal" situation. Wilson had earlier accused the producers of trying to block the film from screening at TIFF. She added, "obviously there was a struggle to have the movie play." However, she tries to focus on the positives, "At the end of the day, I'm so proud the fact that [TIFF CEO] Cameron Bailey chose this to play at the festival. And the honor to be chosen for TIFF and again, as a first-time female director, it's just huge." On the question that she can place the legal issue behind, she shared, "Yeah, I feel very confident that... Yeah, because the movie speaks for itself. It's so good and it's so original and so unique and it's just going to, the movie will shine." Wilson had intended to direct 'The Deb' but agreed to perform in the film to gain financing. She portrays Janette, a salon worker and mother of the local teen bully, Annabelle (Stevie Jean "The defamation suit from two of The Deb's producers, Amanda Ghost and Gregor Cameron, as well as executive producer Vince Holden, came in response to Wilson's Instagram video on July 10 in which she accused the group of "bad behavior" on set. Wilson claimed she was met "with absolute viciousness and retaliatory behavior" after reporting their alleged actions" Ghost, Cameron, and Holden refuted Wilson's allegations that they embezzled monies and levelled their own accusations about her behaviour during the filming process. They attempted to stop the premiere of 'The Deb' in Toronto. The producers wrote in the document that they "had to consider carefully whether to proceed with marketing the Film while it was embroiled in numerous credit and licensing disputes" with Wilson. They shared that she "attempted to force the issue and bully them into capitulating to her other unreasonable demands by leveraging her popularity on social media to spread these malicious and baseless lies." Wilson later wrote on Instagram, "It's not defamation if it's the TRUTH" saying that the legal move was a "bogus PR stunt." Wilson shared on Instagram handle that 'The Deb' would close the 2024 edition of TIFF, reported People. (ANI) Several visuals from Dubai surfaced online in which the mother-daughter jodi can be seen receiving a warm welcome. Earlier, on Friday, actor Mrunal Thakur was also spotted arriving in Dubai. SIIMA awards are currently underway. Many stars including Rana Daggubati and Pranita Subhash have already reached the venue, marking stylish presence on the red carpet. Have a look at the pictures of the celebrities who attended the SIIMA Awards so far Wearing a blue gown, Pranitha stole the attention of the paps with her ethereal look. Rana arrived at the venue donning a teal blue blazer. Simran Choudhary attended the event in a stunning black saree. The 12th Edition of the South Indian International Movie Awards will take place till September 15. Telugu film Dasara, Tamil film Jailer, Kannada film Kaatera and the Malayalam film 2018 bagged the most nominations. Srikanth Odela's Dasara, starring Nani and Keerthy Suresh bagged 11 nominations, with Souryuv's Nani, Mrunal Thakur's Hi Nanna following closely with 10. Now it is to be seen who will take the trophies home. (ANI) Junior doctors in Kolkata continue their protest for the fourth consecutive night amid heavy rain at Swasthya Bhawan in the Salt Lake area, demanding justice in the RG Kar medical college rape and murder case of a trainee doctor. In an effort to monitor the situation and ensure security, the Kolkata Police have installed CCTV cameras at the protest site and surrounding areas. The move comes as the junior doctors maintain their stand, demanding justice and calling for enhanced safety measures at medical institutions, even after the Supreme Court set a deadline for doctors to resume work on Tuesday. Earlier on September 13, junior doctors in Kolkata criticised West Bengal Chief Minister Mamata Banerjee for rejecting their request to live telecast a meeting and for not meeting with them.They argued that their request was reasonable, given that parliamentary discussions and many administrative meetings are broadcast live. The doctors expressed frustration over being asked to leave electronic gadgets outside the meeting hall, which prevented them from recording the proceedings.Speaking to the media, Anustup Mukherjee, one of the doctors, said that they tried to negotiate for live telecasting the meeting with the state government, but that issue remained non-negotiable."If all parliamentary discussions can be live telecast, if even the Chief Minister herself encourages live telecast of all her administrative meetings, then perhaps our request was not unjustified. Also, as we are headed towards the discussion regarding our demands of justice and not any subjudice matter, we did not find any breach of code of law in our request for live telecast. We are also asked to leave all our electronic gadgets outside of meeting hall, which negates any chance of video recording from our side. But unfortunately, this issue remained non negotiable," Mukherjee told reporters. Earlier, West Bengal CM Mamata Banerjee urged the protesting doctors to return to work, adding that she has no greed for her CM post, but is more concerned about justice for the RG Kar rape-murder victim and treatment for ordinary citizens. Addressing a press conference, Mamata Banerjee said, "I am ready to resign from the Chief Minister of West Bengal. I am not concerned about the post. I want justice for the victim, I am only concerned about ordinary people getting medical service."The second-year postgraduate medical student was raped and murdered inside the seminar hall of RG Kar Medical College on August 9. (ANI) Following the release of Delhi Chief Minister Arvind Kejriwal from Tihar jail on bail, Maharashtra Congress president Nana Patole on Friday said that the decision of the Court has shown the government that the truth can't be suppressed. The Maharashtra Congress chief further suggested that the BJP government should stop the "politics of fear." "This decision by the Court has shown the Modi government that the truth can't be suppressed. The way false allegations were put on him, they got exposed and Arvind Kejriwal got the bail on that basis. I think the Modi government should stop this kind of politics of fear," Patole told reporters on Friday. On Friday evening, Arvind Kejriwal was released from Tihar jail hours after the Supreme Court granted him bail. Kejriwal held a roadshow from Chandgiram Akhara to his official residence after being released from the Tihar jail and said, "The prison walls have increased my courage 100 times. My life is dedicated to the country. Every drop of my blood is dedicated to my country. God has always supported me. Why did God support me? Because I was truthful, I was right; I served the people; I fought for the country; that is why God is with me." Kejriwal's lawyers furnished surety bonds for his release before the special CBI judge, citing the Supreme Court ruling. Kejriwal was arrested by the Enforcement Directorate (ED) on March 21 in connection with the case. On May 10, the Supreme Court granted him interim bail till June 1 in the money laundering case registered by the ED in connection with the now-scrapped Delhi Excise Policy. However, the court ordered that he should not visit the Office of the Chief Minister or the Delhi Secretariat. In compliance with this directive, he surrendered on June 2. On June 26, he was arrested by the CBI while in ED custody in the excise case. On July 12, the Supreme Court granted him interim bail in the ED case, but Delhi's Rouse Avenue Court extended his CBI custody. (ANI) Prime Minister Narendra Modi on Saturday extended greetings to the people on the occasion of Hindi Diwas. "Many best wishes to all the countrymen on Hindi Diwas," PM Modi posted on X. Earlier today, Union Home Minister Amit Shah extended his heartfelt wishes to people on the occasion of Hindi Diwas and said that all Indian languages are our pride and heritage, and without enriching them, we cannot move forward. "All Indian languages are our pride and heritage, without enriching them we cannot move forward. Official language Hindi has an unbreakable relationship with every Indian language. This year Hindi has completed 75 years of public communication and national unity as the official language of the country. I am confident that by taking all Indian languages together, official language Hindi will continue to contribute towards realizing the resolution of a developed India," Amit Shah posted on X in Hindi. In a video message, the Union Minister also said, "This year's 'Hindi Diwas' is very important for all of us because on 14th September 1946, the Constituent Assembly of India accepted Hindi as the official language. It is completing its 75th year and we are going to celebrate the Diamond Jubilee of the official language this year. Hindi has been very important for the official language and for the languages of all our states. Hindi has seen many ups and downs. But today, I can say with certainty that there is no competition between Hindi and any local language," Shah said. Amit Shah said that Hindi is a friend of all the local languages. "Whether it is Gujarati, Marathi or Telugu, every language gives strength to Hindi and Hindi gives strength to every language...In the last 10 years, a lot of work has been done under the leadership of PM Modi to strengthen Hindi and local languages. PM Modi has put forth the importance of Hindi in front of the world by giving speeches in Hindi on many international forums and has also increased the interest towards our languages in our country," the Union Home Minister added. "In the coming days, the Department of Official Language is also bringing a portal for translation from Hindi to all the languages of the Eighth Schedule, through which, whether it is a letter or a speech, we will be able to translate it into all languages in a very short time using Artificial Intelligence," he said. Hindi Diwas is observed annually on September 14 to commemorate the decision to adopt Hindi as one of the nation's official languages. (ANI) On Friday, Azerbaijans Foreign Minister Jeyhun Bayramov met with Ambassador Serdar K?l?c, Special Representative for the normalization process between Turkiye and Armenia, during his visit to Azerbaijan, Azernews reports. The talks centered on the Azerbaijan-Armenia peace process, regional post-conflict dynamics, and negotiations between Turkiye and Armenia regarding normalization efforts. Minister Bayramov provided an overview of the challenges facing the peace process between Azerbaijan and Armenia, emphasizing concerns over Armenia's territorial claims, as reflected in its constitution, and the destabilizing impact of military support from third countries. The meeting also covered broader topics of regional and international security, alongside bilateral cooperation and engagement within international organizations. Both parties shared views on issues of mutual interest, reinforcing their commitment to regional stability and cooperation. As part of Ganesh Chaturthi celebrations, a unique Ganesh idol, decorated with currency notes worth Rs 1.10 crore, has been put on display at the Ambedkar Centre in Paloncha Mandal of Bhadradri Kothagudem district. The idol, set up by the Kapu community, has been drawing a large number of devotees. Organiser NP Naidu stated that they have been installing the Ganesh idol at this location for the past 28 years and this year they decorated it with Rs 1.10 crore in notes. Naidu told ANI, "We have been setting up the Ganesh idol here for the past 28 years. This year, we've decorated Lord Ganesh with Rs 1.10 crore in notes. We're thrilled to see so many people coming here and receiving the blessings of Lord Ganesh." A devotee named Krishna told ANI that he was enchanted by the idol and mentioned that he had never seen anything like this anywhere. "I have never seen anything like this anywhere. The Ganesh idol, decorated with Rs 1.10 crore in notes by the Kapu community, is truly unique. I don't think anyone else in Telangana has done something like this. This (Ganesh idol) is mesmerising," he said. Another devotee expressed her delight at the sight of the Lord Ganesh idol. "All our family has come to see the decoration of Ganesh Ji at the Ambedkar Centre. We are delighted to see this decoration," she said. Ganesh Chaturthi, a 10-day festival, will continue until Anantha Chaturdashi. This festival is also known as Vinayak Chaturthi or Vinayak Chavithi. During Ganesh Chaturthi, Lord Ganesha is worshipped as the god of new beginnings and the remover of obstacles. Devotees across India and abroad celebrate Lord Ganesha's wisdom and intelligence. Homes and pandals are adorned with elaborate decorations, and the air is filled with prayers, music, and festive chants. The streets come all over with vibrant processions and traditional rituals as people prepare delicious offerings and visit the beautifully decorated pandals. (ANI) Taking to social media X, Gehlot wrote that the sudden demise of the leader was a matter of great sorrow. "The demise of senior Congress leader and MLA Shri Zuber Khan is a matter of great sorrow for all of us. Shri Zuber Khan was fully committed to the development of his area and remained engaged in public service till his last breath. His demise is an irreparable loss. I pray to God to give peace to the departed soul and courage to the family members," the post read. Rajasthan Governor Haribhau Bagde also expressed his deep condolences on the demise. Bagde prayed peace for the virtuous soul and expressed his condolences to the family to bear the loss. (ANI) The deceased has been identified as Anand Kashyap. According to the Delhi Fire Service, the incident occurred on Friday night at about 9.20 PM when a part of the balcony fell on Anand Kashyap. He was rushed to a nearby hospital, where he succumbed to his injuries, the Delhi Fire Service said. Earlier on Friday, one person died and two other persons suffered injuries after a portion of a building collapsed in Delhi's Nabi Karim area, an official said. Incessant rainfall across Delhi and its adjoining National Capital Region (NCR) for the past few days led to waterlogging and heavy traffic jams across many areas. On Friday, intermittent downpours continued throughout the day, causing widespread flooding and hampering vehicular movement across the region. (ANI) Congress Parliamentary Party Chairperson, Sonia Gandhi paid tribute to CPI(M) General Secretary Sitaram Yechury at the CPI office in Delhi on Saturday. Congress leaders Jairam Ramesh, Ajay Maken, Rajeev Shukla, and several CPI (M) leaders and workers arrived at the CPI (M) office in Delhi to pay tribute to Yechury. His mortal remains were taken to the party's office from his residence in Vasant Kunj here. Yechury passed away on September 12 at AIIMS Hospital after suffering from a respiratory tract infection. Speaking to ANI, Kerala Minister P Rajeev said that his demise has created a big vacuum in the national politics. Speaking to ANI, Rajeev said, "The sad demise of Sitaram Yechury has created a big vacuum in the national politics. I got the opportunity to work with him as a deputy leader when he was the leader of the CPI (M) in Rajya Sabha. He was the most acceptable person in the party and everyone came to him to make decisions on every issue. It is a big loss to the party, the left and the country." On Friday, Union Minister and BJP national President JP Nadda paid floral tribute to him at his residence. Remembering Yechury, Nadda said that he maintained relationships with those whose views differed from his own. "Paid floral tribute to the mortal remains of Former Rajya Sabha MP and General Secretary of the CPI (M) late Shri Sitaram Yechury ji. We both had different ideologies. He was a person more inclined towards ideas, but at the same time, he maintained relationships with those whose views differed from his own. He believed in agreeing to disagree and often said that this is the beauty of democracy," Nadda wrote in a post on X. "May God grant him eternal peace and give his family the strength to endure this pain," he added. Reflecting on his memories of the late CPI(M) leader, Nadda said he was very firm in his ideas and he devoted his whole life to propagating those ideas but being a social person, we can never forget his personal relationship and humanistic approach. "My friend Sitaram Yechury is no more among us... Sitaram Yechury used to come to Himachal from JNU to propagate his ideology and I have known him since then. We both belonged to different ideologies. He was very firm in his ideas and he devoted his whole life to propagate those ideas but being a social person we can never forget his personal relationship, humanistic approach. So I can say that he was a person who was more inclined towards ideas but at the same time, he also maintained relations with those people of the society who were different from his ideology. He believed that we agree to disagree and he used to say that this is the beauty of democracy. May God give him a place in his feet and give strength to all the members of his family to bear this grief," Nadda told ANI. (ANI) Welcoming the change of name of Port Blair to 'Sri Vijaya Puram', Madhya Pradesh Minister Kailash Vijayvargiya on Saturday praised Prime Minister Narendra Modi for his promise to remove all signs of slavery. Speaking to ANI, the minister said, "When PM Modi gave his speech in the Central Hall when his third term began, he had said that we will remove all the signs of slavery. This is also a step in that direction. I thank PM Modi and Home Minister Amit Shah...". On Friday, Prime Minister Narendra Modi said that the renaming of Port Blair, the capital city of Andaman and Nicobar Islands, to Sri Vijaya Puram reflects the government's commitment to break free from the colonial mindset. In a post on X, PM Modi said that the name Sri Vijaya Puram honours the rich history and heroic people of Andaman and Nicobar islands. "It also reflects our commitment to break free from the colonial mindset and celebrate our heritage," he added. Earlier on Friday, Union Minister Ramdas Athawale welcomed the Centre's decision to rename Port Blair as Sri Vijaya Puram and said it is a tribute to the freedom struggle. "Port Blair was the colonial name...The new name Sri Vijaya Puram is to commemorate the freedom struggle. This decision by Amit Shah is a welcome step...We support this step...," Athawale told ANI. Earlier, Union Home Minister Amit Shah announced the renaming through a post on X, calling it a move inspired by PM Modi's vision to free the nation from the colonial imprints. Shah also highlighted the historic importance of the UT and said that it has an "unparalleled place" in freedom struggle and history. "While the earlier name had a colonial legacy, Sri Vijaya Puram symbolises the victory achieved in our freedom struggle and the A&N Islands' unique role in the same," Shah wrote in his post. "The island territory that once served as the naval base of the Chola Empire is today poised to be the critical base for our strategic and development aspirations. It is also the place that hosted the first unfurling of our Tiranga by Netaji Subhash Chandra Bose Ji and also the cellular jail in which Veer Savarkar Ji and other freedom fighters struggled for an independent nation," he added. (ANI) In a post on X, PRO, Defence, Guwahati said, "DRDO successfully conducted developmental field trials of Indian Light Tank, Zorawar. The collaboration with Indian industry aids in the growth of the domestic manufacturing ecosystem." The first phase of developmental field firing trials of Indian light tank 'Zorawar' was conducted by DRDO on Friday. "Defence Research and Development Organisation (DRDO), on September 13, 2024, successfully conducted the preliminary automotive trials of the Indian light Tank, Zorawar, a highly versatile platform capable of deployment in high-altitude areas," as per a press release from the Ministry of Defence. During the field trials conducted in the desert terrain, the Light Tank demonstrated exceptional performance, efficiently meeting all the intended objectives. In the initial phase, the tank's firing performance was rigorously evaluated and it achieved the required accuracy on designated targets, the Defence Ministry stated. Zorawar has been successfully developed by the Combat Vehicles Research & Development Establishment (CVRDE), a unit of the Defence Research and Development Organisation (DRDO), in collaboration with Larsen & Toubro Ltd (L&T). "Numerous Indian industries, including Micro, Small, and Medium Enterprises (MSMEs), contributed to the development of various sub-systems, showcasing the strength of indigenous defence manufacturing capabilities within the country," Ministry of Defence stated in the release. Union Defence Minister Rajnath Singh lauded DRDO, the Indian Army, and all associated industry partners for the successful trials of the Indian Light Tank. He described the achievement as a significant milestone towards India's goal of self-reliance in critical defence systems and technologies, as per the Ministry of Defence. Learning from the Russia-Ukraine conflict, DRDO and L&T have integrated USV and loitering munition in the Zoravar tank. Initially, 59 tanks will be given to the army. Weighing 25 tonnes, this tank can move at high speed in mountain valleys and two tanks can be transported at a time by the Indian Air Force's C-17 aircraft. (ANI) Union Minister of Agriculture and Farmers Welfare Shivraj Singh Chouhan on Saturday highlighted several measures taken by the Union government aimed at benefiting farmers and promoting agricultural development and their welfare. In a post on X, Chouhan said, "Prime Minister Narendra Modi is a farmer-friendly Prime Minister. Agriculture and farmer welfare are his top priorities. For farmer brothers and sisters, the Modi government has taken some big decisions in their interest." The central government has decided to increase the basic duty on refined oil to 32.5 percent. This move is expected to boost the demand for crops like mustard, sunflower, and groundnut used in refining, Chouhan said. He added that this increase will help farmers get better prices for these crops and encourage the establishment of more refineries in small and rural areas, thereby creating more employment opportunities. In addition, the export duty on onions has been reduced from 40 percent to 20 percent. According to Chouhan, this reduction will provide onion-producing farmers with better prices and enhance onion exports. He said, "The Modi government, committed to the progress of farmers, has reduced the export duty on onions from 40% to 20%." Chouhan further explained that this decision would benefit not only farmers but also other sectors related to onions. Another major decision is the removal of the minimum export duty on Basmati rice. This policy change is intended to ensure fair prices for Basmati-producing farmers and increase the demand for Basmati rice exports. Chouhan stated, "The Modi government, sensitive towards farmer welfare, has decided to remove the minimum export duty on Basmati rice." He expressed gratitude for this move, highlighting its positive impact on both farmers and the export sector. Additionally, the import duty on edible oils has been increased from 0 to 20 percent, with the total effective duty reaching 27.5 percent after considering other measures. This change is expected to raise soybean crop prices and encourage edible oil manufacturers to purchase more from domestic farmers. Chouhan also added, "This decision will increase the production of soyabean and it will be exported. Along with this, other sectors related to soya will also benefit." The Union Agriculture Minister concluded by expressing heartfelt thanks to Prime Minister Narendra Modi for these important decisions. "Thanks to respected Prime Minister Narendra Modi ji for this important decision," he said. (ANI) The Indian Council of Medical Research (ICMR) has formalised a Memorandum of Agreements (MoAs) with multiple sponsors under its Network of Phase 1 Clinical Trials. According to the Ministry of Health and Family Welfare, the agreements mark a ground-breaking entry into First-in-Human Clinical Trials for four promising molecules. These include collaborative research over a small molecule for multiple myeloma with Aurigene Oncology Limited, partnering for Zika vaccine development with Indian Immunologicals Limited, coordinating a seasonal Influenza virus vaccine trial with Mynvax Private Limited, and a CAR-T cell therapy advancement study for a new indication of chronic lymphocytic leukemia with ImmunoACT, the Ministry said on Saturday. This initiative is a crucial step towards establishing India as a leader in the clinical development of pharmaceutical agents. Union Health and Family Welfare Minister JP Nadda, commended the strategic collaboration between ICMR and prominent industry and academic partners, emphasising it as a key milestone in the pursuit of affordable and accessible cutting-edge treatments for all citizens. He noted that this initiative positions India to emerge as a global leader in healthcare innovation. Dr Rajiv Bahl, Secretary, of the Department of Health Research and Director General, ICMR, emphasised the transformative potential of the project, stating, "This collaboration reflects our commitment to advancing clinical research in India through strategic public-private partnerships. Establishing Phase 1 clinical trial infrastructure is a key component in fostering the development of indigenous molecules and cutting-edge treatments. Our vision is to expand this network further, ensuring that India continues to lead in the development of innovative and affordable healthcare solutions." Dr Bahl also highlighted the broader impact of ICMR's initiatives, such as the Network for Phase 1 Clinical Trials, INTENT Network, and MedTech Mitra, aligning with the government's vision of a "Viksit Bharat". He cited ICMR's pivotal role in the development of Covaxin in collaboration with Bharat Biotech as a testament to the organization's commitment to affordable and accessible healthcare for all. The ICMR Network for Phase 1 Clinical Trials comprises four strategically located institutions across India--KEMH & GSMC, Mumbai; ACTREC, Navi Mumbai; SRM MCH&RC, Kattankulathur; and PGIMER, Chandigarh--supported by a Central Coordinating Unit at ICMR Headquarters, New Delhi. "This network is designed to build and enhance India's capacity to conduct early-phase clinical trials, supported by robust infrastructure and dedicated manpower at each trial site, ensuring smooth and effective operations," the ministry added. The signing of these agreements reinforces the strong partnerships ICMR has cultivated with key industry players. It underscores the institute's dedication to building a robust clinical trial ecosystem in India, fostering capacity to develop new drugs from early-phase trials through to marketing, thereby reducing dependency on international resources, and ultimately driving the mission of affordable, high-quality healthcare for all. (ANI) Veteran hotelier late PRS Oberoi's daughter Anastasia Oberoi, has filed a suit in the Delhi High Court against her brother Vikramjit Oberoi, sister Natasha Oberoi, and cousin Arjun Oberoi. In her lawsuit, she alleged that Vikramjit and Arjun, in conspiracy with the executors appointed by their late father, were attempting to obstruct the execution of his will. On September 12, 2024, the bench of Justice Navin Chawla of Delhi High Court, after a detailed hearing, issued an interim order in favour of Anastasia Oberoi along with the other plaintiffs to protect the subject matter of the lawsuit. The court ordered an injunction in connection with the shares held by PRS Oberoi, and protected Anastasia's and her mother's continued possession of their family home. While passing the interim order, Justice Chawla said, there is sufficient material placed on record by the plaintiffs (Anastasia Oberoi and others) to demonstrate the prima facie reliability of the Will and the Codicil of the Testator propounded by them. Justice Chawla also stated that "I find that the plaintiffs have been able to make out a good prima facie case in their favour. The balance of convenience is also in favour of the plaintiffs and against the defendants. The plaintiffs are likely to suffer grave irreparable harm in case the subject matter of the lawsuit, that is the shares and properties, are alienated during the pendency of the lawsuit and before the defendants file their response and the same is considered by this Court." This order comes amid a legal dispute between Anastasia and her brother Vikramjit Oberoi, sister Natasha Oberoi, and cousin Arjun Oberoi, regarding the estate of PRS Oberoi and his will. The case revolves around the control of the family's substantial holdings in EIH Limited, which manages the Oberoi and Trident hotel chains. The Delhi High Court, in its order, also stated that the interests of justice and the plaintiffs (Anastasia Oberoi and two others) can be safeguarded by restraining defendants Rajaraman Shankar, Daniel Lee Farrugia, Natasha Devi Oberoi (executors of the will of the late PRS Oberoi) and defendants EIH Limited, Oberoi Hotels Pvt. Ltd and Oberoi Properties Pvt. Ltd., from transferring or transmitting any shares held by the late PRS Oberoi in defendant companies. An exception was made for the transmission of one Class-A share in defendants Oberoi Hotels Pvt. Ltd. and Oberoi Properties Pvt. Ltd. to defendant Rajaraman Shankar (COO Oberoi Hotel Groups). However, the court clarified that while Rajaraman Shankar may exercise his voting rights only for the purpose of ensuring statutory compliance and filing statutory reports, he is restricted from exercising those rights on any other agenda items. Through the lawsuit, Plaintiffs sought direction to declare that Plaintiffs are entitled to own, hold, enjoy and exercise all rights in 1600 A class shares and 62,075 B Class shares of Oberoi Hotels Pvt. Ltd. and 100 A Class shares and 2,600 B Class shares of Oberoi Properties Pvt. Ltd. and 46 percent capital contribution in Aravali Polymers LLP by virtue of the Will dated October 25 2021 read with Codicil dated August 27 2022 of the late PRS Oberoi. The suit also sought direction to grant a decree of permanent injunction against Rajaraman Shankar, Daniel Lee Farrugia, Natasha Devi Oberoi and Oberoi Hotels Pvt. Ltd and Oberoi Properties Pvt. Ltd., from registering any transfer or transmission of shares held by Late PRS Oberoi in Defendant Oberoi Hotels Pvt. Ltd and Oberoi Properties Pvt. Ltd. Other than in favour of the legatees under the last will dated October 25 2021 read with Codicil dated August 27 2022 of Late PRS Oberoi. On the other hand, the counsel appearing for the Vikramjit Singh Oberoi and Arjun Singh Oberoi, contended that apart from the suit not being maintainable, in terms of the settlement that had been arrived at between the Testator and his father-Rai Bahadur MS Oberoi, the shares of Oberoi Hotels Pvt. Ltd and Oberoi Properties Pvt. Ltd were held by the Testator in trust for the defendants Vikramjit and Arjun and were to devolve upon the death of the Testator on the said defendants. Further, to give effect to such an oral understanding, the Testator also executed a Will dated 20.03.1992 in terms thereof. Anastasia Oberoi and other plaintiffs were represented by Senior Advocates Sandeep Sethi, Arvind Nigam, Arun Kathpalia and Jayant Mehta instructed by Advocates Swapnil Gupta, Shivambika Sinha and Nimita Kaul, Partners of Capital Law Chambers LLP and Advocate Aadil Singh Boparai and his chamber. The defendants were represented by Senior Advocates Neeraj Kishan Kaul, Amit Sibal, Rajiv Nayar along with Advocates Aseem Chaturvedi, Aakash Bajaj, Shivank Diddi, Shankh Sengupta, Ribi V.Garg, Shreyash Sharma, Preorna Banerjee, Sania Abbasi and others. (ANI) Delhi Chief Minister Arvind Kejriwal, along with his wife Sunita Kejriwal, offered prayers at the Hanuman temple in Connaught Place on Saturday, a day after he came out of Tihar jail. Former Deputy Chief Minister Manish Sisodia and AAP MP Sanjay Singh also offered prayers at the Hanuman temple with Kejriwal. The Supreme Court on Friday, granted Kejriwal bail in the Delhi excise policy case. The apex court, however, also set certain limitations, like barring him from entering the CM office and signing files. Kejriwal's lawyer, Rishikesh Kumar, while speaking to ANI, listed out the bail condition set by SC. "Conditions given are the furnishing of a surety bond of Rs 10 lakh each has to be submitted. This is being done. The second condition imposed is that he will join the trial on each date unless an exemption is moved by him." He further added that some other conditions imposed by the court are similar to those when he was granted bail in ED arrest. "Other conditions are similar to the conditions that have been imposed in the ED matter. That signing of the document and working as the CM is not allowed." Arvind Kejriwal will have to move an application if he wants to modify the conditions imposed by CM, "considering that SC may modify those conditions which have been imposed in ED matter," Advocate Kumar said. To summarise the conditions applied by SC, Delhi CM has to submit a surety bond of Rs 10 lakh. He cannot make any comments about the Delhi excise policy case. Kejriwal cannot enter the CM office and sign official documents unless absolutely necessary to obtain the Lieutenant Governor's sanctions. He can't enter the CM office or Delhi secretariat. The Chief Minister will have to be present for trial unless exempted by the court. Kejriwal was arrested by the ED on March 21, 2024, in connection with a money laundering probe relating to alleged irregularities in the now-cancelled Delhi excise policy 2021-22. On June 26, 2024, Kejriwal was arrested by CBI while he was in custody of the Enforcement Directorate in the excise case. (ANI) The Armenian government has requested India's assistance in modernizing its Air Force to meet contemporary standards, Azernews reports. According to an article published by "The Print," Yerevan has formally appealed to New Delhi to upgrade its Su-30 military aircraft, including the integration of air-to-ground missiles. The report indicates that the 272 Su-30 MKI fighters currently in the Armenian Air Force require significant updates to align with modern operational requirements. Note that since the Armenian-Azerbaijani conflict, Armenia has become a big defence export destination for India and has, in the past, bought several systems, including artillery guns besides certain kinds of missiles, mortars, radars, small arms and sight systems. Former Delhi Deputy Chief Minister Manish Sisodia and Aam Aadmi Party MP Sanjay Singh on Saturday paid tribute to CPI(M) General Secretary Sitaram Yechury, who passed away on Thursday, at the CPI (M) party office in the natioanl capital. Sisodia said that Yechury was a very prominent leader and no one can replace him. "Sitaram Yechury was a very big leader for the whole nation... He had always been a source of inspiration for all of us... No one can take his place," the AAP leader said. Congress Parliamentary Party Chairperson Sonia Gandhi also visited the CPI(M) office in Delhi to pay her respects to the departed leader. Congress leaders Jairam Ramesh, Ajay Maken, Rajeev Shukla, and several CPI (M) leaders and workers were also among those who paid their tributes to Yechury. His mortal remains were taken to the party's office from his residence in Vasant Kunj here. Yechury passed away on September 12 at AIIMS Hospital after suffering from a respiratory tract infection. Speaking to ANI, Kerala Minister P Rajeev said that his demise has created a big vacuum in national politics. Rajeev said, "The sad demise of Sitaram Yechury has created a big vacuum in the national politics. I got the opportunity to work with him as a deputy leader when he was the leader of the CPI (M) in Rajya Sabha. He was the most acceptable person in the party and everyone came to him to make decisions on every issue. It is a big loss to the party, the left and the country." On Friday, Union Minister and BJP national President JP Nadda had paid floral tribute to the departed leader at his residence. Remembering Yechury, Nadda said that he maintained relationships with those whose views differed from his own. In a post on X, Nadda said, "Paid floral tribute to the mortal remains of Former Rajya Sabha MP and General Secretary of the CPI (M) late Shri Sitaram Yechury ji. We both had different ideologies. He was a person more inclined towards ideas, but at the same time, he maintained relationships with those whose views differed from his own. He believed in agreeing to disagree and often said that this is the beauty of democracy." (ANI) Prime Minister Narendra Modi on Saturday said that the upcoming Assembly elections in Jammu and Kashmir will be a fight between "three dynasties" and the youth of the union territory. He named Congress, National Conference (NC) and People's Democratic Party (PDP) as the three dynasties whose corrupt practices "hollowed out" and "destroyed" Jammu and Kashmir. "This year, the elections to Jammu Kashmir assembly are going to be held between th dynasties and the youth of Jammu and Kashmir," PM Modi said in his first rally in the election bound erstwhile State. "These three families are responsible for the dire state that J-K was in for decades. They indulged in corruption and made you struggle for your basic needs. These families laid the foundation for terrorism and extremism in the valley. They provided a safe haven for the propagation of terrorism for personal gains," the Prime Minister said. "On one side, there are these three dynasties and on the other, my sons and daughters of Jammu and Kashmir. These three dynasties are - the Congress, the National Conference and the PDP. What these three dynasties have together done with you all is nothing less than a sin. These three families prepared the necessary ground for separatism and terrorism here. Who benefitted from it? The enemies of the nation. They were harbouring terrorism so that their shops of millions continue to prosper. They are responsible for ruining Jammu and Kashmir for decades," PM modi said. The Prime Minister pledged that his government would make a prosperous Jammu and Kashmir, stating that it was "Modi Ki Guarantee." "I can see that our sisters and daughters have come here in big numbers to bless us. I am thankful to you all. I will repay this love and blessings of yours by working twice and thrice as hard for you and the country. Together we will build a safe and prosperous Jammu and Kashmir and this is Modi's guarantee," PM Modi said. The Prime Minister further stated that the assembly elections of Jammu and Kashmir would decide the fate of the Union Territory. "This time the elections of Jammu and Kashmir is going to decide the fate of J-K. Since independence, our beloved J-K has been targeted by foreign powers. After this, 'pariwarwad' started hollowing this beautiful state. The political parties you trusted here did not care about your children. Those political parties only promoted their own children. The youth of J&K have been suffering with terrorism and the parties promoting 'pariwarwad' were having fun by misleading you. These people have never allowed new leaders to emerge anywhere in J-K. You also know that panchayat elections were not held here after 2000," PM Modi said. He further said that "'Pariwarwad' did not allow the youth to come forward and that is why, after coming to power in 2014, I have tried to bring forward the new leadership of the youth in Jammu and Kashmir." "In 2018, panchayat elections were held here. In 2019, BDC elections were held and in 2020, DDC elections were held for the first time. Why were these elections held? So that democracy reaches the grassroots level in Jammu and Kashmir," he said. The Prime Minister referred to the remark by then Congress' Home Minister Sushil Kumar Shinde in which he said that he was scared to visit Lal Chowk "There was a time when there used to be an undeclared curfew after evening. The situation was such that the Union Home Minister used to be scared to visit Lal Chowk," he said. PM Modi referred to the changing situation in Jammu and Kashmir in recent years and said that terrorism is at its last breath in Jammu and Kashmir and pointed to the changing scenario of stone pelting incidents and said that the time has arrived for the development of the region. "Terrorism in Jammu and Kashmir is now breathing its last. The changes seen here in the last 10 years are nothing short of a dream. The stones that were once picked up to be thrown at the police and army are now being used to build a new Jammu and Kashmir," he said. The Prime Minister further said that he wants every child in Jammu and Kashmir to have access to a good education. "Over the past few years, the BJP government has inaugurated various schools and colleges in J&K for the betterment of the youth. The long-standing demand for a medical college in Doda was also fulfilled recently by the BJP government," he said. He further said that the BJP's resolution and support can bring peace, prosperity and security to Jammu and Kashmir. "Make our candidates win with a huge mandate and ensure a brighter future for everyone in the valley. Any citizen of Jammu and Kashmir, regardless of his religion, region and cultural affiliation, is a priority for the BJP government. I guarantee to safeguard your rights. It's only the BJP that can reinforce statehood to Jammu and Kashmir. Earlier, people cheered for PM Modi and gave him a rousing welcome upon his arrival in Doda amidst heightened security. The elections in Jammu and Kashmir are scheduled for September 18, September 25, and October 1, with counting set for October 8. The Assembly elections are going to be held on 8 Assembly seats in three districts, Doda, Kishtwar, and Ramban, in the first phase, voting for which will be held on 18 September. Jammu and Kashmir will witness elections after a gap of ten years, as the last assembly election was held in 2014. Jammu and Kashmir has 90 Assembly constituencies, including 7 reserved for SCs and 9 reserved for STs. According to the Election Commission of India, Jammu and Kashmir has 88.06 lakh eligible voters. In the previous Assembly elections, the People's Democratic Party (PDP) won 28 seats, the Bharatiya Janata Party (BJP) secured 25, the Jammu and Kashmir National Conference (NC) won 15, and the Congress won 12. The PDP-BJP coalition government fell in June 2018 when the latter withdrew support to the then-Chief Minister, Mehbooba Mufti. These upcoming elections will be the first in Kashmir since the abrogation of Article 370. (ANI) The Enforcement Directorate (ED) has arrested four persons from Pallipattu in Tamil Nadu in a case related to the cyber fraud of Rs 2.6 crore by a cyber criminal posing as a Central Bureau of Investigation (CBI) officer. The accused, identified as Tamilarasan Kuppan, 29, Prakash, 26, Aravindan I, 23, and Ajith, 28, were arrested on September 13. As per the ED, all four accused were involved in the incorporation of shell companies and the opening of bank accounts, through which Proceeds of Crime generated from the cyber scam were laundered. A Special Court in Bengaluru has granted ED custody of four days to these accused persons. Further, the agency said it has frozen the Rs 2.8 crore proceeds of crime in the bank account of a shell company, Cyberforest Technology Private Limited. ED initiated an investigation on the basis of several FIRs registered by various State police all over the country, including FIR No. 330 dated September 3, 2024, registered by the Special Offences and Cyber Crime Police in Jaipur. The victim in the FIR No. 330 in Jaipur received a call from a mobile number wherein a person claimed to be calling from Mumbai Custom Office and communicated to the victim that illegal goods were being sent abroad under the victim's name. The victim was then instructed to make a "fund legalisation" payment as a security to ensure that no money had been illegally earned by the victim. A total amount of Rs 2.16 crore was asked to be transferred by the fraudsters in three separate instalments into three different accounts provided by the caller, under the guise of "fund legalisation". Subsequently, the ED said, an individual who claimed to be a CBI officer contacted the victim over mobile phone. "The fraudster continued to assert that he was a CBI officer. Furthermore, a call was received by the victim from someone who claimed to be DCP Delhi Police, to verify the authenticity of the so-called CBI officer. On the insistence of so-called government officials, the victim was pressurised and extorted of his entire life savings and investments, amounting to Rs 2.16 crore," said the federal agency. By way of swift action by ED, POC to the tune of Rs 2.8 crore have been frozen in a Bandhan Bank account of the shell company Cyberforest Technology Private Limited on September 12, in which the proceeds were transferred by the victim, said the agency. "The whole process and activities related to transactions in the bank accounts of these shell companies were done through a WhatsApp group where Tamilarasan, Ajith, Aravindan, Prakash,, along with Chinese scammers were members." Investigation has revealed that Tamilarasan, one of the arrested persons, was in contact with Chinese cyber fraudsters for syphoning off the amount of Rs 2.8 crore frozen in Bandhan Bank. "It has also been revealed that Tamilarasan, Ajith, Prakash, and Aravindan were actively involved in the incorporation of shell companies and the opening of bank accounts of these shell companies, which were used to launder the proceeds of crime generated from various cyber frauds," said the ED. "Tamilarasan, in active assistance with Ajith, Prakash, and Aravindan, ran a syndicate to assist cyber fraudsters in arranging dummy directors, addresses and documents for incorporation of shell companies and liasoning with bank personnel for opening of accounts through which proceeds of crime generated from cyberfrauds were laundered," added the agency. Earlier, ED had arrested four persons, namely Shashi Kumar M, Sachin M, Kiran S K, and Charan Raj C, on August 15 and August 21 this year in Bengaluru. They are currently in judicial custody. So far, 17 searches have been conducted at various premises, which led to the seizure of various incriminating material, including mobile phones and other digital devices and the freezing of Rs 2.8 crore in the bank account. Investigation under PMLA, 2002 has, so far, identified POC of more than Rs 28 crore generated from a cyber scam. (ANI) Congress president Mallikarjun Kharge has appointed former Rajasthan Chief Minister Ashok Gehlot, party leader Ajay Maken and Partap Singh Bajwa as AICC senior observers for the upcoming Haryana Assembly election, said an official release of the party. After failing to reach a seat-sharing arrangement with Aam Aadmi Party (AAP), the Congress has fielded candidates for 89 seats out of 90 in the Assembly election. The party has left one seat for the Communist Party of India (Marxist). Haryana is expected to witness a triangular fight between the ruling BJP, Congress and Aam Aadmi Party. The BJP has been in power in the state for the last ten years, winning the last two consecutive Assembly elections. But this time the party is facing a tough fight from the Congress. In the 2024 Lok Sabha election, the BJP and the Congress won five seats each out of 10 seats in the state. A total of 1561 candidates have filed their nominations for the Assembly elections that are scheduled to be held on October 5. Haryana Chief Electoral Officer Pankaj Agarwal said on Friday that 1561 candidates from different political parties and independent candidates have filed 1747 nomination papers, which have been scrutinised. Agarwal said that candidates who have filed nominations for the elections can withdraw their nominations until September 16. After this date, the final list of candidates for the 90 assembly constituencies of the state will be published, and on the same day, the election symbols will also be allotted by the concerned returning officers, he added. In the 2014 Haryana Assembly elections, 1351 candidates had contested, whereas in the 2019 Assembly elections, this number was 961. Voting for the 90-member legislative assembly in Haryana will be held on October 5. Votes will be counted on October 8 along with that in Jammu and Kashmir. (ANI) Rajya Sabha MP Kapil Sibal on Saturday paid his last respects to CPI (M) General Secretary Sitaram Yechury who passed away in the national capital recently stating that people like hime "gave their lives for others." Speaking to the mediapersons , Sibal said, "In this country, most of all the politicians only think about their benefi and when they would be able to come to power. Only people like Sitaram Yechury gave their lives for other people and not for themselves. He knew he would never come to power." Further, Sibal added, "He was a very dear friend of mine. We have spent time together in politics and the Rajya Sabha. He used to mesmerise the Parliament with his interventions. The breadth of knowledge, the empathy for the ordinary people that he had is present in very less people. His demise is a very big loss for the nation." Earlier today, Congress Parliamentary Party Chairperson, Sonia Gandhi paid tribute to late Yechury at the CPI office. Congress leaders Jairam Ramesh, Ajay Maken, Rajeev Shukla, and several CPI (M) leaders and workers arrived at the CPI (M) office in Delhi to pay their last respects. The mortal remains of the late CPI(M) leader were taken to the party's office from his residence in Vasant Kunj here. Yechury passed away on September 12 at AIIMS Hospital after suffering from a respiratory tract infection. Speaking to ANI, Kerala Minister P Rajeev said that his demise has created a big vacuum in the national politics. Speaking to ANI, Rajeev said, "The sad demise of Sitaram Yechury has created a big vacuum in the national politics. I got the opportunity to work with him as a deputy leader when he was the leader of the CPI (M) in Rajya Sabha. He was the most acceptable person in the party and everyone came to him to make decisions on every issue. It is a big loss to the party, the left and the country." On Friday, Union Minister and BJP national President JP Nadda paid floral tribute to him at his residence. Remembering Yechury, Nadda said that he maintained relationships with those whose views differed from his own. (ANI) Tripura Chief Minister Manik Saha on Saturday attended the donation camp on the event of the 43rd Foundation Day at Mahanam Agan in Banamalipur. "I always appeal to the people to keep the balance of the blood units in the banks full. During elections, this becomes a problem. I am aware of the four blood groups but if we include the RS blood group, it is eight. In situations like road accidents and diseases, the blood banks should not fall short. There are 14 state government blood banks in Tripura. This is the 13th blood bank here," he said. Further, he added that if people start donating even 16 ml, then the state will have surplus blood present in the banks. "The women require around 27 ml of blood in their body. If people start donating even 16 ml, the needy will benefit. I appeal to the people to start donating their blood," he added. Earlier today, the Government of Tripura, in collaboration with the All India Institute of Medical Sciences (AIIMS), New Delhi, and the Centre for Chronic Disease Control (CCDC), announced the launch of the STAR-NCD programme, aimed at improving the prevention, diagnosis, and management of Non-Communicable Diseases (NCDs) across the state. Strengthening Ambulatory Care for Non-Communicable Diseases in India (STAR-NCD) is a National Health Research Priority (NHRP) initiative by Indian Council of Medical Research (ICMR). This initiative will strengthen outpatient care services, especially for conditions such as high blood pressure, diabetes, and heart disease. The program was launched by the Chief Minister of Tripura, Manik Saha, who emphasized the State's commitment to addressing the growing burden of NCDs. "Tripura is dedicated to improving healthcare services, and the STAR-NCD program will play a pivotal role in reducing the impact of chronic diseases. By integrating advanced technologies and focusing on primary care, we aim to ensure better health outcomes for our citizens," said Saha. (ANI) Uttar Pradesh Chief Minister Yogi Adityanath said on Saturday that the majority of the people in the country recognise Hindi as the language that connects India. "A practical language to connect this country, which I and the majority of the population of this country believe, recognise and understand, is the language Hindi," Adityanath said while speaking at the international seminar on 'Contribution of Nath Panth in building a harmonious society' here. "We have to keep in mind that the relation of Hindi with this world, the words that Indians have expressed about this language, the development of language is the root of all progress. I think that this sentiment even today attracts the attention of all of us. If our sentiments and our languages are not our own, then it will hinder our progress at every level," he added. His remarks were made on the occassion of Hindi Diwas on Saturday. Earlier in the day, Prime Minister Narendra Modi extended greetings to the people on the occasion of Hindi Diwas. "Many best wishes to all the countrymen on Hindi Diwas," PM Modi posted on X. Union Home Minister Amit Shah also extended his heartfelt wishes to people on the occasion of Hindi Diwas and said, "All Indian languages are our pride and heritage, and without enriching them, we cannot move forward." "All Indian languages are our pride and heritage, without enriching them, we cannot move forward. Official language Hindi has an unbreakable relationship with every Indian language. This year, Hindi has completed 75 years of public communication and national unity as the official language of the country. I am confident that by taking all Indian languages together, official language Hindi will continue to contribute towards realising the resolution of a developed India," Amit Shah posted on X in Hindi. In a video message, the Union Minister also said, "This year's 'Hindi Diwas' is very important for all of us because on 14th September 1946, the Constituent Assembly of India accepted Hindi as the official language. It is completing its 75th year and we are going to celebrate the Diamond Jubilee of the official language this year. Hindi has been very important for the official language and for the languages of all our states. Hindi has seen many ups and downs. But today, I can say with certainty that there is no competition between Hindi and any local language," Shah said. Hindi Diwas is observed annually on September 14 to commemorate the decision to adopt Hindi as one of the nation's official languages. (ANI) National Conference (NC) Vice President Omar Abdullah criticised Prime Minister Narendra Modi and the Bharatiya Janata Party (BJP) for the Prime Minister's comments on political dynasties during his visit to Jammu and Kashmir's Doda ahead of the Assembly elections. Speaking to ANI on Friday, the former J-K Chief Minister referred to a recent militant attack that claimed the lives of two soldiers and ongoing encounters in the Union Territory, accusing the Prime Minister of using the issue of political families to divert attention from more pressing concerns. "When the BJP needed help from these families (Abdullah and Mufti), we were not blamed for the destruction of J&K. They had no issues with the PDP when they were in alliance with them in J&K. Yet, during elections, they find everything wrong with us. Tomorrow, if the BJP falls short of numbers and the PDP steps in to help, they'll again find no fault with the PDP. It's all about timing. PM Narendra Modi should have addressed this," Abdullah said while campaigning in Kulgam. Abdullah is contesting the Ganderbal assembly constituency in the upcoming elections. "Two Army personnel were killed in a militant attack, and an encounter is currently ongoing in North Kashmir. The Prime Minister talks about families to divert people's attention from real issues. It's been five years since the abrogation of Article 370, and yet we still hear about encounters," he added. Earlier, while addressing an election rally in Doda, PM Modi stated that the forthcoming elections in Jammu and Kashmir would be a battle between "three dynasties" and the youth of the Union Territory. He named Congress, the National Conference (NC), and the People's Democratic Party (PDP) as the dynasties whose corrupt practices had "hollowed out" and "destroyed" Jammu and Kashmir. "This year, the Jammu and Kashmir Assembly elections will be fought between the dynasties and the youth of the region. These three families are responsible for the dire state J&K was in for decades. They indulged in corruption and made you struggle for your basic needs. They laid the groundwork for terrorism and extremism in the valley, providing a safe haven for the spread of terrorism for personal gain," the Prime Minister said. "These three dynasties--the Congress, the National Conference, and the PDP--have committed a grave wrong. Together, they laid the foundation for separatism and terrorism here. Who benefitted? The enemies of the nation. They fostered terrorism so that their personal fortunes could flourish. They are responsible for the decades-long ruin of Jammu and Kashmir," PM Modi remarked. (ANI) The Central Bureau of Investigation (CBI) has arrested an alleged cybercriminal from Mumbai for defrauding a US citizen of USD 453,953 by posing as technical support, according to a press release on Saturday. The accused has been identified as Vishnu Rathi. As part of the ongoing Operation CHAKRA-III, the CBI has taken action to dismantle a sophisticated cybercrime network supported by virtual assets and bullion that has been targeting victims in foreign countries since 2022. This operation was carried out in close coordination with the Federal Bureau of Investigation (FBI) (USA). The International Operations Division of the CBI registered a case on September 9, 2024, against the accused, including Vishnu Rathi, under sections 120-B and 420 of the Indian Penal Code (IPC), as well as Section 66D read with 75 of the Information Technology Act, 2000, and launched an investigation. It is alleged that between June and August 2022, the accused conspired to target a US citizen by gaining unauthorised remote access to the victim's computer and bank account. Under the pretext of offering tech support services, they falsely informed the victim that her bank account had been compromised. They allegedly manipulated her into transferring USD 453,953 to cryptocurrency wallets controlled by them. Following the registration of the case, the CBI swiftly conducted extensive searches at multiple locations linked to the accused, recovering substantial incriminating evidence. The searches, conducted by the CBI at seven locations across Mumbai and Kolkata on September 12 and 13, revealed that the transnational cyber-enabled financial crime network was channelling proceeds of crime through virtual assets and bullion. During a search of Vishnu Rathi's residence, 57 gold bars of 100 gm each, Rs. 16,00,000 in cash, a mobile phone, a laptop used to manage cryptocurrencies, details of lockers, and other incriminating documents were recovered. Further operations are ongoing to identify additional victims and recover further proceeds of crime. The CBI is coordinating with the FBI and law enforcement agencies in multiple countries through INTERPOL to follow up on leads. Operation CHAKRA-III has been launched by the CBI to combat and dismantle organised cyber-enabled financial crime networks through a globally coordinated law enforcement response using INTERPOL channels. (ANI) The Directorate of Enforcement (ED) has arrested Harsh Yadav in connection with taking illegal possession of an immovable property worth Rs. 85.60 Lakh attached by ED located in Gurugram. The arrest was made on September 11. According to the ED, Harsh Yadav was fully aware of the fact that the property is direct Proceeds of Crime (POC) generated from the scheduled offence of illicit drug trafficking run by Amit Kumar, Archana Sharma and others. The Court of Additional Sessions Judge, Gurugram, has granted his ED custody for five days. ED initiated an investigation on the basis of a criminal complaint and chargesheet filed by Narcotics Control Bureau, Delhi, under various sections of the NDPS Act of 1985 against Amit Kumar, Sanjay Singh, Archana Sharma, Zatak Softech Pvt. Ltd. (ZSPL) and others. As per the ED, the investigation revealed that Amit Kumar of ZSPL was running an internet based pharmacy that was exporting narcotics drugs and psychotropic medicines abroad, i.e., the USA, destined to various countries on behalf of his other firm, namely Farma Glow. So far in this case, authorities have seized narcotics drugs and psychotropic medicines in huge quantities, 17 forged rubber stamps of different authorities, and incriminating documents including a list of 57 websites and one order list of psychotropic substances. Total POC in this case is Rs. 23.32 crore. The POC obtained from criminal activities involving the sale of narcotics drugs and psychotropic substances were used by Amit Kumar to acquire various real estate properties. ED has so far attached seven such properties valued at Rs. 5.73 Crore, including the one at 343, Tower-B3, 3rd Floor, Spaze-I, Tech Park, Sector-49, Gurugram, Haryana. The ED had seized and taken possession of this property. However, the property, which had been sealed by the ED, was unlawfully unsealed and taken over by Harsh Yadav. He then rented out the property to another individual, falsely claiming ownership. Harsh Yadav knowingly benefitted from the illegal proceeds by creating a rental agreement in his mother's name, Babita Yadav, for the property seized by the ED. A search was also conducted by ED at the residence of Harsh Yadav, which led to the recovery of various incriminating evidence, including documents that prima facie appear to be forged. During the investigation, it was also found that another FIR has been filed against Harsh Yadav by the Gurugram Police, involving offences such as forgery, counterfeiting of coins, currency, and government stamps. (ANI) Russian Security Council Deputy Chairman Dmitry Medvedev said on Saturday that his country has been "showing patience" when it comes to the use of nuclear weapons but warned that "any patience comes to an end", Azernews reports. Medvedev stated that Western leaders, whom he called "pompous Anglo-Saxon imbeciles," think that Moscow is only talking about nuclear weapons but "will not cross the line" amid threats of Ukraine attacking deep into Russian territory. The Russian official acknowledged that a decision on a nuclear strike is "an extremely difficult decision with irreversible consequences," but warned the West that if Moscow's patience ends, KIev will become "a giant gray melted spot on the site of the mother city of Russia." Activist Sonam Wangchuk, who is holding a padyatra from Leh to Delhi, said on Saturday that they are on a mission to remind the government to fulfil the promise it made five years ago. Wangchuk, along with other 'padyatris', reached Himachal Pradesh and said that they received immense support in all the villages and valleys. "As soon as we entered Himachal Pradesh, we received immense support in all the villages and valleys. We aim to take the message of conservation of the Himalayas to everyone. People are coming together with us and we have received immense support from the government as well, be it medical support and security... When we set off from Ladakh, there were 100 of us, but the elderly faced some trouble on the high passes so they stayed back and 75 of us reached here. With people coming along, our group has grown to 150-200," Wangchuk said. "We are on a mission to remind the government to fulfil the promise it made to us 5 years ago," he added. He further asserted that their second aim is to remind the people about the importance of the upper Himalayas, which are home to glaciers that provide water to all of North India. "The Himalayas, and especially the upper Himalayas, are home to glaciers that provide water to all of North India and if these glaciers are lost, everyone will face a water shortage. Our second aim is to make the people aware of this," he said. Wangchuk has been demanding statehood and inclusion under the 6th Schedule of the Indian Constitution, which grants special rights to tribal communities, and stronger ecological protections for Ladakh. Earlier, Sonam Wangchuk ended in Leh his nine-day fast, that he had initiated to to draw the attention of the authorities to the importance of safeguarding Ladakh's fragile mountain ecology and indigenous people. After the abrogation of Article 370 in August 2019, Jammu and Kashmir was split into two Union Territories--Jammu-Kashmir and Ladakh. (ANI) Rajasthan's Deputy Chief Minister and Finance Minister, Diya Kumari, stated on Saturday that Chief Minister Bhajan Lal Sharma's visits to Japan and South Korea were highly successful. She added that he is set to return to Jaipur today. She highlighted the significance of these trips in the context of the upcoming "Rising Rajasthan Investor Meet" and described the Chief Minister's visit to Japan, the "Land of the Rising Sun," and South Korea as a positive step forward. The Deputy CM said, "The investor meet will attract crucial investments to the state, which are vital for its development, while also generating employment opportunities for the people of Rajasthan." Diya Kumari further noted, "There are strong possibilities for significant investments in the tourism sector, which would bolster the state's economy. We are all preparing for it." In addition to the Memoranda of Understanding (MoUs) to be signed during the event, the government will ensure their swift implementation on the ground. Speaking to ANI, the Finance Minister added, "The Chief Minister's visit to Japan and South Korea was a success, with several MoUs signed during his meetings with top company representatives from both countries. The investor summit, scheduled for December, will not only attract investments but also create more employment opportunities." She continued, "Sectors such as tourism will receive a boost, while industrialisation and manufacturing in Rajasthan will also accelerate. We are grateful to the CM for taking these steps within the first year of his tenure, and we are eagerly looking forward to the upcoming investor meet." She concluded by stating that Bhajan Lal Sharma is expected to return to Jaipur on Saturday after concluding his visit. (ANI) The Directorate of Enforcement (ED) has arrested four persons from Pallipattu, Tamil Nadu, in a case related to cyber fraud of Rs 2.6 crore by a fraudster posing as a CBI officer. The accused were identified as Tamilarasan Kuppan (29), Prakash (26), Aravindan I (23) and Ajith (28) and the arrest was made on September 13. According to ED, all four accused were involved in incorporation of shell companies and opening of bank accounts, through which Proceeds of Crime (PoC) generated from cyber scam were laundered. The Special Court, Bengaluru, has granted ED custody of four days to these 4 accused persons. Further, ED has frozen PoC of Rs 2.8 crore in the bank account of a shell company, Cyberforest Technology Private Limited. ED initiated an investigation on the basis of several FIRs registered by various state police all over the country, including FIR No. 330 dated September 3, registered by the Special Offences and Cyber Crime Police, Jaipur. The victim in the FIR No. 330 received a call from a mobile number wherein a person was claiming to be calling from Bombay Custom Office. During the call, it was communicated to the victim that illegal goods were being sent abroad under the victim's name. Then the victim was instructed to make a 'fund legalisation' payment as a security to ensure that no money had been illegally earned by the victim. A total amount of Rs. 2.16 crore (approx.) was asked to be transferred by the fraudsters in three separate instalments into three different accounts provided by the caller, under the guise of 'fund legalisation'. Subsequently, an individual who claimed to be a CBI officer contacted the victim over a mobile phone. The fraudster continued to assert that he was a CBI officer. Furthermore, a call was received by the victim from someone who claimed to be DCP Delhi Police to verify the authenticity of the so-called CBI officer. On the insistence of so-called government officials, the victim was pressurised and extorted of his entire life savings and investments, amounting to Rs. 2.16 crore (approx.). By way of swift action by ED, POC to the tune of Rs 2.8 crore has been frozen in a Bandhan Bank account of the shell company Cyberforest Technology Private Limited on September 12, in which the proceeds were transferred by the victim. The whole process and activities related to transactions in the bank accounts of these shell companies were done through a WhatsApp group where Tamilarasan, Ajith, Aravindan, and Prakash, along with Chinese scammers, were members. Investigation has revealed that Tamilarasan, one of the arrested persons, was in contact with Chinese cyber fraudsters for syphoning off the amount of Rs. 2.8 crore frozen in Bandhan Bank. As per the ED, it has also been revealed that Tamilarasan, Ajith, Prakash, and Aravindan were actively involved in the incorporation of shell companies and the opening of bank accounts of these shell companies, which were used to launder the proceeds of crime generated from various cyber frauds. Tamilarasan, in active assistance with Ajith, Prakash, and Aravindan, ran a syndicate to assist cyber fraudsters in arranging dummy directors, addresses and documents for incorporation of shell companies and liasoning with bank personnel for opening accounts through which proceeds of crime generated from cyberfrauds were laundered. Earlier, ED had arrested four persons, namely Shashi Kumar M, Sachin M, Kiran S K, and Charan Raj C, on August 15 and 21 in Bengaluru. They are currently in judicial custody. So far, 17 searches have been conducted at various premises, which led to seizure of various incriminating material, including mobile phones and other digital devices and freezing of Rs. 2.8 crore in the bank account. Investigation under PMLA, 2002 has, so far, identified POC of more than Rs 28 crore generated from the cyber scam. (ANI) Traders in the towns of Sunni, Shimla, Kullu, Mandi, Kangra, and several other parts of Himachal Pradesh staged protests on Saturday over the Sanjauli mosque controversy. They demanded police verification of all hawkers coming from outside the state to conduct business. Markets in Sunni and Kullu were shut down as the Sunni Vyapar Mandal called for a 'Bandh' in response to the Sanjauli mosque issue. Members of the Sunni Vyapar Mandal gathered in the markets to protest. Protesters recited the 'Hanuman Chalisa' as part of their demonstration against the Sanjauli mosque controversy in Kullu. One protesting trader said, "This 'Bandh' has been called by the Sunni Vyapar Mandal. We are supporting the strike, which lasted from 9 a.m. until 1 p.m. It is a peaceful protest. Our demand is that all those coming here for business or other work from outside should be properly verified. The number of such unknown individuals is increasing in the state. Many of those running open stalls have no documentation and are involved in illegal activities." Protesters emphasised that their demand for police verification applied to all hawkers, regardless of their religion. Another local protester noted that the demonstration was also a response to the use of water cannons and lathi charges by security forces on Wednesday against those demanding the demolition of the allegedly illegally constructed Sanjauli mosque. Earlier, on Friday, Shimla police renewed their appeal for calm as protests continued over the Sanjauli mosque issue. Shimla Superintendent of Police (SP) Sanjeev Kumar told ANI, "We had previously appealed to everyone to maintain peace regarding the Sanjauli case. However, following the recent incident of stone pelting, in which two of our officers were seriously injured, a thorough investigation is underway." SP Kumar confirmed that they are working to identify those responsible for the violence. He added, "From our investigation, it appears the entire incident was premeditated. We are in the process of identifying the individuals involved and determining on whose orders it was carried out." "A total of eight cases have been registered in connection with the incident, all of which are under investigation," he said. Meanwhile, Hindu organisations staged a protest in Mandi on Friday over another allegedly illegally constructed mosque in the city. As the crowd attempted to breach the barricades, police fired water cannons to disperse them. The protests, organised by Hindu groups, took place even though mosque authorities had already demolished parts of the complex that were allegedly unauthorised. Large crowds gathered along Jail Road in Mandi, shouting slogans against the state government and demanding action over the alleged illegal construction. (ANI) Madhya Pradesh Chief Minister Mohan Yadav on Saturday expressed his gratitude to Prime Minister Narendra Modi and Union Agriculture Minister Shivraj Singh Chouhan for their decision to raise the basic duty on refined oil to 32 percent in the interest of farmers. Speaking to ANI, CM Yadav said, "I would like to thank PM Modi on behalf of the state government for taking another important decision to increase the basic duty on refined oil to 32 percent. This will boost demand for oilseeds such as mustard, sunflower, groundnut, and soybeans. Coming from a region with the highest production of soybeans, this will greatly benefit our crops." "I also thank PM Modi and Union Agriculture Minister Shivraj Singh Chouhan for their decision, which aligns with the interests of farmers. The Madhya Pradesh Government had anticipated such measures from the Central government to support farmers. With the increase in the Minimum Support Price (MSP) for soybean crops, we will reap significant benefits," he added. The Chief Minister further stated that with increased irrigation capacity in Madhya Pradesh, onion production has risen significantly. The Modi government has reduced the export duty on onions from 40 percent to 20 percent, which will boost onion exports from the state, benefiting local farmers. "These decisions have made PM Modi popular among the public. I thank both PM Modi and the Union Agriculture Minister for their efforts. These are indeed significant decisions in the interest of farmers," he concluded. Madhya Pradesh is the largest soybean-producing state, accounting for about 60% of India's total production. (ANI) Union Home Minister Amit Shah emphasised the central government's decision to remove the minimum export price on onions and said that the government is boosting exports to ensure farmers receive a fair price for their crops. In a post on X, Shah stated that the Prime Minister Narendra Modi-led government has made the decision keeping the welfare of farmers paramount. "Modi government is increasing exports to ensure fair price to the farmers for their crops so that farmers can get maximum price for their crops. Keeping the interests of farmers paramount, the Modi government has taken three important decisions. The Modi government has decided to remove the Minimum Export Price (MEP) on onions and reduce the export duty from 40 per cent to 20 per cent. This will increase onion exports, resulting in a rise in income for onion-producing farmers. The government has also decided to remove the MEP on Basmati rice, enabling Basmati rice-producing farmers to export and earn higher profits. Additionally, the Modi government has decided to increase the duty on the import of crude palm, soyabean, and sunflower oils from 12.5 per cent to 32.5 per cent and on their refined oils from 13.75 per cent to 35.75 per cent. This will ensure that Indian soybean farmers receive better prices for their crops, thus increasing their income," Shah said. A Ministry of Commerce and Industry release on September 13 announced the amendments made in the export policy conditions of onions. As per the release, the Minimum Export Price (MEP) condition on export onions is removed with immediate effect and until further orders. The decision comes on the heels of robust kharif crop production prospects and favourable monsoons, coupled with stable market conditions both at the market and retail levels. Earlier, the government lifted the onion export prohibition on May 4, 2024, and allowed the export with the minimum export price (MEP) of USD 550 per tonne and an export duty of 40 per cent. (ANI) Chief Minister Sukhvinder Singh Sukhu has condoled the demise of Sepoy Arvind Singh who lost his life during an encounter with terrorists on Friday in Baramula of Jammu and Kashmir. Arvind Singh hailed from Hathol Khas village in Kangoo of Nadaun assembly constituency, district Hamirpur. The Chief Minister said that it was deeply painful to lose a brave heart and said that he would live forever in the hearts of the people of India. He also expressed deep condolences to the grief-stricken family members. He prayed to the Almighty to grant peace to the departed soul and give strength to the family and friends to bear this irreparable loss.CM Sukhu said that the State Government was standing firm with the family of the soldier and would provide all possible help in this hour of distress. Deputy Chief Minister Mukesh Agnihotri has also expressed deep sorrow over the demise of the soldier and said that the people of India are indebted to him for his selfless service to the nation. His supreme sacrifice would be remembered forever. He offered deep condolences to the grief-stricken family members and prayed to the Almighty to grant peace to the departed soul and strength to the family members to bear this irreparable loss. (ANI) Union Minister and West Bengal Bharatiya Janata Party (BJP) President, Sukanta Majumdar, has written to Home Minister Amit Shah, requesting a thorough investigation into the blast incident in central Kolkata. In his letter to the Home Minister, Majumdar stated, "I write to you with grave concern regarding the tragic incident that occurred today in central Kolkata, where a blast claimed the life of a 58-year-old ragpicker near Blochmann Street and SN Banerjee Road." "The incident, which took place around 1:45 pm, has caused significant alarm among citizens and demands immediate attention," the letter read. "According to reports, the area was promptly cordoned off by local authorities, and the Bomb Detection and Disposal Squad (BDDS) was deployed to the site. While traffic in the vicinity has resumed following a preliminary inspection, the cause and nature of the explosion remain under forensic investigation," it added. The WB BJP chief further called for a comprehensive investigation to determine the cause of the explosion. "Given the seriousness of the incident and its potential implications for public safety, I respectfully request that the Ministry of Home Affairs initiate a thorough investigation, considering the local police's limited capacity to handle the situation comprehensively," the letter stated. "I urge you to consider a detailed investigation by the NIA or another central agency to ensure a thorough examination of all possible angles related to the incident. Swift action will help restore public confidence and ensure that those responsible for any malicious activities are brought to justice," Majumdar added. The WB BJP chief also emphasised that the Centre's attention to the matter is crucial in maintaining law and order and ensuring the safety of citizens in West Bengal. Majumdar also criticised the state government over the explosion and called it a total failure of law and order under the Mamata Banerjee-led TMC government. Speaking to ANI, Majumdar said, "The explosion is of grave concern. It must be investigated thoroughly. I believe an investigation by the NIA is necessary. I don't think the police possess the professionalism required to investigate this incident properly. Moreover, it highlights the failure of Mamata Banerjee as Home Minister." "If this is the state of law and order, the Home Minister should resign. That's why the BJP is calling for her resignation. It is a complete failure of law and order," Majumdar stated. A ragpicker was injured in a blast at the intersection of Blochmann Street and SN Banerjee Road in central Kolkata on Saturday afternoon. The injured man was identified as Bapi Das (58). According to Kolkata Police, "At around 1:45 pm, police received reports of a blast at the intersection of Blochmann Street and SN Banerjee Road. A ragpicker was injured in the explosion." The officer-in-charge of Taltala police station arrived at the scene and discovered that the injured person had been taken to NRS Hospital with a wrist injury. Police said that a plastic gunny bag was also found at the scene. A team from BDDS checked the bag and the vicinity. After their clearance, traffic was allowed to resume. Police stated that the injured ragpicker disclosed his name as Bapi Das at the hospital and revealed that he had been living on the footpath of SN Banerjee Road. Police added that the injured man is undergoing treatment, and a statement has not yet been recorded as the patient needs time to recover. (ANI) On Friday, a Special Cell team arrested four suspects, identified as Nitlesh Tiwari, Vishal Verma, Akash Yadav, and Naveen Balayan. The fifth suspect has been identified as Sajid. The police presented all of them in court, where they were remanded in police custody for 10 days. However, the main shooter remains at large, according to the police. A CCTV camera captured the chilling moment when a shooter opened fire on a busy road in the upscale Greater Kailash-1 colony. "He was immediately rushed to the hospital by his friends but was declared dead. A case has been registered under relevant sections, and further investigation is underway," the Delhi police stated. "At around 10:45 PM, we received a PCR call reporting a shooting incident. We were informed about the firing in the E-block of GK (Greater Kailash). The victim was identified as Nadir Shah, a gym co-owner. Around 7-8 rounds were fired," said DCP (South) Ankit Chauhan. (ANI) Prime Minister Narendra Modi on Saturday reaffirmed the central government's commitment to empowering India's agricultural community, announcing a series of initiatives aimed at bolstering the livelihoods of farmers. In a post on X, PM Modi said that the recent policy decisions, including reduced export duty on onions and increased import duty on edible oils, will benefit the food producers, citing increased income and employment opportunities in rural areas. The Prime Minister also attached the post of Union Minister of Agriculture and Farmers Welfare Shivraj Singh Chouhan with his post. "We are leaving no stone unturned in the interest of our farmer brothers and sisters who work day and night for the food security of the country. Whether it is reducing the export duty on onions or increasing the import duty on edible oils, many such decisions are going to greatly benefit our food producers. While these will increase their income, employment opportunities will also increase in rural areas," PM Modi said. Meanwhile, Shivraj Singh Chouhan on Saturday highlighted several measures taken by the Union government aimed at benefiting farmers and promoting agricultural development and their welfare. In a post on X, Chouhan said, "Prime Minister Narendra Modi is a farmer-friendly Prime Minister. Agriculture and farmer welfare are his top priorities. For farmer brothers and sisters, the Modi government has taken some big decisions in their interest." The central government has decided to increase the basic duty on refined oil to 32.5 percent. This move is expected to boost the demand for crops like mustard, sunflower, and groundnut used in refining, Chouhan said. He added that this increase will help farmers get better prices for these crops and encourage the establishment of more refineries in small and rural areas, thereby creating more employment opportunities. In addition, the export duty on onions has been reduced from 40 percent to 20 percent. According to Chouhan, this reduction will provide onion-producing farmers with better prices and enhance onion exports. He said, "The Modi government, committed to the progress of farmers, has reduced the export duty on onions from 40 per cent to 20 per cent." Chouhan further explained that this decision would benefit not only farmers but also other sectors related to onions. Another major decision is the removal of the minimum export duty on Basmati rice. This policy change is intended to ensure fair prices for Basmati-producing farmers and increase the demand for Basmati rice exports. Chouhan stated, "The Modi government, sensitive towards farmer welfare, has decided to remove the minimum export duty on Basmati rice." He expressed gratitude for this move, highlighting its positive impact on both farmers and the export sector. Additionally, the import duty on edible oils has been increased from 0 to 20 percent, with the total effective duty reaching 27.5 percent after considering other measures. This change is expected to raise soybean crop prices and encourage edible oil manufacturers to purchase more from domestic farmers. Chouhan also added, "This decision will increase the production of soyabean and it will be exported. Along with this, other sectors related to soya will also benefit." The Union Agriculture Minister concluded by expressing heartfelt thanks to Prime Minister Narendra Modi for these important decisions. "Thanks to respected Prime Minister Narendra Modi ji for this important decision," he said. (ANI) Three American citizens have been sentenced to death in Congo after being convicted on charges of participating in a coup attempt, with one telling a court that his father who led the failed effort "had threatened to kill us if we did not follow his orders," Azernews reports citing FoxNews. A lawyer representing 21-year-olds Marcel Malanga and Tyler Thompson Jr. and 36-year-old Benjamin Reuben Zalman-Polun, is now planning to appeal the verdict following the botched attack orchestrated by Malangas father, Christian Malanga, in May that targeted the presidential palace and a close ally of President Felix Tshisekedi. "We have seen that a military court in the Democratic Republic of Congo sentenced a number of defendants, including U.S. citizens, to death for alleged involvement in the May 19th attacks against the government," State Department spokesperson Matthew Miller said Friday. "We understand that the legal process in the DRC allows for defendants to appeal the court's decision. Embassy staff have been attending these proceedings ... We'll continue to attend the proceedings and follow the developments closely." When asked if he thought the court process was fair, Miller responded, "I don't want to pass judgment on the proceedings so far, because we are still in the middle of the legal process." The All India Institute of Medical Sciences (AIIMS) in Delhi has praised the family of late CPI(M) General Secretary Sitaram Yechury for their noble decision to donate his entire body for medical research. Yechury passed away on September 12, and his mortal remains were brought to AIIMS on Saturday. Professor incharge of media and prof in the Department of Anatomy AIIMS Delhi, Dr Rima Dada said that Sitaram Yechury's family has donated his entire body for medical research and it is very noble act. Dr Rima Dada said, "Sitaram Yechury's family has donated his entire body (for medical research). Body donation is a very noble deed. Under this, the entire body is donated which is used in research and teaching of medical students. Firstly, the body is embalmed, in which some fluids are injected into the body to preserve it for teaching to MBBS students. Even senior medical students practice on the body before doing any major surgery. She further said that the body has been donated for medical research and any particular organ has not been donated. "We keep 50 to 60 bodies in our tanks, out of which 10-12 bodies are taken out every year for teaching the medical students. We allow the family members to see the body for two to three days after embalmed and then further permission is not given to see the body. After being used for research and teaching, the bodies are cremated with full rituals at Nigam Bodh Ghat," she added. Earlier Samajwadi Party (SP) chief Akhilesh Yadav paid tribute to Sitaram Yechury at the party office in Delhi on Saturday. He said that Yechury was one of the great leaders of the country, who worked to build bridges between different ideologies in the country. SP chief Akhilesh Yadav said, "Sitaram Yechury was one of the great leaders of the country, who worked to build bridges between ideologies in the country. Sitaram Yechury struggled throughout his life, he dedicated his entire life to spreading his ideology to the people. Sitaram Yechury will always be remembered and he was the leader of that generation of leaders who saw many ups and downs in politics. The country has lost a mature leader." Former Rajasthan Chief Minister and Congress leader Ashok Gehlot paid tribute to CPI(M) leader Sitaram Yechury. Ashok Gehlot said, "Sitaram Yechury's personality was very unique and it had a special place in the politics of the country. Leaders across various parties were influenced by his thoughts...May God give his family strength in this difficult time." Congress leader Sachin Pilot also paid tributes to CPI (M) General Secretary Sitaram Yechury at the party office on Saturday. Sachin Pilot said, "His passing away is a big loss to not just his party but the entire nation. He was a fine thinker and an excellent human being. My deepest condolences to all his admirers and family members." Aam Aadmi Party (AAP) MP Raghav Chadha paid homage to the departed leader. He said, "Sitaram Yechury will always be remembered whenever voices of poor and deprived will be raised for their rights. I also had an opportunity to work with him and I learned a lot from him in the last 10 years when the INDIA bloc was formed and even before that. I pay my tribute to him." (ANI) Prime Minister Narendra Modi on Saturday vowed to establish a terror-free Jammu and Kashmir, emphasizing tourism development in the Union Territory. He further mentioned that the BJP's J-K unit has announced the Pandit Premnath Dogra Rozgar Yojana, under which lakhs of new employment opportunities will be created. "There was a time when the youth here were forced to go to other states of the country for better education. Today, be it medical college, AIIMS or IIT, the seats in Jammu and Kashmir have grown manifold. Now, our BJP J-K unit has announced the Pandit Premnath Dogra Rozgar Yojana. Under this, lakhs of new employment opportunities will be created here if a BJP government is formed in the state," the Prime Minister said. "The youth going to college here will also be given a travelling allowance. The BJP is going to make such a J-K that will be terror-free and will be a paradise for tourists. The BJP government at the centre is also strengthening connectivity here so that tourism can expand further and it becomes easier for you people to travel," he added. The Prime Minister Modi further assured that the Bharatiya Janata Party government will also provide full statehood to Jammu and Kashmir. He said that the BJP's resolve and your support will make J-K peaceful, safe and prosperous. "Therefore, on 18th September, you have to send all the BJP candidates to the Assembly by making them win by a huge majority," he urged the people to vote for BJP. The elections in Jammu and Kashmir are scheduled for September 18, September 25, and October 1, with counting set for October 8. The Assembly elections are going to be held on 8 Assembly seats in three districts, Doda, Kishtwar, and Ramban, in the first phase, voting for which will be held on 18 September. (ANI) It is suspected that the arrested person is involved in various sabotage activities covering Manipur and bordering areas of Assam. Based on secret information and on the direction of the IGP(STF), a team of STF, Assam on Saturday evening carried out an operation at the Beltola area under Basistha police station, Guwahati.Partha Sarathi Mahanta, IGP (STF) told ANI that, during the operation, the STF team apprehended one person from Manipur who had been found involved in various sabotage activities against the state. "The apprehended person was identified as LS Yosef Chongloi (34 years old) of Churachandpur, Manipur, a self-styled Finance Secretary of UKNA (United Kuki National Army) who is suspected to be involved in various sabotage activities covering Manipur and bordering areas of Assam and includes recent bomb blast that destroyed Sapermaina bridge at NH-2 and the armed attack at an IOCL convoy at Tamenglang in Manipur," the IGP (STF), Assam said. Mahanta further said that the self-styled Finance Secretary of the UKNA cadre was arrested in connection with anti-national activities at the Assam and Manipur border. "The self-styled Finance Secretary of UKNA cadre is arrested in connection with STF police station Case No. 15/2024 u/s 147/148/149/150 BNS r/w sec 16/17/18/20 UA(P) Act and investigation is on," he further added. (ANI) Targeting National Conference (NC) Chief Farooq Abdullah, Bharatiya Janata Party (BJP) national spokesperson Pradeep Bhandari said on Saturday that the former should accept that the people of Jammu and Kashmir are not with him. He added that while Prime Minister Narendra Modi speaks about development, Farooq is aligned with terrorists. Bhandari stated, "Farooq Abdullah should accept that the people of J&K are not with him. PM Modi has led J&K on a path of development over the last 10 years. This is evident from the historic surge in tourism and the announcement of programs worth more than Rs 30,000 crores for the region." He further asserted that neither Farooq Abdullah nor his future generations would ever succeed in reinstating Article 370. "He knows that the BJP will form the government in J&K. On one side, PM Modi is focused on development and transforming J&K into an investment hub. On the other hand, Farooq Abdullah stands with terrorists and stone pelters. J&K will choose development," Bhandari added. Earlier, while addressing an election rally in Doda, PM Modi remarked that the upcoming elections in Jammu and Kashmir would be a contest between "three dynasties" and the youth of the Union Territory. He named Congress, the National Conference (NC), and the People's Democratic Party (PDP) as the dynasties whose corrupt practices had "hollowed out" and "destroyed" Jammu and Kashmir. "These three dynasties--the Congress, the National Conference, and the PDP--have committed grave wrongs. Together, they laid the foundation for separatism and terrorism. Who benefitted? The enemies of the nation. They promoted terrorism to advance their personal fortunes. They are responsible for the decades-long devastation of Jammu and Kashmir," PM Modi said. National Conference (NC) Vice President Omar Abdullah criticised Prime Minister Narendra Modi and the Bharatiya Janata Party (BJP) over the Prime Minister's comments on political dynasties. The elections in Jammu and Kashmir are scheduled for September 18, September 25, and October 1, with the results set to be announced on October 8. (ANI) Bharatiya Janata Party (BJP) In-charge of J&K and National General Secretary, Tarun Chugh, expressed confidence that voters in all three constituencies of Poonch district trust Prime Minister Narendra Modi and will support the BJP's development agenda. On Saturday, Chugh stated, "People in all three constituencies of Poonch district have faith in PM Modi. They are fed up with the three families--the Abdullah, Mufti, and Gandhi-Nehru families. These families have taken over Jammu and Kashmir's democracy and turned it into a family-run enterprise. Democracy, as such, doesn't exist under their rule. Their aim is simply to pass on leadership within their families. The people of Jammu and Kashmir despise them and will reject them in this election." He further alleged that these dynastic parties formed the Gupkar alliance and, in the 2024 Lok Sabha polls, the Gandhi-Nehru family was unable to secure any seats. He added that the Abdullah family's leader lost in the 2024 Lok Sabha elections and that the people of Jammu and Kashmir dislike those with separatist ideologies. Chugh also said, "The National Conference and Congress are attempting to take away reservations, but we will not allow that to happen. Rahul Gandhi goes abroad, speaks against reservations, and opposes Sikh unity, but we will prevent him from taking reservations away. PM Modi has granted ST status to the Pahari, Gujjar, and Bakerwal communities, and we will protect this status. Both parties are struggling to decide on which constituencies the NC and Congress will contest. Their manifesto for the J&K elections seems like it was written by the ISI." The elections in Jammu and Kashmir are scheduled for September 18, September 25, and October 1, with counting on October 8. The Assembly elections will be held in eight constituencies across the three districts of Doda, Kishtwar, and Ramban in the first phase, with voting on September 18. (ANI) The officer-in-charge of Tala police station Abhijit Mondal, who was arrested by the Central Bureau of Investigation (CBI) on Saturday, was brought to BR Singh Hospital in Kolkata for a medical test. Abhijit Mondal, along with Sandip Ghosh, the former principal of RG Kar Medical College and Hospital were arrested by the CBI in connection with the rape and murder of a trainee doctor in Kolkata. Meanwhile, junior doctors protesting at the Swasthya Bhavan celebrated the arrest and could be seen singing at the protest site. Speaking about the arrests, a junior doctor told ANI, "We have been demanding the arrest of the former principal of the college, Sandip Ghosh and Abhijit Mondal, officer-in-charge of Tala police station, for their involvement in tampering with the evidence. We are very happy as the CBI has arrested them. The CBI should arrest others who have tampered with the evidence." Earlier, West Bengal Chief Minister Mamata Banerjee said that the request for 'live streaming' of her meeting with the protesting doctors could not be met due to the ongoing legal proceedings of the RG Kar Medical College Hospital rape and murder case in the Supreme Court. The West Bengal Chief Minister further requested the doctors to cooperate and assured them of providing them with a recording of the meeting. "I assure you that whatever recording will be done, it will be given to you. Accept my request and join the meeting. If you people do not want to come to the meeting, then please come inside the residence, have tea and then proceed to leave," said Banerjee. The second-year postgraduate medical student was raped and murdered inside the seminar hall of RG Kar Medical College on August 9. (ANI) The United States Department of State has hit Russian state media and RT with new sanctions, accusing it of playing key role in carrying out 'covert influence activities' aimed at undermining American elections and democracies. The State Department said it is starting a diplomatic campaign to alert nations about the risks associated with RT activities and disclosed declassified US intelligence findings suggesting RT is completely integrated with Russia's intelligence operations worldwide. "We took these steps based on our conclusion that Rossiya Segodnya and these five subsidiaries are no longer merely firehoses of Russian Government propaganda and disinformation; they are engaged in covert influence activities aimed at undermining American elections and democracies, functioning like a de facto arm of Russia's intelligence apparatus," the US Secretary of State, Antony Blinken, said on Friday. Blinken alleged that the leaders of RT oversaw an online crowdfunding project to provide military gear to Russian forces fighting in Ukraine, in addition to their covert influence operations. According to Blinken, Russian forces engaged in combat in Ukraine received "sniper rifles, suppressors, body armour, night vision equipment, drones, radio equipment, personal weapon sights, and diesel generators" as a result of the crowdfunding campaign, reported CNN. "Thanks to new information, much of which originates from RT employees, we know that RT possessed cyber capabilities and engaged in covert information and influence operations and military procurement," Blinken said. The announcement by the US State Department comes as part of a larger US government initiative to reduce RT's influence around the world, according to CNN. "We're taking steps to hold accountable those who weaponise disinformation to undermine our democracy. That's what we did just last week when the State Department, the Justice Department, the Treasury Department, and the FBI took a series of coordinated actions to counter Russian influence and interference in our elections and in our democracy," Blinken said. "In addition to imposing sanctions, visa restrictions, and other measures, the State Department also designated the Russian state-funded and directed media company Rossiya Segodnya and five of its subsidiaries, including RT, under the Foreign Missions Act. As a result, these actors are now required to notify the State Department of all personnel working in the United States as well as their property," he added. Notably, the announcement of sanctions comes just a week after federal prosecutors declared that two RT staff members would face criminal charges for surreptitiously transferring about USD 10 million to a US business in order to produce and promote programming that favoured Russian interests. (ANI) The traders, in a staunch response, refused to pay any sort of 'advance tax' and warned the FBR team that they would not pay even a single rupee to them. The shopkeepers flatly refused to pay the tax, threatening to kick the FBR team out of the market. The information indicates that the FBR crew faced resistance when attempting to collect an advance tax of PKR 1,000 from each trader, according to ARY News. The traders advised the FBR team to leave the market or face the consequences. A few days had passed since notifications were sent to traders in several Pakistani cities before this occurrence. It is pertinent to note that the Pakistani trade community has rejected the Federal Board of Revenue's (FBR) recent 'Tajir Dost Scheme', which was introduced in an attempt to tax local vendors. The nation's business community has expressed dissatisfaction, claiming that the introduction of the system was "completely inappropriate" and deviates from accepted practices. (ANI) Speaking at the G20 Agriculture Ministers' Meeting in Mato Grosso, Brazil, FAO Director-General QU Dongyu stressed the need for sustainable growth in the fisheries and aquaculture sectors to meet rising global food demand and address nutritional deficiencies, particularly in developing countries. "The FAO Blue Transformation strategy aims to ensure aquatic food systems continue feeding the world's growing population," he said. The G20 Agriculture Working Group Ministerial Declaration, agreed upon this week, officially recognised FAO's Blue Transformation Roadmap 2022-2030 as a key framework for achieving long-term sustainability in the fisheries and aquaculture sectors. The declaration also highlighted important FAO instruments, such as the Guidelines for Sustainable Aquaculture, the Guidelines for Sustainable Small-Scale Fisheries, and the Agreement on Port State Measures. Aquatic foods currently provide more than 3.3 billion people with at least 20 percent of their average per capita animal protein intake, along with critical bioavailable micronutrients. Aquaculture is also the world's fastest-growing food production sector. Aquatic foods support around 600 million livelihoods, and the total first stale value of the sector was a record USD 472 billion in 2022. "FAO looks forward to working with G20 members in a Blue Transformation and in the lead-up to the 2025 UN Oceans Conference," he added. (ANI/WAM) The two top diplomats explored ways to bolstering cooperation and partnership across various sectors, including the economic, trade, energy, and climate fields, in support of the developmental goals and shared interests of both nations. They also exchanged views on the latest regional and international developments, particularly the situation in the Middle East. (ANI/WAM) Russian Foreign Ministry spokesperson Maria Zakharova slammed the United States on Saturday for targeting Russian journalists with sanctions, calling the move "terrorist," Azernews reports. "Yesterday, Russian journalists were subjected to aggression from the United States of America. They were subjected to a real terrorist information attack as a corporation, as well as in their personal capacity," Zakharova stated in remarks at the BRICS Media Summit. On Friday, US Secretary of State Antony Blinken announced that Washington will target three entities and two individuals with sanctions after finding that outlets Rossiya Segodnya, RIA Novosti, RT, TV-Novosti, Ruptly, and Sputnik "engaged in covert influence activities aimed at undermining American elections and democracies." India and Argentina held the seventh round of Foreign Office Consultations (FOCs) on Friday in Buenos Aires, where the two sides discussed the entire gamut of bilateral relations and the key areas of cooperation between the two countries. The FOCs were co-chaired by MEA Secretary (East) Jaideep Mazumdar and Argentina's Deputy Minister for Foreign Affairs, Leopoldo Francisco Sahores. The last round of FOCs was held in November 2021, in New Delhi. "Both sides engaged in comprehensive discussions covering the entire gamut of their relationship. Besides political, trade and economic relations, the two delegations reviewed other key areas of cooperation including Healthcare and Pharma, Energy, Mining, Defence, Railways, Nuclear, Space, Agriculture, culture and Consular issues," the Ministry stated. "Secretary @JaideepMazumder of @MEAIndia & Secretary Leopoldo Sahores of @Cancilleria_Ar held 7th Foreign Office Consultations at Buenos Aires. @dineshbhatia," the Indian Embassy in Argentina stated in a post on X. https://x.com/Indembarg/status/1834660673239806344 The two sides also exchanged views on various regional and global issues of common interest. India and Argentina agreed to enhance the exchange of high-level visits. It was also agreed to hold the next round of FOCs at a mutually convenient date in New Delhi. The consultations provided an opportunity to take stock of the bilateral engagements before the forthcoming visit of Argentina's Foreign Minister Minister to India, the MEA added. The Argentina's Foreign Ministry said the FOCs were an opportunity to review topics on the bilateral agenda Buenos Aires termed India a "very important partner" for Argentina, a growing economy and a vibrant democracy, adding that it aspires to have a deeper and more intense relationship with New Delhi. https://x.com/Cancilleria_Ar/status/1834699053613068684 "Political Consultations with India. Vice Foreign Minister Leopoldo Sahores and Secretary Jaideep Mazumdar of the Ministry of External Affairs of India chaired the 7th Round of Political Consultations in Buenos Aires at the San Martin Palace," the Argentina's Foreign Ministry stated in a post on X. "It was an opportunity to review topics on the bilateral agenda, identify areas of interest, cooperation projects, exchange information on the political situation in the region and global issues. India is a very important partner for Argentina, a growing economy and a vibrant democracy. We aspire to have a deeper and more intense relationship with India," it added. (ANI) China is among those countries that retaliates against people for engaging with the United Nations and tries hard to silence its critics, Voice of America reported, citing a report by Sophie Richardson, an expert on human rights in China. "These [UN] mechanisms are some of the only ones available to people inside China, at least on paper, to provide any modicum of redress or justice for the human rights abuses either they've endured or the communities they work with have endured," Richardson said. "That's why you see the Chinese government go to extraordinary lengths to silence people who are simply trying to take reports to some of these human rights experts or bodies," he added Richardson is a former China director at Human Rights Watch, and is currently a visiting scholar at Stanford University. The annual report highlights government retaliation against people for engaging with the UN. "In my perfect world, governments that get referenced in these reprisals reports shouldn't be members of the Human Rights Council," said Richardson, who is based in Washington. China is a current member of the council in Geneva. A major incident included in the report's China section is harassment against two members of the international legal team supporting Jimmy Lai, a pro-democracy publisher, VOA reported. Lai is on trial in Hong Kong on national security charges that are widely viewed as 'politically motivated.' The 76-year-old is in prison following convictions in other cases that supporters also view as "sham cases." According to the report, the members of Lai's legal team have faced death and rape threats, as well as attempts by unknown sources to hack their email and bank accounts. Sebastien Lai thanked the UN for shedding light on his father's case. "These intimidation tactics will not succeed. I will not rest until my father is freed," he said in a statement. Caoilfhionn Gallagher KC, a barrister leading Jimmy's international legal team, also condemned the attacks, as reported by the VOA. The reprisals "are personally unpleasant and distressing," Gallagher said in a statement. "But they are also an attack on the legal profession and on the international human rights system." The reprisals make it harder for Jimmy Lai to use UN mechanisms to achieve justice in his case, he further said. Hong Kong's government has tried to argue that the legal team interfered in Hong Kong's judicial process by bringing his case to UN human rights mechanisms, the report added. "It's just so nakedly in tension with its obligations under international law," Richardson said. Earlier this week, Lai's international legal team submitted an urgent appeal to the UN special rapporteur on torture. The appeal raised several concerns, including that the elderly publisher has been in solitary confinement since late 2020 and that the British national has been denied access to independent medical care, according to a statement from his legal team, as reported by VOA. Lai's trial began in December 2023. It was initially expected to last around 80 days but now is expected to resume in November. Several press freedom groups have called the trial a sham, and the US and British governments have called for his immediate release. However, Hong Kong officials, have claimed he will receive a fair trial. Other incidents cited in the UN report include the case of Cao Shunli, a Beijing-based human rights defender who was arrested following an attempt to engage in a universal periodic review of China's human rights record at the Human Rights Council. Cao died in custody in 2014. Another case is that of the Beijing-based activists, Li Wenzu and Wang Quanzhang, who are married. The couple have faced significant retaliation, including police surveillance and evictions, and their son is unable to enrol in school due to pressure from state authorities, the report said. "If one reads these cases, you get a sense of what risks -- what unbelievable risks -- people are taking to do this kind of work," Richardson said. The report doesn't mention specific incidents involving Uyghurs or Tibetans, but Richardson says their absence underscores how difficult it is for some groups to access UN mechanisms in the first place, as well as how some people may be too scared to report such incidents to the UN, VOA reported. The Chinese government has engaged in severe human rights abuses against both ethnic groups, according to myriad reports. Multiple governments and international human rights organizations have accused Beijing of committing genocide and crimes against humanity against the Uyghurs, which the Chinese government rejects. (ANI) Foreign missions in India have extended their greetings on 'Hindi Diwas' and their diplomats celebrated the occasion in style. Hindi Diwas is observed annually on September 14 to commemorate the decision to adopt Hindi as one of the nation's official languages. The Australian High Commission in India, shared a video of a Hindi-speaking diplomat who shared instances where being acquainted with Hindi helped him in his stay in India. "Namaste! My name is Tom and I am Hindi-language diplomat at the Australian High Commission in Delhi. Knowing regional language has helped me a lot in my work in India," the diplomat said in the video. https://x.com/aushcindia/status/1834815504025805224?s=48 The video showed Tom, requesting a meeting between the Australian High Commissioner with Union Minister. The Australian envoy hummed a Hindi song. He was also seen interacting with the canteen staff and auto driver in Hindi. The French Embassy in India, shared a video of their new spokesperson, Orlic. He is learning Hindi to better connect with people and also praised India's rich and unique cultural diversity. https://x.com/FranceinIndia/status/1834766387803234307 "Namaste! I am the new spokesperson of French Embassy in India and also the head of Press and Communication department of the French Embassy in India. I wish all Hindi speaking people on the occasion of Hindi Diwas," Orlic said in the video. "I look forward to meeting and interacting with you virtually and in person. I have started to learn Hindi to better connect with you., but we will also communicate in other Indian languages in celebration of India's rich and unique cultural diversity. "A tres bientot (see you again). Namaste," he added. The Israeli Embassy also shared a video of their diplomats conversing with some famous dialogues from Hindi movies and series. Some of the dialogues featured in the meeting were "Bol wo rahe hain, par shabd humare hain" from '3 Idiots', "Rehne do tumse nah ho payega" from 'Gangs of Wasseypur' and "Wo Stree hai kuch bhi kar sakti hai" from 'Stree'. https://x.com/israelinindia/status/1834808846361281004?s=48&t=sc_az-8wyGjTyQuCcQ0x0g The British High Commission in India also extended greetings on the occasionof 'Hindi Diwas'. "UK and India relations are important. Did you know that you can read all the latest news highlighting the UK-India bilateral relations and milestones achieved in Hindi on our website?" the post on X read. (ANI) As per the report, a total of five individuals have recently been 'forcibly disappeared' from Quetta. This includes two young lawyers, namely, Advocate Fida Ahmed Dashti and Advocate Salahuddin Mengal. The report further highlighted that Fida Ahmed Dashti was reportedly taken from his home by the Counter-Terrorism Department (CTD) and other unidentified masked armed men on Thursday night. Similarly, Advocate Salahuddin, the son of Muhammad Ayub Mengal from the Naag area of Washuk, was allegedly abducted near Goli Mar Chowk in Quetta around midnight. Eyewitnesses claimed that Salahuddin's abduction involved personnel from the forces and intelligence agencies travelling in three Vigo vehicles, Balochistan Post reported. In a related incident, Pakistani forces are said to have detained and forcibly disappeared three individuals in Kech district, Balochistan. The missing persons have been identified as Akram, Abdul Malik, and Salahuddin. Balochistan Post reported citing local sources that these individuals were forcibly taken into custody during a raid by Pakistani security forces in the Chab area of Kech district on September 6, 2024. Since then, their whereabouts have remained unclear. The violence has also extended to protests, with reports of violent crackdowns in Gwadar and Mastung, where security forces have used live ammunition, resulting in multiple casualties and injuries. Human rights organisations, including Amnesty International and Human Rights Watch, have documented these abuses, focusing on extrajudicial killings and enforced disappearances. Despite these reports, the Pakistani government continues to deny any involvement, maintaining its stance amid mounting international criticism. The persistence of 'enforced disappearances' in Balochistan remains a critical human rights concern, with substantial evidence indicating widespread and systematic abuse by Pakistani security forces. The people of Balochistan have been demanding urgent international attention and intervention to address these grave human rights violations. (ANI) Jamil Maqsood the president of the Foreign Affairs Committee of the United Kashmir People's National Party (UKPNP) has condemned the rising numbers of extrajudicial killings of Kashmiri people from Pakistan occupied Jammu and Kashmir (PoJK). Maqsood strongly condemned the continued and unabated killing of innocent Kashmiris in Pakistan. The latest of these incidents occurred in Rawalpindi. He claimed that these heinous crimes committed by the police is a stark reminder of the "lawlessness, oppression, and human rights abuses" that Kashmiris are being subjected to in the regions controlled by Pakistan. According to Maqsood, these incidents show the very institutions tasked with protecting civilians in Pakistan are committing acts of brutality, with no accountability or recourse for justice. "The failure of the Pakistani government to uphold the rule of law and ensure the safety and security of its citizens, particularly Kashmiris, is not just a sign of incompetence, but a willful disregard for human rights. This grave negligence has fostered an environment of impunity where law enforcement agencies operate with blatant disregard for the sanctity of life," Maqsood said. "The people of Pakistan-occupied Jammu and Kashmir (PoJK) have endured decades of suffering under oppressive conditions, and this latest incident is yet another example of the Pakistani state's failure to protect the rights of its most vulnerable communities. The systematic targeting of Kashmiris underlines the deep-rooted structural issues within Pakistan's governance, where political expediency takes precedence over justice and fairness" he added. The activist also urged international human rights organizations like the United Nations and other global powers to take notice of these ongoing violations and hold Pakistan accountable for the blatant disregard of international human rights obligations. "The international community cannot stand idly by while Pakistan continues to allow these heinous crimes against Kashmiris to occur under its watch," he claimed. "I demand an immediate and thorough investigation into this murder (Rawalpindi incident), and all those responsible, including members of law enforcement, must be brought to justice. The Pakistani state must cease its oppressive tactics and engage in meaningful reforms that prioritize the rule of law and the protection of all its citizens, including Kashmiris" Maqsood added. The activist further claimed that the UKPNP will continue to raise its voice against these atrocities and advocate for the rights and dignity of the Kashmiri people. "We will not be silenced until justice is served, and those responsible for these barbaric acts are held accountable in a court of law. We urge the global community to stand with us in condemning these acts of violence and to take concrete steps to ensure that Pakistan upholds its responsibilities under international human rights law. Kashmiris have the right to live in peace, without fear of persecution, and we will continue our struggle until these fundamental human rights are secured" Maqsood said. (ANI) The first edition of Annual East Turkistan Lobby Day was convened on Friday by the members of East Turkistan Government in Exile, East Turkistan National Movement, East Turkistan National Fund, and East Turkistan Parliament in Exile, as well as the delegates and representatives from 13 US congressional offices. The US representatives that participated in the event included members of the US House Foreign Affairs, Armed Services, and Intelligence Committees and the Senate Foreign Relations, Armed Services, Intelligence Committees, and the Select Committee on the Chinese Communist Party. In a post on 'X,' the East Turkistan Government in Exile (ETGE) stated to have put forward the swift passage of key legislative measures, such as the Uyghur Policy Act of 2023, Uyghur Genocide Intelligence Review Act, the Uyghur Genocide Sanctions and Accountability Act, and the Uyghur Forced Labour Disclosure Act. https://x.com/etexilegov/status/1834683310338937084?s=46&t=nbusnwoIYo9hUrDuoWfhwQ The statement by the ETGE denoted these steps as essential for confronting the ongoing atrocities being committed against the Uyghur community of East Turkistani people. "We urged the US Congress to treat East Turkistan on par with Tibet, by rejecting the Chinese colonial and degrading term "Xinjiang" and instead recognising our country by its rightful name, East Turkistan. Furthermore, we called on Congress to introduce a resolution formally recognising East Turkistan as an Occupied Country, underscoring that the genocide against the Uyghurs and other Turkic peoples is rooted in China's over seven decades of colonisation and brutal occupation of our homeland," the ETGE statement read. The members of the East Turkistani community implored the US Congress to support the East Turkistani people's right to external self-determination. "Restoring East Turkistan's independence is not just a moral imperative, but also the only viable solution to ensure the very survival, freedom, and human rights of the #Uyghurs and other Turkic peoples. The world cannot stand idly by as genocide unfolds before our eyes, and we reaffirm the East Turkistani people's unwavering desire to reclaim their independence, liberty, and dignity," the statement added. The ETGE further called upon the US Congress, the State Department, and all defenders of liberty from around the world to take decisive action against the atrocities inflicted by China upon the East Turkistani community. "The time for empty promises has passed; now is the time for meaningful support and tangible results. The future of an entire people depends on it" it stated. (ANI) Dhaka [Bangladesh], September 14 (ANI) Jamaat-e-Islami, the largest Islamic political party in Bangladesh, has said that Dhaka and New Delhi must work together in a congenial manner to ensure peace and tranquillity prevails in the region. Speaking exclusively to ANI in Dhaka, Syed Abdullah Mohammad Taher, Deputy Ameer of Jamaat-e-Islami said that Bangladesh has always been sincere in this regard. "Nobody has the choice of changing its neighbour. That is why all the neighbours should maintain a congenial positive attitude and atmosphere so that peace and tranquillity may prevail between the neighbouring countries," the Bangladesh party leader said. In 2013, The Jamaat-e-Islami was prevented from contesting elections after its registration was revoked in by the Bangladesh High Commission after a High Court order. The Jamaat had appealed against the order but the Supreme Court of Bangladesh upheld the order in 2023. On August 1 this year, the Jamaat-e-Islami and its affiliated organisations were banned by Sheikh Hasina, four days before she stepped down as premier and fled to India. Her government banned the party through an executive order accussing the Jamaat of being involved in violent protests across the country. Bangladesh's interim government, led by Nobel laureate Muhammad Yunus lifted the ban on the party. The party's deputy Ameer says it favours good relations with India. "Bangladesh is always willing to maintain an equal and respectful relationship with all the neighbours specially the biggest one India on the basis of equality, equity and self-determination and self-respect", he said. The Jamaat has also lent support to a reported meeting that is likely to be held between Prime Minister Narendra Modi with Muhammad Yunus in the United States. The Deputy Ameer of the Jamaat Mohammad Taher said, "The meeting should be on sincerity basis and from the core of the heart". A month ago, a student-led movement ousted Bangladesh's Prime Minister, Sheikh Hasina, after weeks of protests and clashes that killed over 600 people. Hasina, 76, fled to India on August 5 and an interim government led by Nobel Peace Prize laureate Muhammad Yunus was formed. The interim authority in Bangladesh has set up a special court to try Hasina for her alleged crimes. The Jamaat says it appeals to the Indian government to repatriate Seikh Hasina to Bangladesh. "Hasina should be brought Bangladesh back and she should face the judgement. So, we ask the Indian government to send her back to face the courts," Muhammad Taher said. The Deputy Ameer of the Jamaat also denied reports of the minority Hindu community being the target of violent attacks during the student led uprising against Sheikh Hasina. The Jamaat leader said that Jamaat had always believed in safeguarding minorities. "Most of the incidents were more political than communal. Jamaat-E-Islami is always against these types of heinous activities and Jamaat never believes in communal disharmony," Taher said. "This time, Jamaat immediately sent their workers to guard the Hindu temples and shops and houses of the minority people. Jamaat leadership also visited Hindu temples and had meeting with the Hindu leaderships from Centre Dhaka up to the periphery level," he said. Earlier on August 30, External Affairs Minister S Jaishankar had said that India must find a ground of mutual interest with Bangladesh and India would deal with "the government of the day.". "Since Bangladesh's independence, our relationship has gone up and down, and it is natural that we will deal with the government of the day. But we also have to recognise that there are political changes, and they can be disruptive. And clearly here we have to look for mutuality of interest," External Affairs Minister S Jaishankar said. (ANI) Amnesty International has condemned the controversial 'Peaceful Assembly and Public Order Bill' to which the President of Pakistan, Asif Ali Zardari has given his assent and was passed by both houses of the Pakistan Parliament to 'regulate' public gatherings in Islamabad. A statement released by Amnesty International said that this Act was a continuation of a crackdown on peaceful protests in Pakistan. The law was finalised last week in Pakistan and the process of being presented to the Senate to approval by the President came in less than a week. Babu Ram Pant, Deputy Regional Director for South Asia at Amnesty International, said, "The Peaceful Assembly and Public Order Act 2024 is yet another attack on the right to freedom of peaceful assembly in Pakistan which has a long history of enacting draconian legislation to criminalize peaceful protest and suppress the expression of dissent". "Rather than attempting to bring the existing restrictive legal framework in line with international human rights law and standards, the Government of Pakistan has shown inordinate speed in ensuring the passage of the new bill by both houses of Parliament and in obtaining Presidential assent within a week of it being tabled. The law expands the power of the authorities to restrict or ban assemblies in Islamabad on overbroad grounds, including the 'disruption of daily activities', and significantly increases the maximum penalty for taking part in an 'unlawful assembly' from six months to three years' imprisonment," he added. The statement said that the government of Pakistan must immediately repeal the Act and amend other laws that allow for bans on assemblies and impose restrictive requirements which are in flagrant violation of the country's international human rights obligations. Any restrictions that are imposed must strictly comply with the principles of legality, necessity and proportionality. (ANI) "They have been abducted to press the PTI's parliamentarian to become part of the unconstitutional amendment," Gul told ARY News. She said that Aurangzeb Khan Khichi has been missing for last three days. "The PML-N resorting to every tactic for the unconstitutional amendment, which is not permissible under the constitution," she told ARY News. Gul stated that she will resist the legislation at every place. PTI Chairperson Barrister Gohar Ali Khan has said that such bills could not come suddenly. "No member of our party will cast vote, if he vote under duress, it won't be acceptable under the court's decision," he told ARY News. "No member of our party could go with the government, if anyone do so, will commit violation of the party's rules. We had disclosed names of our five members yesterday, which have been under the immense," Sunni Ittehad Council's Hamid Raza has said. A highly anticipated "Constitutional Package" that aims to -- among other things -- fix the tenure of the chief justice of Pakistan (CJP) at three years, Pakistan-based daily, Dawn reported. Earlier on Friday, the Jamiat Ulema-e-Islam-Fazl (JUI-F) has chosen not to back the government's proposed constitutional amendments regarding Pakistan's judiciary, ARY News reported. The party has directed its senators to abstain from voting in the Senate unless they have specific approval from their leadership. Sources indicate that Senator Atta-ur-Rehman, the party's parliamentary leader, sent a policy letter to his fellow senators, including Kamran Murtaza, Maulana Abdul Wasey, Abdul Shakoor Khan, and Ahmed Khan. The letter emphasised that senators must not vote on any constitutional amendments unless they have written authorisation from the party's leadership. The JUI-F leadership cautioned that senators who vote without proper authorisation could face disqualification, ARY reported. (ANI) 19 fishermen who were released from the custody of Sri Lanka reached Chennai, a statement by Indian Embassy in Sri Lanka said. The statement came on Friday as fishermen community and the villagers in Tharuvaikulam of Tamil Nadu's Thoothukudi district staged a one-day hunger strike on Monday demanding the release of 22 fishermen "arrested" by the Sri Lankan Navy. In a post on X, Indian Embassy in Sri Lanka stated, "Returning home to India! 19 Indian fishermen have been safely repatriated from Sri Lanka and are on their way back to Chennai." https://x.com/IndiainSL/status/1834588304353988766 Speaking to ANI, Murugan, one of the protestors, urged the central and state governments to cancel the fine imposed by the court on the fishermen and facilitate the recovery of two powerboats seized by the Sri Lankan Navy along with the release of 22 fishermen. "The Sri Lankan Navy arrested 22 fishermen who had gone fishing in two boats last month from Tharuvaikulam, Tuticorin district, claiming that they had entered Sri Lankan territory. The 22 fishermen were then handed over to the Kalpiti Fisheries Department. They were produced before the Puttalam District Kalpitiya Tourism Court later and have been lodged in the Wariyapola Jail. The trial is underway in the court there," Murugan said. According to the protestors, the Sri Lankan court has asked 12 fishermen aboard one of the boats to pay a fine of Rs 42 lakh (Rs 1.5 crore in Sri Lankan currency) and given them a six-month sentence in default of payment. The protestors have demanded relief from this fine. Earlier, as per a letter written by Tamil Nadu Chief Minister MK Stalin on September 7, 14 fishermen from Pudukkottai District along with their three mechanised fishing boats were taken into custody by the Sri Lankan Navy. (ANI) Outgoing NATO Secretary General told Frankfurter Allgemeine Zeitung (FAZ) in an interview published on Saturday that the war in Ukraine cannot end without talks with Russia "at some point", Azernews reports. "To end this war there will have to be a dialogue with Russia at some point. But it has to see Ukraine in a strong position," Stoltenberg insisted. Stoltenberg also stressed that this would have possibly dissuaded Russia from invading the country, but that it is "impossible to say" if that would have been the case. "For a long time, the US did not want to supply Ukraine with anti-tank missiles so as not to provoke Russia. In the end, they did supply some, but we could all have done more. Now we are arming Ukraine in a war, back then we could have equipped Ukraine to prevent a war," Stoltenberg said. Held at the Nepal-India Library, the event featured Prof Ramdayal Rakesh, a distinguished scholar in Nepali and Hindi literature, as the chief guest. The Indian Embassy tweeted about the event, stating, "The Embassy of India, Kathmandu organized a function at the Nepal-India Library on the occasion of Hindi Diwas. Prof Ramdayal Rakesh, one of the leading scholars in the comparative study of Nepali and Hindi literature, was present as the chief guest at the event." https://x.com/IndiaInNepal/status/1834934489069281746 The tweets continued, "Other scholars also presented their views on this occasion. Apart from this, a Hindi poetry recitation was also organized for the students of Kendriya Vidyalaya, Kathmandu. Hindi students, writers, poets, and Hindi lovers were present in the program." https://x.com/IndiaInNepal/status/1834934603091443746 Hindi Diwas, celebrated annually on September 14, marks the adoption of Hindi as one of India's official languages. The day highlights the language's role in bridging diverse linguistic and cultural communities across India. In addition to the Kathmandu event, notable figures in India also recognized Hindi Diwas. Uttar Pradesh Chief Minister Yogi Adityanath remarked that Hindi serves as a key unifying language, stating, "A practical language to connect this country, which I and the majority of the population of this country believe, recognize and understand, is the language Hindi." He emphasised that the language's development is vital for national progress and unity. Prime Minister Narendra Modi extended his greetings for Hindi Diwas, posting, "Many best wishes to all the countrymen on Hindi Diwas." Union Home Minister Amit Shah also celebrated the occasion, noting, "All Indian languages are our pride and heritage, and without enriching them, we cannot move forward." Shah highlighted that Hindi has completed 75 years as the official language, underscoring its continued importance in fostering national unity and development. Hindi Diwas serves as a reminder of Hindi's significant role in India's cultural and linguistic landscape, reflecting its contribution to national identity and unity. (ANI) A Chinese national will be transferred to law authorities for investigation, as he tried to enter Taiwan illegally, Focus Taiwan reported, citing a statement by Taiwan government. The man was found on a rubber dinghy in waters near the northern city of New Taipei on Saturday, Focus Taiwan stated. An official from Taiwan's Coast Guard Administration (CGA) said that they got information at 6:30am (local time) by the New Taipei Fire Department that a person was spotted near the Houkeng River estuary in New Taipei's Linkou District, which is along a coastal expressway. The official said that on reaching the spot, they discovered a rubber dinghy about 100 meters from the shore, Focus Taiwan reported. Around 7am, CGA personnel, along with New Taipei firefighters, brought the 30-year-old Chinese man, Wang, to the shore from the dinghy. He was sent to the hospital as he was suffering from severe dehydration, Focus Taiwan quoted the CGA official as saying. Wang said that he came to Taiwan to start a new life as he was heavily in debt back home in China. A preliminary investigation found that he came to Taiwan on a 3.6m-long rubber dinghy, Focus Taiwan stated. It is believed that he departed from Niuweitang Beach in Ningbo, Zhejiang province in China, the CGA said. Meanwhile, Taiwan's Mainland Affairs Council (MAC) told Focus Taiwan that the incident is currently being handled by the CGA and will later be transferred to law authorities for further investigation. The MAC added that according to Article 10 of the Act Governing Relations between the People of the Taiwan Area and the Mainland Area, "No people of the Mainland Area may enter into the Taiwan Area without permission of the competent authorities." Citing the Immigration Act, the MAC stated that anyone entering Taiwan without permission will be sentenced to imprisonment for up to five years and/or be fined up to New Taiwan Dollar 5,00,000, Focus Taiwan reported. As per Focus Taiwan report, CGA said the rubber dinghy was relatively small and moved at a slow speed or by drifting, which made it undetectable by radar. (ANI) The cabinet had a one-point agenda- to consider the contentious constitutional amendments in the meeting scheduled on 11am (local time) on Sunday, ARY News reported. After the federal cabinet passes the amendment, they will be presented before the Senate and the National Assembly. The amendment seeks to fix the chief justice of Pakistan's (CJP) tenure for three years among other things, as per ARY News. Earlier, the federal government claimed that they secured two-third majority required to make constitutional amendments. Senators and Members of National Assembly of the treasury benches have been asked to remain in Islamabad as it is planning to devise a strategy for constitutional amendments, as per ARY News report. Earlier in the day, Imran Khan's party, Pakistan Tehreek-e-Insaf leader Zartaj Gul alleged that party member Saadullah Baloch's family members have been kidnapped, Pakistan-based ARY News reported. "They have been abducted to press the PTI's parliamentarian to become part of the unconstitutional amendment," Gul told ARY News. She said that Aurangzeb Khan Khichi has been missing for last three days. "The PML-N resorting to every tactic for the unconstitutional amendment, which is not permissible under the constitution," she told ARY News. Gul stated that she will resist the legislation at every place. On Friday, the Jamiat Ulema-e-Islam-Fazl (JUI-F) has chosen not to back the government's proposed constitutional amendments regarding Pakistan's judiciary, ARY News reported. The party has directed its senators to abstain from voting in the Senate unless they have specific approval from their leadership. Sources indicate that Senator Atta-ur-Rehman, the party's parliamentary leader, sent a policy letter to his fellow senators, including Kamran Murtaza, Maulana Abdul Wasey, Abdul Shakoor Khan, and Ahmed Khan. (ANI) PTA Director Law Ali Akbar informed the SHC that a miscommunication led to its counsel's September 12 statement about the notification's withdrawal. "Another case is also being heard in the same bench, in which the notification regarding the establishment of the Steering Committee has been withdrawn," Ali Akbar added. It was sought that the SHC either revoke or amend its previous written order. Ahsan Imam, the PTA's attorney, told the court earlier on Friday that the body had withheld its notice of the suspension of X services (previously Twitter) in Pakistan, reported ARY News. Once SHC enquired about the authority's position on the X ban in Pakistan, the PTA attorney filed the response. The hearing was postponed for two weeks by the SHCt after the PTA attorney's response. On April 17, the SHCt ordered the Ministry of Interior to retract the letter it had sent regarding Twitter's suspension within a week. (ANI) Qatar has long promoted preventive diplomacy and mediation as the only path to resolving conflicts. For more than two decades, these critical efforts have centered on acting as an honest broker and building trust and understanding between conflicting parties. In our role as an experienced international mediator, Qatar tries to ensure that our mediation efforts are recognized as genuine and free of hidden agendas. It is against this backdrop that The Hill published an opinion piece that made false statements about Qatar, its mediation role between Israel and Hamas and its strong counterterror cooperation with the U.S. government. As the war in Gaza enters its 12th month, Qatar, the U.S., Egypt and other partners continue to mediate tirelessly to end the bloodshed and secure the release of Israelis held hostage by Hamas. Our role has involved relentless shuttle-diplomacy across the region, relaying messages between the conflicting sides and working to bridge existing gaps to try to secure a cease-fire agreement. Qatar has also mediated between the powers of the region, using its contacts to try to prevent a wider regional spillover. Over the last year, Qatar has been accused by certain nefarious actors of sponsoring Hamas and engaging in terror financing. These accusations focus on the presence of Hamass political leaders in Qatar but ignore the fact that this was a decision made in coordination with the U.S. over a decade ago. The objective has always been to provide a communication channel with Hamas, to resolve conflicts and manage humanitarian assistance to the 2 million Palestinians in Gaza. Multiple U.S. administrations have relied on this channel, and during the current Gaza crisis, it has often been the only means of communication with Hamas making it possible to secure the release of more than 100 hostages so far. Similarly, the delivery of all Qatari aid to civilians in Gaza prior to the current war was always fully coordinated with the U.S. and Israeli governments. Qatari aid to Gaza was delivered directly to Palestinian families in need of essential supplies such as food and medicine, while additional Qatari funding provided electricity to power the homes of the Palestinian population. The current instability in our neighborhood is especially significant because of the serious repercussions it could have beyond our region. Working together with the U.S., we believe that a negotiated peace is the only humane path forward both to stop the violence and to prevent future global instability. To that end, Qatar has taken some of the strictest measures to combat terror financing in the region and globally. In 2023, the Financial Action Task Force mutually evaluated Qatar, giving it one of the highest global ratings for technical compliance with the task forces anti-money-laundering and counterterrorism financing requirements. Meanwhile, the latest U.S. Country Report on Terrorism affirms that the United States and Qatar have continued to increase counterterrorism cooperation, building on progress made after the U.S. Secretary of State and the Qatari Foreign Minister signed a counterterrorism [Memorandum of Understanding] in 2017. Building on this historic agreement, in 2019 Qatar introduced a new anti-money-laundering and counterterrorism financing framework that strengthened national legislation and expanded the definition of possible offenses. Qatar has also implemented stronger sanctions to deter potential violators and has increased coordination with its international counterparts to combat cross-border threats. Qatar and the U.S. remain strong strategic allies and partners in the fight against terrorism financing. Last month, the CIA awarded the prestigious George Tenet Medal to Abdullah bin Mohammed Al-Khulaifi, the head of Qatars State Security Agency, for his efforts in strengthening intelligence cooperation between the United States and Qatar. The award comes at a time when Qatar-U.S. security cooperation has reached an all-time high, following years of close coordination through Republican- and Democrat-led administrations, across issues of shared interest including the evacuation of over 100,000 people from Afghanistan following the U.S. withdrawal in 2021, the ongoing war in Gaza and the threat of a wider regional conflict. The war in Gaza has shattered too many families and claimed too many innocent civilian lives on all sides. In Qatar, we view our mediator role not only as a political obligation but as a moral duty to alleviate the suffering of vulnerable people and to advance global security. Our joint work with the U.S. and dozens of allies around the world has become more urgent than ever, and we vow to do whatever we can to stop the bloodshed, get hostages home and end these cycles of violence once and for all. Ali Al-Ansari is Qatars media attache in the United States, based in Washington. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. Lynchburg City Schools will host a community conversation next week on cellphone and social media use which features First Lady of Virginia Suzanne Youngkin. The community conversation will take place from 7 to 9 p.m. inside of E.C. Glass High Schools auditorium with doors opening at 6:30 p.m. A live broadcast will be viewed of a fireside chat between Youngkin and Jonathan Haidt, an award-winning social psychologist, author and researcher. According to a release from LCS, the two will discuss the findings of Haidts book The Anxious Generation, which discusses how limiting social media and cellphone use can help restore childhood; and there will be an interactive community conversation to follow. LCS is one of many school divisions around the state participating in the fireside chat as the division, along with other surrounding localities, have revisited their current cellphone policy prior to the school year. On July 9, the Lynchburg City School Board updated its cellphone policy, only allowing the use of such devices at the high schools during students lunch periods. In July, Gov. Glenn Youngkin issued an executive order aimed at establishing cell phone-free education in the states K-12 public schools, pointing to an increasing impact cellphone and social media has on education and youths mental health. According to its website, the Virginia Department of Education will issue final guidance on Monday and school divisions will adopt policies and procedures by Jan. 1. For more information on the livestream, visit the Virginia Department of Educations website. Lynchburg officials want to make it easier for city residents and people who live in surrounding counties to bow hunt within city limits as a way to decrease the citys large deer population. City officials receive regular complaints from residents about deer damaging plants and trees, and causing a nuisance by defecating in yards. Deer also bring health hazards that include tickborne diseases such as Rocky Mountain spotted fever, Alpha Gal Syndrome and Lyme disease, city staff said Tuesday. For city councils next meeting on Sept. 24, staff was instructed to develop new rules that will promote urban archery and allow bow hunting on certain city-owned properties to reduce the deer population while ensuring public safety. City council is expected then to vote on the proposed new rules. At a city council work session on Tuesday, Lynchburg Chief Animal Warden Ryan Ball gave a rough estimate of 10,000 deer living in Lynchburg. In his presentation, Ball outlined options for controlling the citys deer population. One option is giving the city the ability to levy civil penalties on residents who feed deer. When we are feeding deer or anybody in our neighborhoods is feeding deer, it habituates the deer to be around our houses, to be around people and not to be fearful of them, Ball told city council members. Ball recalled a recent visit to a property off Fort Avenue in the Sandusky neighborhood where a woman was feeding all sorts of wildlife. I literally went back there and it was like a scene from Doctor Doolittle, he said. Ball said the woman told him she was unhappy that the food she was putting out was also attracting coyotes, which were then preying on many of the animals that had come to eat the food. The coyotes are coming because they not only get the food she is putting out, but the coyotes get to hunt in one central area, Ball said. Ward III Councilman Jeff Helgeson welcomed most of the recommendations from city staff for controlling the deer population. But he expressed opposition to the idea of assessing civil fines on people who feed deer. He said going out to investigate whether residents are feeding deer could distract the animal wardens office from taking more effective steps to reduce the deer population. Lynchburg Animal Control currently has three animal wardens who report to Ball. The office has one animal warden vacancy. The City of Lynchburg currently allows bow hunting on private property for seven months annually, with no cost for hunting permits. Property owners must apply to allow for bow hunting of deer on their property. There is no minimum acreage for where bow-hunting can occur. But the animal warden will only grant a property owner a permit if he determines bow hunting will not harm nearby residents and if the tree stand is in an elevated position at least 12 feet above the ground. Hunters must apply for a license from the Virginia Department of Wildlife Resources to bow hunt for deer in Lynchburg and across the state. Under the proposed new rules, the 12-foot deer stand rule for larger properties of 10 acres or more would be eliminated. Shotgun hunting of deer is allowed in Lynchburg but only during firearms season and only on private property of 25 acres or more. Under the proposed rules, the city would encourage citizens with deer-related damage to receive kill permits for deer during off-hunting season. Lynchburg City Manager Wynter Benda told councilmembers that staff will work on having the proposed rules for reducing the citys deer population ready for review by the next meeting. CHIBA, Sep 14 (News On Japan) - Three large male dogs, including a Doberman, that went missing from a yard in Yotsukaido City, Chiba Prefecture, have been found. All three returned to the yard where they were kept. The dogs, a Doberman, a Shepherd, and a Rottweiler, all adult males, had been missing since the early hours of the 13th in Yoshioka, Yotsukaido City. The police had mobilized about 20 officers to search for the animals. At around 5:30 p.m., all three dogs returned to the yard and were secured by an employee. The dogs were reported to be uninjured, and there were no signs of any changes in their health. Additionally, there have been no reports of anyone being bitten or harmed by the dogs in the surrounding area. All three dogs had already received rabies vaccinations. The police are investigating the circumstances of the dogs' escape, questioning the yard's owner about their care and the situation that led to their disappearance. Source: ANN Elon Musks social media platform X, formerly known as Twitter, is expected to avoid being subjected to the European Union's landmark Digital Markets Act (DMA), Azernews reports citing Bloomberg. EU regulators are nearing the end of their investigation into X and are likely to conclude that the platform does not meet the criteria to fall under the DMA, sources familiar with the matter told Bloomberg. The decision is based on the platform's current market impact and revenue levels, which do not meet the thresholds set by the DMA. The Digital Markets Act imposes stringent rules on major tech firms, including Alphabet Inc.'s Google Search, Apple Inc.'s Safari, Amazon.com Inc., and Meta Platforms Inc.'s Facebook. These rules are designed to prevent anti-competitive practices and include significant penalties for violations, up to 10% of global revenue, or 20% for repeated breaches. The DMA targets companies with annual EU sales of at least 7.5 billion euros ($8.3 billion) or a market capitalization of 75 billion euros or more. Additionally, it applies to platform services with over 45 million monthly active end-users and more than 10,000 yearly active business users in the EU. The European Commission is expected to release its findings on X's regulatory status in October. BEIJING, Sep 14 (News On Japan) - Japanese food businesses are intensifying their expansion efforts in China, where consumption has stagnated due to rising food prices. We investigate the factors driving these Japanese companies to accelerate their operations in the Chinese market. 'Tenpura Ebino-ya,' a tempura restaurant from Osaka, recently celebrated its first anniversary after opening in downtown Shanghai. Its freshly made tempura bowls are a big hit among locals. During our lunchtime visit, all 80 seats were filled, demonstrating its popularity. In the kitchen, skilled chefs prepare the tempura, with the most popular dish being the Two Shrimp Tempura Bowl, which includes two shrimp, squid, and white fish, priced at around 1,000 yen. Why has tempura gained such popularity? Xie Min, Deputy General Manager at Fujio Food, Shanghai branch, said, "Many Chinese people have traveled to Japan and remember the taste of the tempura bowls they had there. Theyre thrilled to find the exact same flavor here in Shanghai." The restaurants appeal isnt limited to taste. A customer commented, "I think its affordable. Prices are generally high in this area, so I'm happy with this price." As Chinas economy stagnates and the desire to save increases, affordability has become a significant draw. Japanese food chains are adopting a low-price strategy, leading to a series of new store openings. Last month, conveyor belt sushi chain Sushiro opened its first location in Beijing. On its opening day, more than 600 groups visited the store, with some customers waiting up to 10 hours, demonstrating the restaurant's popularity. One customer remarked, "Tuna is expensive in Beijing, so I ordered a lot." Tuna is the most popular item, with one plate priced at around 200 yen. Kazuo Aratani, Executive Officer of F&LC, stated, "Our commitment is to serve delicious food at affordable prices. We want customers to be pleasantly surprised by how tasty the sushi is at this price point." In Shanghai, new restaurant openings continue. On the first of this month, 'Yama-Ushi,' a beef bowl restaurant, opened its first store in Shanghai. The restaurant is operated by Toridoll Holdings, the company behind Marugame Seimen. Though Marugame Seimen once expanded to around 50 locations in mainland China, it was forced to close its stores two years ago due to the pandemic. This marks the company's second attempt to break into the Chinese market. This time, they are focusing on rice bowls. Their signature dish, the charcoal-grilled beef short rib bowl, is priced at around 500 yen. Leveraging the experience and expertise gained in Japan, they aim to attract customers with affordable prices. Takashi Sugiyama, Vice President of Toridoll Holdings, commented, "We are aligning our business with the current consumption needs in China, aiming for significant growth." Japanese companies entering China are not limited to the food industry. According to data released by Teikoku Databank last month, the number of Japanese companies with local subsidiaries or production facilities in China stands at approximately 13,000. This represents an increase of about 300 companies compared to two years ago, when many businesses were withdrawing or downsizing their Chinese operations due to the pandemic. In addition to the growing popularity of Japanese restaurants, there is an expected increase in companies entering sectors such as elderly care services, as China continues to face demographic challenges like an aging population and declining birthrate. Kei Nakajima, an expert on China, commented, Japan is becoming somewhat of a brand in China. While Japanese cuisine used to be exclusive to the ultra-wealthy, it has become more accessible. However, Chinese consumers tend to lose interest quickly. Without constant menu innovation, it will be difficult to survive in this market." Source: ANN Saitama, Sep 14 (News On Japan) - Starbucks has revamped one of its stores inside a shopping mall to be more welcoming to customers with children, including bench-style seating and wider aisles to make it easier to accommodate strollers, as well as locating next to a children's play area. For the first time in Japan, a space has been set up where children can select their favorite sauces to create their own Frappuccino. The drink sizes have also been tailored so that children can finish them comfortably. Customer: "It looks like well be visiting more often. We usually get takeout since we can't relax at most places, but here, we can take our time." Starbucks plans to analyze customer feedback and consider expanding the number of these family-friendly stores across Japan. Source: ANN Sep 13 (NHK) - Japan's government plans to streamline entry procedures for overseas visitors at Narita and Haneda airports, which serve Tokyo, and Kansai Airport in Osaka. The changes are expected before the end of the current fiscal year ending in March. Japan is experiencing a boom in overseas tourism, and the number of arrivals in expected to grow. Foreign travelers are currently required to have their photograph taken and present their passport at separate checkpoints. The government plans to integrate the two processes for people who register online in advance. Haneda Airport began testing the system earlier this year. A government survey on about 13,000 passengers found arrival procedures were shortened by about two minutes. A German student at Haneda said the system was convenient because all she needed to do was scan a code. An Immigration Services Agency official expressed hope about improving efficiency while maintaining strict border controls. Do you live in an oil-rich state or area? Have you ever thought there could be oil or natural gas on your land? Alternatively, is it possible youve inherited mineral rights from a relative? Many Americans are sitting on a fortune in oil and natural gas but are uncertain how to best capitalize on them. Thats where Phoenix Capital Group Holdings, LLC comes in. Phoenix Capital Group is a one-of-a-kind enterprise that not only identifies people who own important mineral rights but also helps them turn those resources into ready money. Whats more, Phoenix Capital Group monetizes mineral rights in ways that maximize land and mineral rights owners financial stability long into the future. How Phoenix Capital Group assesses mineral rights Phoenix Capital Group specializes in working with landowners and mineral rights owners to help them get the value they are entitled to from their property. Sometimes, however, mineral rights can be shared by dozens or even hundreds of people, such as when land has been passed down through multiple generations. Thats why Phoenix Capital Groups team of experts pours through title records to locate the appropriate owners for mineral rights at a given location. Occasionally, Phoenix Capital Groups representatives are even the first people to notify heirs that they possess such a valuable commodity. The experts at Phoenix Capital Group also use advanced, proprietary technology to assess the value of the relevant oil and gas minerals. Utilizing our proprietary technology, we collect and store data that updates in real-time, explains Adam Ferrari, CEO of Phoenix Capital Group. For example, when new activity occurs, we capture those results and value accordingly giving us the ability to execute quickly and the confidence that we are putting capital to work in the right areas. Based on this assessment, the team at Phoenix Capital Group determines the fair market value of the mineral rights and presents the owner with an offer to buy these rights. How Phoenix Capital Group empowers landowners Every landowner or mineral rights owner has different priorities. Phoenix Capital Group takes the time to understand each owners goals and help them turn their mineral rights into financial security and even generational wealth. Phoenix Capital Group emphasizes transparency and openness at every step of the way. Thats one of the reasons mineral rights owners Thomas E. and Ann Beaver from Williams County, North Dakota, chose to sell their mineral rights to Phoenix Capital Group. The company is above board and professional, they said. Tom Wulf was wonderful to work with. He displayed patience with our questions as he guided us through the sales process. Additionally, Phoenix Capital Group offered them a higher price than other companies. I received a much better price than my relatives, who sold their oil leases at a later date, Thomas said. Phoenix Capital Group, with direction from Tom Wulf, made an additional payment to make up the difference between what my relatives received and what I had received. Thats going out of your way to be more than fair to a customer. Even after completing the transaction, Phoenix Capital Group continues supporting landowners with valuable education and advice. The company views landowners as its long-term partners and empowers them to navigate the oil and natural gas industry as advantageously as possible. Investing in Phoenix Capital Group In an industry full of major corporations and large financial institutions, Phoenix Capital Group stands out as a fast-growing, family-run business that uplifts ordinary people. Along with assisting landowners with their mineral rights, Phoenix Capital Group enables regular investors to invest directly in the company itself, rather than just as a commodity. How? Phoenix Capital Group offers an unlimited number of Regulation D bonds for accredited investors, as well as a limited number of Regulation A+ bonds, which are currently fully subscribed due to their periodic availability qualified by the SEC. The company offers 9-13% bonds and has historically met its monthly payment obligations to investors. Phoenix Capital Group has maintained its impressive track record of success by leveraging different revenue streams. The first of these is the companys production of oil itself through its Phoenix Operating branch. Operating directly, rather than outsourcing this aspect, means we can mitigate some of the uncertainties that come with third-party partnerships, including unexpected cost overruns and production delays, Ferrari explains. We plan to have a greater level of control over the income we need to fulfill the fixed-yield payments to bondholders each month as we have done in the past, although past performance should not be an indicator of future results. Take advantage of Phoenix Capital Groups exciting opportunities Whether you own mineral rights or are an investor, Phoenix Capital Group offers exciting opportunities for you. To investigate the value of your land, contact them today. To explore investment opportunities, sign up for a free Phoenix Capital Group Webinar, which takes place every day of the week. Disclaimer: Investing involves risk, including the possible loss of the money you invest. The sale of any securities is subject to investor qualification and minimum investment. Private placements are speculative and illiquid. Past performance is not indicative of future results. Important information, disclaimers, and risks can be found at PHXOffering.com. As part of the effort of his administration to grow the states economy from $4.4bn to $30bn and ensure food security, tractors needed for farming in Enugu State will be produced in the state before the end of the year, governor of the state, Dr. Peter Mbah has announced. The governor stated this when he received the newly elected president of the Nigeria Employers Consultative Association, NECA, Dr. Ifeanyi Okoye, and other leaders of the association at the Government House, Enugu, at the weekend. He reiterated his administrations commitment to creating a business-friendly environment and helping to de-risk investment in the state, calling on investors to key into the growing investment revolution in the state. We are ready for business and we are open for business. You could not make a better choice than Enugu when you are thinking about where to invest or where to grow your wealth because you are dealing with a government that is business-friendly and we do not only think about creating the environment for businesses to thrive, we are also interested in working with you collaboratively either as a Joint Venture (JV) or Private Public Sector Partnership (PPP) or even helping you to de-risk the investment flow. We are interested in listening to you, knowing what areas that would make it possible for you to invest. We have just signed an order with a Danish company that is coming here to manufacture their tractors. So, our target is that before the end of the year, we would have been able to assemble over 300 tractors. Our ultimate target in the medium term is to get up to 1,000 tractors before the end of next year. What this means is that you would not have to import the machinery and the implements you need to be able to farm in Enugu State. Again, we are interested in value addition and processing too. We are building a Special Processing Zone where we expect that as we plan to scale up our production in the agricultural space, we also have produce cities where those things can be processed. It is not just producing and exporting them raw. We are planning to add value, create and capture those values, Mbah said. He added that the government was taking measures to ensure the availability of the necessary infrastructure, ranging from urban roads to access roads to farm, power, and water, while also making huge investments in security and collaborating with security agencies to sustain and strengthen the relative security attained by the state in the last 15 months. In the area of roads, our target is to make sure that all our streets, all our roads in the city are paved and we are already achieving that. Just recently, we completed the 71 roads we awarded last October and we again awarded another 141 roads. We are also making sure that we also get our rural roads paved, most importantly the access road to the farmlands because we have some ambitious target in our agricultural sector where we now have over 300,000 hectares of land in our landbank, he said. Mbah congratulated Dr. Okoye, who is also the Managing Director of Juhel Nigeria Limited, on his emergence as NECA President, assuring of his administrations readiness to collaborate with NECA to create more economic opportunities in the state. Speaking earlier, the NECA leadership pledged unwavering commitment to fostering partnerships that will catalayse socio-economic development in Enugu State and the South East, having observed the governors vision and business-minded approach to governance. We have closely observed your outstanding leadership, coupled with your clear vision for the transformation of Enugu State. Your administrations focus on economic diversification, infrastructure development, and human capital enhancement aligns perfectly with NECAs objectives. We believe that through strong collaboration between the public and private sectors, we can collectively drive growth, create jobs, and improve the overall well-being of the citizens, the NECA president stated. At Pella Corporation, innovation isnt just a buzzword its woven deeply into the companys culture, earning it a place among Fast Companys 100 Best Workplaces for Innovators in 2024 and a spot on Fast Companys top workplaces for innovators within manufacturing. We are honored to be recognized once again by Fast Company as a top workplace for innovators, John Bollman, chief human resources officer of Pella Corporation, said in a news release. "This recognition is a testament to our culture where imagination knows no bounds and every team member is empowered to redefine whats possible; to consistently strive to bring bold ideas to life and deliver real solutions for our customers." Fast Company's 100 Best Workplaces for Innovators honors businesses that have developed and sustained cultures of innovation. The list is based on a rigorous assessment of companies innovation practices, from internal processes and policies to tangible outcomes, such as new products, services and patents. Pella stood out for its latest game-changing innovation, Steady Set the industrys first interior installation system for new construction and the companys commitment to enhancing team member well-being by leveraging technology to modernize its manufacturing processes, according to the release. "This award is not just about the innovative products and services we create," Bollman said in the release. "Its about the culture we cultivate that encourages every team member to care deeply, learn continuously, and achieve results that go beyond our customers' expectations. We believe that investing in our people, our customers and our communities enables transformative growth and innovation. The recognition by Fast Company follows several other workplace accolades for Pella this year, including recognition as a Best-In-State Employer for the third consecutive year by Forbes. This is the second time Pella has been recognized as a top Workplace for Innovators by Fast Company. As the company looks ahead, it remains dedicated to driving innovation, investing in its people, and making a positive impact in the communities where team members and customers live and work. Pella employs more than 10,000 people nationwide, with over 4,100 in Iowa. The company operates across multiple locations, including its headquarters in Pella, Iowa, and manufacturing facilities in Sioux Center, Carroll, Shenandoah and Pella. We have used your information to see if you have a subscription with us, but did not find one. Please use the button below to verify an existing account or to purchase a new subscription. The 4th International Conference on Public Health in Africa, initially scheduled to take place in Morocco next November will be postponement, due to the current epidemiological situation on the continent. The announcement was made by Director General of the Africa Centers for Disease Control and Prevention (Africa CDC), Jean Kaseya, at a news conference after talks in Rabat Friday with Moroccan Minister of Health, Khalid Ait Taleb. As the epidemic is developing in a rather dangerous way, and given that this epidemic requires us to focus all our energy on it, I have officially asked the Moroccan government () to postpone the organization of the conference, said Kaseya. The Moroccan government agreed to postpone the conference to prioritize addressing the MPOX crisis, the Health Ministry said, reaffirming Moroccos commitment to strengthening health cooperation with African countries and international partners to support efforts to combat health crises and achieve health sovereignty on the continent. The new date for the conference will be announced in coordination with the African Union and the African CDC. The Africa CDC chief insisted that all efforts across the continent need to focus on combating the Mpox epidemic. We are currently examining all possible means of stopping this epidemic, he said. My energy today is focused on the fight against this epidemic, he insisted The Africa CDC head also praised Moroccos solidarity with the rest of the African countries in the fight against the Mpox epidemic. Morocco is, first and foremost, an African country () that is fully committed to solidarity. It is also a country of innovation, contributing to all efforts to equip the countries of the continent with the means to stop this epidemic, he stressed. Kaseya highlighted the importance of local manufacturing of vaccines and medicines to combat epidemics and health crises on the African continent, calling this a priority. IFC has granted a 100 million loan to OCP Group, contributing thus to complete the desalinated water pipeline to connect Jorf Lasfar to Khouribga, whose construction is progressing well and is expected to be completed soon. The loan will support the construction of a 219 km pipeline and a new pumping station The infrastructure will transport desalinated water from OCP Groups existing and planned desalination plants in Jorf Lasfar to the production sites in Khouribga, central Morocco. In order to increase sustainable water supply for fertilizer production, farmers, and households in Morocco, IFC announced today a 100 million loan (approximately $108 million) to OCP Group, a global leader in plant nutrition solutions and phosphate fertilizers, stated a joint press release from the two entities, published on Friday, September 13 in Washington. This pipeline will have a total annual capacity of 80 million cubic meters, according to the statement, which explained that the project will provide OCP Group with a sustainable and reliable water source while freeing up water for farmers, businesses, and consumers in Khouribga and surrounding areas. This project demonstrates OCP Groups commitment to sustainable development and innovation, OCP Chairman and CEO, Mostafa Terrab, was quoted in the press release as saying. By securing a reliable source of desalinated water, we are not only enabling the continued growth of our operations but also providing essential resources to local communities, he added, noting that this initiative aligns perfectly with the Groups vision of strengthening resilience and food security across Africa. For his part, IFCs Managing Director, Makhtar Diop, stated that this innovative project by OCP Group shows how companies can develop solutions to address complex development challenges. By supporting the construction of this pipeline, IFC allows OCP Group to meet its own water needs, provide vital water resources to communities, and support the production of high-value crops, thus contributing to a more resilient and food-secure future for Africa, highlighted Makhtar Diop. The pipeline is part of OCP Groups water program, implemented by its specialized subsidiary, OCP Green Water, which aims to supply the Group with 100% non-conventional water by the end of 2024, according to the press release. By 2027, through investments totaling $611 million, OCP Group plans to achieve a production capacity of 560 million m/year of desalinated water and 60 million m/year of treated wastewater. Combined with new technologies to reduce the water needs of the worlds number one phosphate producer, this will not only ensure the supply for its industrial operations but also provide excess capacity to local communities, thereby strengthening their resilience to climate change. While supporting Moroccos priority of sustainable development, the project aligns with the World Banks strategy in Morocco, which focuses particularly on climate. By 2030, the pipeline is expected to be fully powered by renewable sources, improving access to sustainable water resources and enhancing resilience to climate shocks. The US State Department has approved the sale of dozens of F-35 fighter jets to its NATO ally Romania, a deal worth $7.2 billion, Azernews informs referring to AFP. The contract, which must still be approved by the US Congress, covers Bucharest's purchase of 32 F-35A aircraft and related equipment, produced by the US aerospace and defense giant Lockheed Martin. "This proposed sale will support the foreign policy goals and national security objectives of the United States by improving the security of a NATO Ally that is an important force for political and economic stability in Europe," a State Department statement said. The contract announcement comes as Ukrainian pilots began training this week at a special center in Romania on F-16s, US-made fighter jets that Washington has approved Kyiv to use to repel Russia's invasion. Romania occupies a strategic position at the gateway to Ukraine and the Black Sea, and aims to become an international hub for F-16 training. It inaugurated an F-16 training center at its Fetesti air base in November 2023, pledging to also train Ukrainians there. US Secretary of State Antony Blinken met his Romanian counterpart Luminita Odobescu on Thursday, thanking Bucharest for delivering a Patriot missile defense system to Ukraine. The State Department also announced the approval on Friday of a $4.1 billion sale to Japan of a KC-46A aerial refueling tanker. Photo: Win McNamee/Getty Images At Tuesdays presidential debate, Donald Trump launched into a rant about Haitian immigrants kidnapping and eating pets in Springfield, Ohio. Theyre eating the dogs! he bellowed. The people that came in, theyre eating the cats! Theyre eating the pets of the people that live there! No spectators were allowed inside the National Constitution Center in Philadelphia, where the debate was held, which meant Trumps live audience consisted primarily of Kamala Harris, two stone-faced moderators from ABC News, and the cavernous silence of the auditorium. He looked and sounded unwell, like a man who had totally lost control of himself. Observers were quick to assume that Trump had fallen for a right-wing conspiracy theory that began as a rumor simmering in the bowels of Facebook and was later pushed by, among others, his own vice-presidential candidate, J.D. Vance. But that impression was somewhat misleading. Though Trump had spent much of the evening spiraling off-topic, his rant about Haitians was made at least partially by design. The point was not whether the claims were true or false but to snatch at any excuse to proclaim that brown and Black immigrants should be ostracized and to use a cadre of conservative influencers and memelords to encourage a conspiratorial frenzy that could easily spill into violence. It was Vance who first brought the Springfield rumor to Trumps attention. Since the pandemic, the city has attracted as many as 20,000 Haitian immigrants with promises of warehouse jobs and manufacturing work. Last year, one of them veered a minivan into oncoming traffic and struck a school bus, killing an 11-year-old boy. The boys father has since insisted his sons death was an accident and implored people not to exploit it for hateful ends, but that is precisely what happened. There remains no evidence that Haitian migrants have been killing and eating pets a fact that has been confirmed by both the police and countless reporters who have investigated the rumor. Yet on Monday, the day before the debate, Vance posted about it on X: Reports now show that people have had their pets abducted and eaten by people who shouldnt be in this country. The post soon began percolating throughout the conservative web. Ted Cruz and Elon Musk posted memes of kittens begging to be saved from Haitian dinner plates, racking up hundreds of thousands of reposts. Even after local authorities had confirmed the claim was baseless, Vance was undeterred, inviting his followers to keep the cat memes flowing anyway. CNNs Kaitlan Collins asked him, Why push something thats not true? Vance replied with a slight smirk, Whether those exact rumors turn out to be mostly true, somewhat true, whatever the case may be, this town has been ravaged by 20,000 migrants coming in. When Trump boosted the story at the debate, the reverberations were felt instantly. A so-called social-media war room that included the notorious conspiracy theorists Laura Loomer, Jack Posobiec, and Chaya Raichik spammed the web with defenses of Trump and attacks on Springfields Haitian population. Google Trends reported that the top search in 49 states during the debate was abortion except in Ohio, where it was immigration. The day after the debate, Musk responded to Taylor Swifts endorsement of Kamala Harris on X by pledging to give her a child and guard her cats. On Thursday, bomb threats in Springfield containing what the citys mayor, Rob Rue, described to the Washington Post as hateful language towards immigrants and Haitians prompted the evacuations of City Hall, the Bureau of Motor Vehicles, the Springfield Drivers Exam Station, an Ohio License Bureau, and two schools the Springfield Academy of Excellence and Fulton Elementary. We want to move forward together, Rue told the New York Times of the unwanted attention Trumps rant has brought to his city, and it just makes it more difficult to do that when we have violent actions and threats. The chaos continued on Friday, when police announced they had received unspecified information that led them to close an additional middle school and evacuate two more elementary schools. At a press conference in California, Trump said mass deportations of immigrants could begin in Springfield if he is elected president. It was all emblematic of the former presidents ability to turn whole communities upside down with just a few words. For all the ways Trump has declined of late, he remains masterful at reading and cultivating his base, which wants stories about immigrants so grotesque that their xenophobic paranoias feel not only sane but righteous. Somewhat new are the droves of conservative influencers descending on Springfield and spreading viral videos of residents calling immigrants sand monkeys. Its worth remembering that weve seen Trump make this play before. His lies about endemic migrant crime date back to his campaign announcement in 2015 when he characterized all Mexican immigrants as rapists. Ahead of both the 2018 midterms and the 2020 general election, the then-president induced panic about invading migrant caravans that abruptly disappeared from his rhetoric after votes were cast. Haiti itself has made a previous appearance on Trumps reported list of shithole countries whose denizens he sought to deny immigration protections. Trumps brand of conspiratorial lying transformed his unfounded claims of election theft in 2020 into a rabid mob that descended on the U.S. Capitol on January 6, 2021. Now, the question of whether Trumps lies lead to actual violent behavior is no longer conjectural. And as Vance helpfully articulated, the point of the lying is to capture and channel a desired mood, a rallying cause that motivates people to action. The Republican tickets exploitation of that mood has now sent hundreds of Springfield children fleeing from their schools, led to the terrorization of countless city employees, reopened the psychic wounds of a grieving father, and placed a target on the backs of a migrant minority that was already regarded with suspicion. It reaffirms that Trumps vision for returning to power will likely require an indiscriminate range of casualties. What we saw onstage on Tuesday was not merely a meltdown but a vision of the future: an angry man howling at hordes of disciples he does not see, who await his signal to spring into action. Photo: Jay L. Clendenin/The Washington Post/Getty Images At Tuesday nights debate, seemingly stung by Kamala Harriss assertion that IVF treatments have been curtailed under Donald Trumps abortion bans, the former Republican president declared, I have been a leader on IVF, which is fertilization. The IVF I have been a leader. To show exactly how much he knew about the reproductive technology, he repeated the word fertilization a few moments later. It is true that Trump came out against the Alabama State Supreme Court decision, in February, restricting access to IVF, and that in late August he said he would make the procedure free. Under the Trump administration, we are going to be paying for that treatment, Trump told NBC News. Were going to be mandating that the insurance company pay. You might call this offhand proposal half-baked. (Trump surprised his own advisers with the comment, NBC later reported, and his campaign has refused to provide more detail. A person close to the campaign said there may not actually be a plan.) You might also notice that his remarks were totally contrary to his prior opposition to similar health-care-coverage mandates, and to his partys refusal to vote for analogous measures in Congress. Trump is aware that most Americans are deeply unhappy with the right-wing drive to ban or limit IVF, which is currently most accessible to wealthier, whiter people people who could plausibly vote Republican. The fact that the proposal faces opposition from his own party and no conceivable path to being enacted was, of course, beside the point. As with his waffling abortion stance, the protests of social conservatives on IVF may even serve to make him look more independent, when they dont make him look incoherent. But in the wake of this latest apostasy positioning himself as a champion of fertilization its been illuminating to listen to what, exactly, normally loyal elements of the right have objected to, and to observe these responses alongside newly resurfaced comments from Trumps chosen running mate, J.D. Vance. Its clear that the socially conservative wing of the party opposes the freedom that IVF allows, especially for those whose life choices do not fit the right-wing ideal. The Alabama court decision last winter left plenty of people scratching their heads about why the party lamenting the declining birth rate would oppose something that leads to more babies. That courts reasoning, citing state law as well as the Dobbs decision that overturned Roe, was that frozen embryos were extrauterine children, subject to the same protections and compensations of children walking the Earth, thus putting common IVF practices of freezing, storing, and disposal at risk. Anti-abortion activists had long quietly opposed IVF, largely on the same grounds, but as long as Roe v. Wade stood, there was little will or capacity to do much about it. But since Trump has thrown his nominal support behind access to IVF, a new variety of opposition has come to light. Opponents of the treatment have made clear, directly and indirectly, through both open statements and calculated omission, that they reject the technology because it could make it easier for women to resist their preferred social script: having babies early and in the context of a heterosexual marriage. In a tweet to J.D. Vance following Trumps IVF proposal, Students for Life president Kristan Hawkins, an influential voice in the anti-abortion movement, wrote: It makes zero sense for the GOP to be encouraging families to delay childbirth by trying to promote an IVF mandate in healthcare insurance plans. (Hawkins also opposes contraception and abortion.) Conservative author and self-described child advocate Katy Faust was even less euphemistic. When she recently catalogued her objections to IVF on X she is opposed to the intentional and unintentional destruction of embryos, as well as surrogacy someone replied that the right needed to find the root cause for infertility in America. Faust responded: The vast majority of people who are infertile spent their peak fertility on careers, travel, and finding themselves. In other words, female selfishness was the culprit. And, by extension, IVF, for providing a false sense of security. Inability to conceive due to factors unrelated to age, such as endometriosis, male infertility, or prior medical treatments, such as chemotherapy, are simply ignored, presumably as collateral damage. Of course, in addition to allowing more options for heterosexual couples, increased access to IVF could mean more children for queer people and single women. Patrick Brown, fellow of the Ethics and Public Policy Center, argued in Compact magazine, in response to Trumps suggested mandate, that the policy would place the federal government firmly on the side of viewing parenthood as an individual right, rather than as something properly existing within the context of a couple. IVF, he claimed, wasnt a solution to declining birth rates, but rather a contributor: The increased availability of IVF has coincided with accelerated declines in global birth rates, not their revivification. Indeed, the technology can lead some women to assume they can delay marriage and parenthood until their late 30s or early 40s with little problem only to find out too late they were wrong. Of course some women do find out its too late for them, but Brown implied that the entire enterprise was a sham. Sean Tipton, a spokesman for the American Society for Reproductive Medicine, translated this approach: What they do here is take a little kernel of biological reality, and they twist it for their own ideological purpose. Not long after Trumps IVF announcement, reporters unearthed some adjacent comments from J.D. Vance, who seems to have spent a lot of time in the last few years talking about whether women are fecund and if they arent, what purpose they could possibly serve to society. (These conversations rarely involve an actual living human woman with a speaking role.) Republicans used to occupy themselves with criticizing the easier-to-attack reproductive decisions of low income women of color welfare queens producing crack babies, to use their noxious shorthand. But Vance is unafraid to go after the presumed life choices of educated, affluent women, using the vocabulary of the manosphere. In these resurfaced interviews, Vance states that the whole purpose of the postmenopausal female is to care for grandchildren, and that whats wrong with journalism and elite society can be explained by miserable infertile people who passed the biological period of fertility. One of the weird lies the elites have been told is that its very easy to start a family when youre 45, he said in a 2021 podcast interview retrieved by Media Matters. Well, human biology God says otherwise. Its of course true that starting a family at 45 is not very easy assuming any human on Earth has ever actually been told that it was. But Vance is uninterested in making it easier for any person facing infertility to have access to care: In June, he voted against the Right to IVF Act, a suite of bills that would, among other provisions, mandate coverage for IVF in private and public plans. Vances game, on IVF, has often been to leave the technology out of the story altogether, presenting a picture of womens lives in which it doesnt exist and in which delaying having children is riskier while voting to keep it fairly inaccessible. Paired with Vances disapproval of the sexual revolution for encouraging people to end even abusive marriages, his elision of IVF adds up to a not-so-subtle coercion aimed at women: Marry the first schmuck wholl have you and reproduce as early as you can, without access to contraception or abortion, lest you end up a childless cat lady. Should women be choosy, or unlucky, or pursue meaning through avenues other than parenthood, theyll be cautionary tales rather than medical success stories. Needless to say, American women do not need to be told that fertility is fleeting. The backlash to feminism in the 1980s and early 90s made the phrase biological clock so ubiquitous that it was a tagline in an Oscar-winning performance, and though its transmogrified, the panic is still with us. (Millennials like me came of age reading about the pained fertility journeys of Gen-Xers; I know more than one person who thought they had to have a baby by 30 or else never.) The public conversation is also replete with accounts of the heartache of infertility: Michelle Obama recounts the experience in her memoir and told Good Morning America, The biological clock is real because egg production is limited. And I realized that as I was 34 and 35. Happily for the Obamas, they had options: We had to do IVF. Did Senator Tammy Duckworth have to say it any more plainly, or prominently, than when she declared from the stage at the Democratic National Convention this year, My struggle with infertility was more painful than any wound I earned on the battlefield? The woman is a double amputee from grenade fire, for Gods sake. The full array of assisted reproductive technology, including IVF, is rarely covered by insurance, is stressful and time consuming, and though success rates have risen over time, does not provide any sort of guarantee of a healthy pregnancy or baby. As Barbara Collura, president of RESOLVE, which advocates for infertility coverage, told me, No little girl is growing up wishing to build her family through IVF. For those who end up needing it, it is a lifeline. In 2022, about 2.5 percent of all births were a result of assisted reproductive technology, adding up to just over 91,000 babies. That number might be quite a bit higher if more people had access, free of disapproval of how they got to that point. Sean Tipton, a spokesman for the American Society for Reproductive Medicine, said: We dont ask people questions like how did your nose get broken? We just cover it and treat it. Somehow for reproductive medicine everyone gets to judge how the patient got where they are. Often there is no clear answer. Tipton could point to no comprehensive data on the reasons, or even just underlying diagnoses, people seek fertility treatment, but theres at least one clue in the qualitative work of anthropologist Marcia C. Inhorn. In Motherhood on Ice, she interviewed over 150 well-educated women about why they froze their eggs in hope of future parenting. What she found was that most of them hadnt yet found a male partner who was equally ready and willing to parent when they were. Maybe if their male peers had spent a little less time on careers, travel, and finding themselves. On Thursday, Democratic Senate Majority Leader Chuck Schumer said he would bring back the Right to IVF Act back to the floor. Last time around, the only Republicans to vote for it were Susan Collins and Lisa Murkowski. Perhaps Trump will step up and be a leader on fertilization by urging his party to support bills that would do exactly what he promised, making IVF not only legal but accessible through insurance. Probably not. In the meantime, his willingness to say anything for power has gotten a lot of people to say what they really think. The best gift he (and the rest of the royal family) could ever give me would be to please go away. Reply Thread Link "(Exclusive)" Always cracks me up when it comes from them. Reply Thread Link Anyone notice lately the very positive Prince Harry and Meghan Daily Mail articles and negative Prince William and Kate? What gives? Edited at 2024-09-14 12:08 am (UTC) Reply Thread Link lol DailyMail has no agenda other than "stirring shit and getting clicks". Reply Parent Thread Link They live for chaos and chaos only. Reply Parent Thread Link as dumb as they are, i do hope that they have a happy family life. Reply Thread Link I mean, kids is probably the RIGHT answer. But like. With a rich family, he's probably gotten some cool shit over the years. I wanna know more about that. Reply Thread Link Yeah but it was always the norm that he's get cool shit. It meant nothing to him to be gifted like an island or some fancy car. It was expected bc of his status. So he doesn't think it's cool at all. Reply Parent Thread Link Yeah but that doesn't satisfy my curiosity!! Reply Parent Thread Link They remind me so much of Brangelina with their ~activism, `the importance of their kids in their brand. I wonder how long it will take for Harry and Meghan to implode, like Brange Reply Thread Link Pitt was always fake with his activism, but Jolie has been all over the world and has spoken up for so many people. And her kids do seem like her entire life, not just a brand. Their relationship imploded because he beat his wife and at least one child. Comparing the two couples feels so weird. Reply Parent Thread Link People romanticize them just like they did Brangelina. We don't know how Harry and Meghan will implode. Nobody expected Brad Pitt to be an abuser either. I don't expect Harry to be violent, but i wouldn't be surprised by some scandal when the divorce eventually happens Reply Parent Thread Link Mte, Angie is such a humanitarian at heart. Even way earlier in her career I think she was piloting planes and flying aid to places. Edited at 2024-09-14 03:42 pm (UTC) Reply Parent Thread Link i knew he was going to say his kids after reading the headline. these people are so cheesy. imagine running to people magazine just to share that Reply Thread Link It's funny that they try to portray themselves as like these important world leaders or just very important people but they're acting like c-list celebs telling the entertainment and fashion magazines their day to day business to stay relevant. Reply Parent Thread Link He's not even 40 yet??? He looks like shit. Reply Thread Link can meghan can tell what he's thinking when she looks at the back of his head? Reply Parent Thread Link It's like the entire history of colonialism by his family just hit him with a bat in revenge Reply Parent Thread Link Hes British, white, and part of the royal family. Hes got a lot stacked against him, genetically. Reply Parent Thread Link The Sussex squad will have you know that he is looking extra sexy these days. Most importantly, they way the sun hits his head blinds you, making you unaware hes holding on to 3 single hair strands for dear life. Reply Parent Thread Link His ginger genes came through and he is a proud papa. He also inherits a shit ton of money from the Queen on his birthday, a cool 7-8 million dollars. I want that. Reply Thread Link Montecito?! Reply Thread Link The Polo documentary will be boring but he really found his niche with the Invictus Games and I think thats nice Reply Thread Link Hes only 40???!! Reply Thread Link A Polo Documentary? How thrilling. Reply Thread Link While Latin America and the Caribbean have shown the slowest growth in terms of energy transition in the last decade, Brazil and Chile performed extremely well, ranking in the top 20 performers worldwide, according to the World Economic Forums 2024 Fostering Effective Energy Transition Report. Both Latin American countries now have a diverse energy mix, with renewable sources contributing significantly to their energy demand. Further growth is expected to be seen in the coming years as both countries continue to develop their renewable energy capacity, with Brazil focusing on a gradual shift away from oil and gas production and Chile supporting the cleantech industry through lithium production. Brazil and Chile have approached the energy transition differently but have several overlapping achievements. They both sought to enhance energy security by investing in a diverse mix of energy sources, increase the share of renewable energy, introduce carbon pricing mechanisms, and establish regulatory environments to support an energy transition. The report showed that Brazil doubled down on its commitment to hydropower and biofuels to boost its renewable energy capacity, as well as introducing new wind and solar energy capacity. Renewable energy now contributes nearly half of Brazils energy demand. As the current president of the G20, Brazil is also supporting efforts to decarbonise hard-to-abate sectors through the Industrial Deep Decarbonization Initiative. Meanwhile, Chile has increased its renewable energy capacity significantly in recent years, with solar and wind power now contributing around 35 percent of the countrys energy demand. Chiles clean energy agenda has helped drive projects forward, aimed at achieving carbon neutrality by 2050. Policy Supporting Brazils Transition This September, the Senate voted in favour of the fuel of the future (combustivel do futuro) bill, which is expected to help accelerate Brazils green transition. The bill establishes national programmes for green diesel, sustainable aviation fuel (SAF), and biomethane, aimed at decarbonising hard-to-abate industries. Estimates suggest that the programme could help boost the annual demand for liquid biofuels by an additional 11.7Bl and biomethane by an extra 3.4Bm3, according to the consultancy firm Oliver Wyman. This could lead to an additional $10.4 billion of investment in the sector. In March, the government also approved the Paten energy transition programme, which will provide funds from tax and other credits owed to companies by the federal government. The programme will include funding for companies investing in green energy and clean tech. One of the main priorities of the programme will be the expansion of the production and transmission of solar, wind, biomass, biogas, natural gas, and hydroelectric plants of up to 50MW. In August, the government voted in favour of a new legal framework for low-carbon hydrogen in Brazil, establishing the National Low-Carbon Hydrogen Policy. It also saw the launch of the Brazilian Hydrogen Certification System and the Special Incentive Regime for Low-Carbon Hydrogen Production (Rehidro), a tax regime designed to encourage technological and industrial development. This is expected to support the deployment of production facilities for low-carbon hydrogen, renewable hydrogen, and green hydrogen. Chiles Transition and Challenges In Chile, the government has accelerated the energy transition through the establishment of a stable regulatory framework, the promotion of public-private partnerships, and strong climate policies. In addition to conventional renewable energy sources, Chile has committed to developing its non-traditional green energy sources. Chile plans to develop its longest power transmission line to further accelerate its green transition. The line will transport up to 3,000 MW of electricity between the northern province of Antofagasta and the capital city Santiago. Despite rapidly increasing its green energy capacity, Chiles transmission infrastructure has lagged in recent years. The Kimal-Lo Aguirre transmission project is expected to help connect more renewable energy projects to the grid. Chile still faces challenges in establishing greater stability in its renewable energy mix, as it experiences an unequal distribution of clean energy throughout the day. This forces Chile to continue relying on fossil fuels during the hours that renewable energy is not being produced. Ana Lia Rojas, the Executive Director of the Chilean Association of Renewable Energy and Storage (ACERA), explained, In Chile, we have a very high contribution of renewable energies during solar hours due to the large number of solar parks. Rojas added, The issue we must address is how to distribute this high percentage of renewable penetration in the rest of the hours of the day. One way in which Chile will tackle the power reliability issues is by developing its utility-scale battery storage capacity. In May, three utility-scale battery energy storage projects were announced, to be co-located with solar plants. Chile is now set to become the second-largest battery market in the Americas, after the U.S. In 2023, Chile opened 12 storage projects, with a total capacity of 1.3 GW. It currently has 85 energy storage projects, totalling 6.4 GW, in various stages of development. By Felicity Bradstock for Oilprice.com More Top Reads From Oilprice.com After years of high oil prices and tight control of the market, the tide is turning for OPEC+, and Big Oil may be about to crumple under the weight of its own distribution. The Brent crude benchmark recently fell below $70 for the first time in three years, and this week the International Energy Agency (IEA) announced that they expect prices to keep on falling. Given the current weak demand and lots of oil coming from the non-Opec countries, mainly from America and others, we may well see downward pressure on the price, said Fatih Birol, the head of the EIA. Oil prices have been soaring since Russias invasion of Ukraine spurred sweeping energy sanctions, creating favorable conditions for a tight supply of global crude and considerable market control on the part of OPEC+. But now, the mood among traders and speculators has turned sharply in recent weeks on fears of weaker growth in China and the US, prompting Opec to delay a plan to start reversing more than 2mn barrels a day of cuts, the Financial Times reported on Thursday. According to Birol, the main culprit for the slowdown is the weakening of Chinese oil demand. In the last 10 years, around 60 per cent of global oil demand growth has come from China. Now the Chinese economy is slowing down, Birol said. Years of breakneck growth have peaked, and Beijing is now facing a protracted property crisis characterized by legions of unfinished housing, ballooning debts, weak consumption patterns, and blistering unemployment rates at the same time that the country is graduating its biggest cohort of students on record 11.9 million. This all poses a big problem for Big Oil. For the past three years, shareholders have been enjoying bumper payouts as oil supermajors went buyout crazy. Only this quarter, ExxonMobil Corp., Chevron Corp., Shell Plc, TotalEnergies SE and BP Plc plan to repurchase more than $16.5 billion of shares, Bloomberg reports. On an annualized basis, thats equal to $66 billion a year, or about 5.5% of Big Oils current combined market value. But now, investment banking firm Jefferies Financial Group Inc. is warning that this model is quickly becoming unsustainable as oil prices drop off, and that about half of international oil companies cant sustain their distribution without becoming increasingly indebted. Indeed, the turnaround will prove to be a stress test for many oil companies, which may not survive the downturn unscathed. It appears that the predominant boom-time OPEC+ strategy withholding supplies to juice prices and revenues for its 23 members is sustainable may be equally unsustainable. Recent attempts to boost the market by delaying supply increases have had virtually none of OPECs desired impact on oil prices, instead coinciding with the Brent benchmarks current three-year low. Wall Street is now wondering whether OPEC and its allies will make a complete pivot and reverse output curbs to try to kickstart a market-share war. India is strongly voicing its opinion that OPEC should do just that. India is the world's third biggest oil importer and consumer, and wants to lower prices at the pump while also meeting its own climbing demand. And Indias position holds increasing sway in oil markets as Chinas presence wanes. With China already falling behind its forecast trend, other Asian countries will become increasingly indispensable to growth in oil demand, the IEA says. However, OPEC remains far more optimistic about oil forecasts than the IEA. While the EIA forecasts that this years global oil demand will grow by 903,000 barrels a day, OPEC projects a growth rate of 2.03 million barrels a day this year and 1.74 million barrels a day next year. By Haley Zaremba for Oilprice.com More Top Reads From Oilprice.com The NESO will play a crucial role in ensuring UK energy security, supporting the transition to net zero, and minimizing consumer bills. The ESO will transition to public ownership and become the National Energy System Operator (NESO) on 1 October. National Grid has agreed to sell its Electricity System Operator (ESO) to the UK government for 630m. National Grid has struck a deal to sell its Electricity System Operator (ESO) to the government for 630m, paving the way for the division to transition into public ownership. The FTSE 100 utility said on Friday that it expected the transaction to be completed on 1 October, when the government and regulator Ofgem aim to establish the National Energy System Operator (NESO). National Grids ESO operates the control room that balances power supply and demand in real time. Its sale comes after the passage of the Energy Act in October 2023, which will enable the ESO to become a public corporation that will act as the UKs independent system operator and planner. The government has said the NESO will play a vital role in supporting the UKs energy security, transition to net zero and minimising customers bills. The corporation is due to be chaired by Paul Golby, the former chief executive of E.ON UK. National Grid said the final value of the sale of its ESO would be subject to customary closing adjustments. The company operates energy networks on both sides of the Atlantic and earns the majority of its revenue from regulated settlements levied on energy bills. Energy Secretary Ed Miliband said on Friday: Today marks a milestone for Britains energy system as we bring the system operator into public ownership to provide impartial, whole-system expertise on building a network that is fit for the future. The new National Energy System Operator has a huge role to play in delivering our mission to make Britain a clean energy superpower. This is another step forward by a government in a hurry to deliver for the British people. John Pettigrew, National Grids CEO, commented: We look forward to working together with NESO to continue to drive the UKs energy transition forward at pace; accelerating the decarbonisation of the energy system for the digital, electrified economies of the future. In May, National Grid announced a 7bn capital raise as part of efforts to double its capital spending over the five years to March 2029, ultimately expected to amount to 60bn. The announcement came alongside a set of full-year results in which the firm declared operating profit dipped eight per cent to 4.5bn, which it attributed to non-cash exceptional charges. By CityAM More Top Reads From Oilprice.com NEW YORK It's a practice that's about as American as apple pie accusing immigrant and minority communities of engaging in bizarre or disgusting behaviors when it comes to what and how they eat and drink, a kind of shorthand for saying they don't belong. The latest iteration came at Tuesday's presidential debate, when former President Donald Trump spotlighted a false online tempest around the Haitian immigrant community of Springfield, Ohio. He repeated the groundless claim previously spread by his running mate, JD Vance, that the immigrants were stealing dogs and cats, the precious pets of their American neighbors, and eating them. The furor got enough attention that officials had to step in to refute it, saying there was no credible evidence of any such thing. Such food-based accusations are not new. Food-related scorn and insults were hurled at immigrant Chinese communities on the West Coast in the late 1800s as they started coming to the U.S. in larger numbers, and in later decades spread to other Asian and Pacific Islander communities like Thai or Vietnamese. As recently as last year, a Thai restaurant in California was hit with the stereotype, which caused such an outpouring of undeserved vitriol that the owner had to close and move to another location. Behind it is the idea that "you're engaging in something that is not just a matter of taste, but a violation of what it is to be human," says Paul Freedman, a professor of history at Yale University. By tarring Chinese immigrants as those who would eat things Americans would refuse to, it made them the "other." Other communities were criticized for the perceived strangeness of what they were cooking when they were new arrivals, such as Italians using too much garlic or Indians too much curry powder. Minority groups with a longer presence in the country still face racist stereotypes think derogatory references to Mexicans and beans or insulting African Americans with remarks about fried chicken and watermelon. "There's a slur for almost every ethnicity based on some kind of food that they eat," says Amy Bentley, professor of nutrition and food studies at New York University. "And so that's a very good way of disparaging people." That's because food isn't just sustenance. Embedded in human eating habits are some of the very building blocks of culture things that make different peoples distinct and can be commandeered as fodder for ethnic hatred or political polemics. "We need it to survive, but it's also highly ritualized and highly symbolic. So the birthday cake, the anniversary, the things are commemorated and celebrated with food and drink," Bentley says. "It's just so highly integrated in all parts of our lives." Because "there's specific variations of how humans do those rituals, how they eat, how they have shaped their cuisines, how they eat their food," she adds, "It can be as a theme of commonality or it can be a form of distinct division." Insults can come from the how as well eating with hands or chopsticks instead of forks and knives, for example. It can be seen in class-based bias against poorer people who didn't have the same access to elaborate table settings or couldn't afford to eat the same way the rich did and used different, perhaps unfamiliar ingredients out of necessity. During the Second Gulf War, for example, Americans angry at France's opposition to the U.S. invasion of Iraq started calling french fries "freedom fries." A much-used insulting term in the U.S. for Germans during the world wars was "krauts" a slam on a culture where sauerkraut was a traditional food. "Just what was wrong with the way urban immigrants ate?" Donna R. Gabaccia wrote in her 1998 book, "We Are What We Eat: Ethnic Food and the Making of Americans." In reviewing attitudes of the early 20th century and its demands for "100% Americanism," she noted that "sauerkraut became 'victory cabbage'" and one account complained of an Italian family "still eating spaghetti, not yet assimilated." Such stereotypes persisted despite the fact that the American palate significantly expanded in recent decades, thanks in part to the influx of those immigrant communities, with grocery stores carrying a wealth of ingredients that would baffle previous generations. The rise of restaurant culture also introduced many diners to authentic examples of cuisines. After all, Bentley says, "when immigrants migrate to a different country, they bring their foodways with them and maintain them as they can. It's so reminiscent of family, community, home. They're just really material, multisensory manifestations of who we are." Haitian food is just one example of that. Communities like those found in New York City and south Florida added to the culinary landscape, using ingredients like goat, plantains and cassava. So when Trump said immigrants in Springfield whom he called "the people that came in" were eating dogs and cats and "the pets of the people that live there," the echoes of his remarks played into not just food but culture itself. The persistence of food stereotypes and outright insults, whether based in fact or completely made up shows that just because Americans eat more broadly, it doesn't mean that carries over into tolerance or nuance about other groups. "It's a fallacy to think that," Freedman says. "It's like the tourism fallacy that travel makes us more understanding of diversity. The best example right now is Mexican food. Lots and lots of people like Mexican food AND think that immigration needs to be stopped. There's no link between enjoyment of a foreigner's cuisine and that openness." More coverage: 15 formerly popular foods in America that are rarely eaten today 15 formerly popular foods in America that are rarely eaten today Robin pie Pickled herring Ambrosia salad Jello molds Salisbury steak TV dinners Malted milkshakes Ovaltine Fruitcake Necco wafers Orange drink Cheese in a can Olive loaf Succotash Ice cream sodas WASHINGTON President Joe Biden brushed off a threat from Russian leader Vladimir Putin about war against the West if Ukraine's allies allow it to use weapons deeper inside Russia. It's a shift that Kyiv has pleaded for. Ukraine and many of its supporters in the U.S. and Europe want Biden to lift restrictions on Western-provided long-range weapons, and there are signs Biden might shift the administration's policy. But the U.S., concerned about any step that could lead Russia to escalate the conflict, moved cautiously before granting a series of earlier requests from Ukraine for specific arms, including advanced tanks, missiles and rocket systems and F-16 fighter jets. Russian officials issued similar threats before many of those past decisions. Ukraine was a key topic for Biden and British Prime Minister Keir Starmer who met Friday following this week's visit to Kyiv by their top diplomats, who came under fresh pressure to loosen weapons restrictions. So far, the U.S. allowed Ukraine to use American-provided weapons only in a limited area inside Russia's border with Ukraine. Two U.S. officials familiar with discussions said they believe Starmer would seek Biden's approval to allow Ukraine to use British Storm Shadow missiles for expanded strikes in Russia. Biden's approval may be needed because Storm Shadow components are made in the U.S. The officials, who spoke on condition of anonymity to share the status of private conversations, said they believed Biden would be amenable. No announcement was expected Friday, several U.S. officials said. Starmer said talks would continue when global leaders convene for the annual U.N. General Assembly gathering this month. This was a really important invitation from the president to have this level of discussion about those critical issues,'' he told reporters after the White House meeting. He added, Ukraine has a right to self-defense, and weve stood united. Putin said a day earlier that allowing long-range strikes "would mean that NATO countries, the United States and European countries, are at war with Russia." His remarks were in line with the narrative the Kremlin has promoted since early in the war, accusing NATO countries of de-facto participation in the conflict and threatening a response. Speaking to journalists shortly before the talks with Starmer, Biden said he wanted to make "clear that Putin will not prevail in this war." Meanwhile, the U.S. State Department announced new sanctions on Russian state media Friday, accusing a Kremlin news outlet of working with the Russian military and running fundraising campaigns to pay for equipment for soldiers fighting in Ukraine. While the outlet, RT, has previously been sanctioned for its work to spread Kremlin propaganda and disinformation, the new allegations suggest its role goes far beyond influence operations. Instead, U.S. Secretary of State Antony Blinken said, RT is a key part of Russia's war machine and its efforts to undermine its democratic adversaries. RT wants its new covert intelligence capabilities, like its longstanding propaganda disinformation efforts, to remain hidden, Blinken told reporters. Our most powerful antidote to Russias lies is the truth. Its shining a bright light on what the Kremlin is trying to do under the cover of darkness. RT has also created websites posing as legitimate news sites to spread disinformation and propaganda in Europe, Africa, South America and elsewhere, officials said. They say the outlet has also expanded its use of cyber operations with a new unit with ties to Russian intelligence created last year. The developments came as Russia accused six British diplomats of spying Friday and said it decided to expel them. The U.K. said the completely baseless move came weeks ago and was linked to its action in May to revoke the credentials of an attache at the Russian Embassy and limit Moscows diplomatic activities in London. Russias Federal Security Service said it received documents indicating the diplomats were sent to Russia by a division of the U.K.'s Foreign Office whose main task is to inflict a strategic defeat on our country, and that they were involved in intelligence-gathering and subversive activities. The FSB warned that if other diplomats are found to be carrying out similar actions, it will demand early termination of their missions to Russia. Ukrainian President Volodymyr Zelenskyy pressed the U.S. and other allies to allow his forces to use Western weapons to target Russian air bases and launch sites far from the border as Russia stepped up assaults on Ukraine's electricity grid and utilities before winter. "The war must become more difficult for Russia that is the only way to make them realize it must end," he posted Friday on social media. Zelenskyy's appeal garnered support in U.S. and European security and diplomatic circles, including from some former U.S. generals and diplomats, lawmakers and security analysts. They argue that Russia's previous threats of escalation against the West proved hollow and that the U.S. restrictions on weapons are making it impossible for Ukraine to gain the battlefield momentum it needs. Even a few Ukrainian strikes with heavier weapons on military targets deeper into Russia would put more strain on Russian logistics, troops and other resources, said George Barros, a security analyst for the Institute for the Study of War. Defense Secretary Lloyd Austin stressed Friday that decisions on what military support to provide Ukraine were complex, saying, "There is no silver bullet when it comes to things like this." DAKOTA CITY, Neb. A red 5-gallon gas can. Three spent .44-caliber shell casings and a live round of the same caliber. Cotton swabs used to collect DNA samples. Jurors in the Jason Jones murder trial were able to see and, in some cases, handle the bagged exhibits, among the dozens of items, photos and videos meticulously entered into evidence Friday during the second day of evidence and witness testimony. For nearly four hours, Assistant Nebraska Attorney General Sandra Allen presented photos and items to Nebraska State Patrol investigator Tim Doggett, who identified each of them and detailed how investigators collected and processed them from the interior and exterior of Michele Ebelings Laurel, Nebraska, home on Aug. 4, 2022. It had the smell of gasoline and burnt material, Doggett said of the homes interior, where photos were shown of a pattern on the carpet that Doggett said was a trail in which a fire accelerant had been poured. Near the trail was the gas can, which still contained more than a gallon of liquid when taken into evidence. Outside, Ebelings body had been placed in the grass and covered with a sheet. Photos showed burnt clothing on her body, and her left leg had a large burn. A spent .44-caliber shell casing was found stuck in her groin area. A similar shell casing was found at the back door. Gunshot wounds were observed on Ebelings upper chest and forehead, her body smelled of gasoline and her clothing was wet, Doggett said. Both gunshot wounds showed signs of stippling, or small burns from gunpowder, Nebraska State Patrol investigator Jeremy Hampton testified. Judging from the amount of stippling, he said, the gun had been fired approximately 2 feet from Ebeling. Jones, 44, has pleaded not guilty to four counts each of first-degree murder and use of a firearm to commit a felony and two counts of first-degree arson for the shooting deaths of Ebeling, 53, in her home and Gene Twiford, 86, his wife, Janet Twiford, 85, and their daughter Dana Twiford, 55, in their home and setting both houses on fire. Much of Fridays proceedings focused on the investigation into the fire at Ebelings home, a small one-bedroom house at 209 Elm St. she shared with Brian Welch. A truck driver, Welch testified briefly Friday that he had been hauling a load of cattle at the time of Ebelings death. He had spoken with her on the phone hours before her death, ending the conversation at 1 a.m. on Aug. 4. Welch said neither he nor Ebeling knew Jones or his wife, Carrie, and he had spoken only once to Carrie Jones, who turned and walked away from him when he complimented her on her yard, Welch said. I didnt know their names. I didnt know anything about them, Welch said. Photos from the Ebeling homes interior showed burnt areas of carpet and paint in the living room and bedroom walls that had bubbled from the heat of the fire. A nightstand and its contents next to the bed were charred. In the laundry room near the back door, where firefighters had found Ebeling lying on her right side, a large pool of blood stained the floor. A spent shell casing was found on the steps outside the door, a live round near the body. Investigators zeroed in on a hole near the deadbolt lock on the wooden back door. Tests showed it lined up with a hole in a stack of boxes across the room from the door. Detectives moved the boxes and behind them found a spent shell casing and a hole in the wall from which they recovered a bullet. A hole in a second box also was discovered, and a second bullet was removed from a hole in the wall behind it. While investigators processed the scene, a similar one was beginning to play out three blocks away. Gail Curry had been called at about 9:30 a.m. and informed of a fire at the home of her parents, Gene and Janet Twiford. Curry testified she raced to the house at 503 Elm St. and walked to the back door, where exiting firefighters told her the fire was out. Authorities would not let Curry enter the house to check on her parents and sister, Dana, who lived with them. I would ask are they in there, and no one would respond, Curry testified. Later, Curry said, Laurel police chief Ron Lundahl informed her that her parents and sister were dead. The trial will resume Monday in Dakota County District Court, where it has been moved from Cedar County after a judge determined it would be hard for Jones to receive a fair trial there. Jones continues to be absent after his lawyer asked he be excused from appearing in person because he cant sit upright for long periods of time because of severe burn injuries he received the night of the shootings. He remains in custody in a state corrections facility in Lincoln. The candidate of the Peoples Democratic Party (PDP), Seyi Makinde, was declared the winner of the Oyo State governorship election that held on March 9. Mr Makinde won 28 out of the 33 local governments in the state, leaving the All Progressives Congress (APC) candidate, Adebayo Adelabu, with only five. The governor-elect received 515, 621 votes to defeat his closest rival from the APC, Mr Adelabu, who polled 357, 982 votes. The margin of defeat is 157,639 votes. Forty-two political parties contested the governorship election, even though many of them dropped their ambition at the last minute to align with either the PDP or the APC. Their names remained on the ballot, notwithstanding and they received votes. The defeat of the APC by the opposition was however not shocking for many Nigerians as the PDP earlier won the state in the February 23 presidential election. PREMIUM TIMES in this analysis reviews how the activities of the incumbent governor, Abiola Ajimobi, helped his party lose the polls. Background Mr Ajimobi contested in 2011 under the Action Congress of Nigeria (ACN) and was elected in a keenly contested election as the governor of the Oyo State. After his four-year first term, he contested for a second term in an election that took place on April 11, 2015, under the APC for re-election against two of his predecessors in office, Alao Akala and Rashidi Ladoja. He was re-elected and became the first person to occupy the seat twice in the state. This fetched Mr Ajimobi the nickname: Kosheleri (It has not happened before). After his re-election, many believed that Mr Ajimobi relaxed and felt too fulfilled to have broken a jinx. He allowed his re-election to enter his head. He believed he has done what no man can do again. He neglected pensioners and talked to elders anyhow. He left some projects abandoned and allowed school children to even dislike him, an octogenarian, Isiaka Alimi told PREMIUM TIMES. Also, Mr Ajimobi enjoyed the confidence of the partys national leadership to the extent of being entrusted with the reconciliation of members in other states. However, he failed in reconciling the crisis of APC within his state. This included the crisis between himself and the Ministry of Communications, Adebayo Shittu. Having noticed that Mr Ajimobi was losing his grip in the state, after the presidential election,a national leader of the party, Bola Tinubu, made efforts to bring back those that left the party, including Alao-Akala, to strengthen APCs chances at the governorship election, but all efforts failed. The battle with Olubadan One of the straws that perhaps broke the camels back was the review of Olubadan Chieftaincy declaration by Mr Ajimobi. This pitched the Olubadan of Ibadan, Oba Saliu Adetunji, against the governor and the royal family alongside with some core Ibadan indigenes are yet to forget the embarrassment. The throne is bigger than anybody. If the Governor believes he has constituted authority, we have seen now. The relationship between the palace and Ajimobi is like cat and mouse. He spoke recklessly, and now, he finds himself in the pit, a chief in the palace of Olubadan, who does not want his name on print said. This move was a plan to render Olubadan useless. The steps by Ajimobi was for a political reason, using the new kings to his advantage rather than having to rely on just the Olubadan. He also told our correspondent that Mr Adelabu did not offend anyone, but the fact that he was the anointed candidate of Mr Ajimobi made people withdraw their support for him. Adelabu did not offend anyone. He fought a good fight, and we are proud of how the race went. But you know that cattle that walk with the dog will eat faeces, he said in Yoruba. Battles on all fronts Mr Ajimobis perceived poor approach to issues affecting the state also affected the party. In 2018, the Oyo State chapter of the Nigeria Union of Pensioners filed a suit against Mr Ajimobi and others over unpaid N42.3 billion pensions and gratuities to its members. The first term of this government was rosy in term of the fund and 13th month was paid to workers. Such largesse was never extended to the pensioners. The alleged sin of these retired primary school teachers and council retirees is that they did not vote for this government in 2011 and 2015. Another election is coming in 2019, how do you persuade more than 16,000 retired primary school teachers and council retirees, and their dependants to vote for a government that had turned them into nonentities?, Gbadegesin Akande, the head of Oyo pensioners had said then. Also, workers of Oyo-owned schools and students have clashed with the APC led government in the state. For several months, Ladoke Akintola University of Technology (a school co-owned Oyo and Osun) was shut due to the inability of the government to fund the school. This was also obtainable in the states colleges of education and polytechnics. In 2016, secondary school students in Oyo state protested over the new educational policy in the state which aimed at privatising school ownership. Some protesting students destroyed a billboard of the state governor. Also, LAUTECH students played a major role in the fall of APC in Oyo State, many opined. In 2017 while LAUTECH was shut, a video of Mr Ajimobi went viral where he rudely addressed protesting students. Ajimobis arrogance is so disturbing. You will recall how he displayed a lack of empathy when students protested eight months of closure of their school. Ajimobi said the students did not respect constituted authority and told us to do our worst. Now, we have done our worst, and APC government is out of the state, Kazeem Adefarati, a student leader in LAUTECH told PREMIUM TIMES. How can a governor say to protesters, Go and do your worst. Am I the person that closed your school? The state lacks responsible and responsive leadership in Oyo, and if APC continues, there may be more problems. After the protest, Mr Ajimobi demanded an apology from the students saying they were rude to him. The example of what happened in Oyo is fast happening everywhere even in Kwara, Mr Adefarati said. Battle with Ayefele, others The demolition of Yinka Ayefele fresh FM also ignited the fire of hatred people have for APC government. You could remember that Seyi Makinde went to music house, consoled Ayefele over the incident, Ajayi Aliu said. Even though they later reconciled and built the music house, his arrogance and approach to issues did not save him and his party. Another voter, Funke Ogunrounmu who spoke with PREMIUM TIMES said: You dare not talk to him while seated except he asked you to do so. There are lots of issues that left to his defeat. Imagine, asking parents of protesting pupils in 2016 to apologise before opening their school. He is no God. I can tell you for free that Ajimobi attitude of Imperial Majesty-ship brought the APC in Oyo to where they are today. More reactions Funsho Soremekun, a public affairs analyst, told PREMIUM TIMES that the Oyo State election shows that strength belongs to the people. What happened in Oyo shows that strength belongs to the people. They maintain the right to elect and remove. That should send a signal to other government officials that they are being examined by people and if they fail, they will be removed, he said. Also, another, Sodiya Waheed said: Not just Oyo but in all parts of the country. People have spoken, and failed leaders are being thrown out. It is, however, a shame that they use their failure to affect that of their party candidates, he said. EFCC has re-arraigned Abdullahi Babalele, a son-in-law of the former Vice President and Presidential Candidate of the Peoples Democratic Party, in the 2019 general elections, Atiku Abubakar. Babalele was re-arraigned before Justice Chukwujekwu Aneke on a 2 count charge bordering on allegations of money laundering. According to the charge signed on behalf of the EFCC by its prosecutor, Rotimi Oyedepo, Mr Babalele committed the offence in February 2019. When the charges were read to him, Babalele pleaded not guilty. Then his lawyer, Mike Ozekhome (SAN) argued his application seeking the permission of the court for the release of his international passport to enable him to travel abroad for medical treatment. The EFCC prosecutor, Rotimi Oyedepo, raised objections to the request insisting that there was no materials placed before the court to warrant the application being granted. Oyedepo also insisted that there is no evidence that the defendant cannot be treated in the country. He asked the court to dismiss the application and instead order for an accelerated trial. Justice Aneke has fixed Oct 11 for his ruling. Babalele was first arraigned before Justice Nicolas Oweibo during the courts annual vacation and was granted bail in the sum of N20m. Justice Nicholas Oweibo admitted Babalele to bail in the sum of N20million with one surety in like sum. The judge said the surety must be resident in Lagos and must produce evidence of means through an affidavit. Babalele was also directed to deposit his passport, which has been with the EFCC, with the court. The two counts against Abdullahi reads; COUNT 1: That you Abdullahi Babalele on or about the 20th day of February 2019 in Nigeria within the jurisdiction of this Honourable Court. procured Bashir Mohammed to make a cash payment of the sum of $140,000. 00 (One Hundred and Forty Thousand United State Dollars) without going through a financial institution, which sum exceeded the amount authorized by Law and you thereby committed an offence contrary to Section 18(c) of the Money Laundering (Prohibition) Act, 2011 as amended and punishable under Section 16(2)(b) of the same Act. Count 2: That you Abdullahi Babalele on or about the 20th day of February 2019 in Nigeria within the jurisdiction of this Honourable Court, aided Bashir Mohammed to make a cash payment of the sum of $140,000. 00 (One Hundred and Forty Thousand United State Dollars) without going through financial institution, which sum exceeded the amount authorized by Law and you thereby committed an offence contrary to Section 18(a) of the Money Laundering (Prohibition) Act, 2011 as amended and punishable under Section 16(2)(b) of the same Act. BLOOMINGTON The Bloomington-Normal NAACP has announced the awardees for its Freedom Fund Banquet, as well as the keynote speaker for the event on Saturday, Sept. 21. State Rep. Carol Ammons, D-Urbana, will be the keynote speaker for the evening, which is themed "All In!" According to a Friday news release, the local branch of the NAACP will recognize four people for their help with the needy and work for a more inclusive community. Dorothy S. Brooks will receive the 2024 Mr. Roy Wilkins Award for her help coordinating the Back 2 School Alliance, which helps thousands of students and families each year get school supplies for free. She has been honored in the past for her work with local chapters of Historic Black Fraternities and Sororities like Sigma Gamma Rho Sorority Inc. and Zeta Phi Beta Sorority Inc. Shaun Harden will receive the 2024 Mr. Harry Hightower Award for his work in the Black Student Union at University High School fighting racism and discrimination and for his current position as first vice president of the B-N NAACP's Youth Council. Doris Houston will receive the 2024 Mr. Merlin Kennedy Community Service Award. Houston holds a Ph.D. in human development and family studies and served several years as chief equity and inclusion officer at Illinois State University, where she still teaches. Takesha Stokes will be named the 2024 Woman of the Year. Stokes received the Mr. Roy Wilkins Award in 2015 and is a lifelong member of the B-N NAACP. She is also heavily involved with Mt. Pisgah Baptist Church and its food pantry, working to keep it open and safe during the COVID-19 pandemic. Imane Badarou, a student in the Mennonite College of Nursing at Illinois State University, will receive the NAACP-AMVET Nursing Scholarship. Friday's press release said awarding Badarou the scholarship helps African Americans succeed in health care. "The NAACP desires to assist African Americans in the healthcare field of study to minimize racial and ethnic disparities" in medicine, the release said. The Freedom Fund Banquet will take place at the DoubleTree by Hilton Hotel, 10 Brickyard Drive, Bloomington. Doors open at 5:30 p.m. and the banquet will run from 6 to 9 p.m. Tickets can be purchased until noon on Wednesday, Sept. 18, by following this link: https://www.zeffy.com/en-US/ticketing/42ea5532-fd77-4e02-abb5-cb9ec4f6ee11 For more information, contact Willie Holton Halbert at dime54@aol.com or 309-287-1954 Close Gamma Phi Circus performer Avery Byrd flies through the air and punches through a paper hoop during the circus group's first show on Sunday for the Sweet Corn Circus in Normal. Gamma Phi Circus performers juggle clubs during the Illinois State University circus group's show Sunday for the Sweet Corn Circus in Normal. From right, Gamma Phi Circus clowns Samantha Skinner as Officer Sydney Sluthy dances and high kicks with Miles Maxwell as Bippy during a Sweet Corn Circus show Sunday in Normal. Gamma Phi Circus performers run unicycle-mounted stunts Sunday for one of their shows at the Sweet Corn Circus in Normal. Gamma Phi Circus performers run line-dance numbers during a show finale Sunday in Uptown Normal during the Sweet Corn Circus festival. Photos: Gamma Phi Circus performs make big stunts and gags at sweet corn fest Big crowds filled uptown Saturday and Sunday for the annual Sweet Corn Circus in Uptown Normal. Gamma Phi Circus at ISU put on stunt-filled shows for all ages. Gamma Phi Circus performer Avery Byrd flies through the air and punches through a paper hoop during the circus group's first show on Sunday for the Sweet Corn Circus in Normal. Gamma Phi Circus performers juggle clubs during the Illinois State University circus group's show Sunday for the Sweet Corn Circus in Normal. From right, Gamma Phi Circus clowns Samantha Skinner as Officer Sydney Sluthy dances and high kicks with Miles Maxwell as Bippy during a Sweet Corn Circus show Sunday in Normal. Gamma Phi Circus performers run unicycle-mounted stunts Sunday for one of their shows at the Sweet Corn Circus in Normal. Gamma Phi Circus performers run line-dance numbers during a show finale Sunday in Uptown Normal during the Sweet Corn Circus festival. Cultural vibes favored by tourists in upcoming holiday 08:23, September 14, 2024 By Cheng Si ( China Daily Based on the buying trends of Chinese travelers who will embrace the three-day Mid-Autumn Festival holiday from Sunday, tourism service providers have found that short-distance tours with loose schedules are gaining in popularity. Dating back thousands of years, the annual holiday is one of China's most traditional festivals and falls on the 15th day of the eighth month in the Chinese lunar calendar. It is normally celebrated with family reunions, worshiping the full moon, and praying for a good harvest and happiness. Travel portal Fliggy said that as of Sept 4, tour bookings including hotel, car rental services and tickets for tourism attractions have experienced double-digit growth on its platform in the recent week, when compared to pre-COVID-19 levels. Allowing for the short duration of the holiday from Sunday to Tuesday with Saturday for work in lieu of the day off on Monday, this year short-distance tours to places near one's working or living cities are favored, Fliggy said. Tourism attractions with strong Chinese cultural vibes and folk activities such as temple fairs and rabbit-shaped lanterns, common traditions during this particular holiday, have received an increase in bookings for the festival. Beijing, Hangzhou, Shanghai and Shenzhen are the top five destinations this year, said Fliggy, which attributes their high popularity to the rich number of tourism resources both in the city centers and outskirts, as well as the abundance of convenient transportation options within the cities and to neighboring towns and tourist attractions. Fliggy found its users are also focusing more on enjoying traditional rituals to celebrate the Mid-Autumn Festival, with searches for tours concerning temple fairs, as well as moon and lantern appreciation surging on the platform by an average of 60 percent. Customers have also shown a greater interest in taking photos wearing hanfu traditional Chinese clothing during their trips. Li Qing, 33, from Shanghai, said: "I've planned a family trip with my parents, husband and little daughter to Suzhou, in Jiangsu province, as the city is very close to Shanghai roughly half an hour by high-speed train. The holiday is short and we just need a place to chill and relax." Suzhou is Li's mother's hometown, so it's "a meaningful trip for the family to get some old-world memories during the holiday carrying meanings of reunion," she said. Online travel agency Tuniu said travel bookings to Shanxi and Gansu provinces, which boast cultural relics of temples and Buddhist grottos with a history of thousands of years, are experiencing remarkable growth. The company said that the surge in bookings to these destinations is mainly driven by the recent hit video game Black Myth: Wukong, which is based on the Chinese traditional novel Journey to the West, as well as people's increasing desire for experiencing cultural vibes during the holiday. Travel agencies said many customers plan to have a longer vacation of five or even eight days by asking for several days off work following the holiday, eyeing destinations farther afield or even overseas trips. Fliggy confirmed that overseas travel bookings for the Mid-Autumn Festival holiday this year surpassed levels during the three-day Dragon Boat Festival in June, and short-distance overseas destinations including Japan, Malaysia, South Korea, and Thailand remain the top choices. (Web editor: Tian Yi, Liang Jun) The outgoing President of the Ghana Bar Association, Yaw Acheampong Boafo, has called for a revision of the Free Senior High School (SHS) policy to ensure that students from wealthier backgrounds pay fees. He called for the use of the National Identification Authority data for a means-tested system to prevent the rich from exploiting the system, while fully supporting students from vulnerable and poor backgrounds. Students from comfortable backgrounds who attended expensive basic schools must pay fees at the senior high school level so that resources are freed to fully cater for the poor and vulnerable, Mr Boafo said. He was speaking last Monday at the Annual Conference of the Ghana Bar Association in the Ashanti Regional capital, Kumasi. The conference attracted a large number of legal practitioners, the Chief Justice, Justice Gertrude Sackey Torkornoo; the Attorney General and Minister of Justice, Godfred Yeboah Dame, and other ministers of state; the Vice-Chancellor of the Kwame Nkrumah University of Science and Technology (KNUST), Professor Rita Akosua Dickson; clergymen, members of the Council of State, chiefs and queen mothers. The President, Nana Addo Dankwa Akufo-Addo; the Asantehene, Otumfuo Osei Tutu II, also attended the conference. Basic education Mr Boafo highlighted the importance of prioritizing the improvement of basic education, such as eliminating schools under trees and providing sanitary products for girls in need, over-subsidizing secondary education for those who could afford it. He also referenced recent concerns about the school feeding programme revealed by the Auditor General, calling for a review and improvements to better serve the programmes intended beneficiaries from poorer backgrounds. As a nation, our security is threatened when a chasm develops between the rich and the poor, the urban dweller and the rural dweller, he said. Mr Boafo also called on the government and successive governments to implement policies that would improve and make public school education at the basic level both accessible to the poor and marginalised in society while ensuring quality. In my opinion, the award of government scholarships should be only what it is, that is, informed by real merit, economic and financial need of beneficiaries, especially those from poor backgrounds, and relevance to specific critical areas of study and research, especially when such critical areas of study and research, particularly in science, technology and research programmes that are not offered by local universities. I find it immoral and troubling that government scholarships are given or awarded to persons with political connections and who are already from privileged and rich backgrounds at the expense of brilliant, truly needed individuals and students, Mr Boafo said. Source: graphiconline Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video China will "gradually raise" its retirement age for the first time since the 1950s, as the country confronts an ageing population and a dwindling pension budget. The top legislative body on Friday approved proposals to raise the statutory retirement age from 50 to 55 for women in blue-collar jobs, and from 55 to 58 for females in white-collar jobs. Men will see an increase from 60 to 63. China's current retirement age is among the lowest in the world. According to the plan passed on Friday, the change will set in from 1 January 2025, with the respective retirement ages raised every few months over the next 15 years, said Chinese state media. Retiring before the statutory age will not be allowed, state news agency Xinhua reported, although people can delay their retirement by no more than three years. Starting 2030, employees will also have to make more contributions to the social security system in order to receive pensions. By 2039, they would have to clock 20 years of contributions to access their pensions. The state-run Chinese Academy of Social Sciences said in 2019 that the country's main state pension fund will run out of money by 2035 - and that was an estimate before the Covid-19 pandemic, which hit China's economy hard. The plan to raise retirement ages and adjust the pension policy was based on "a comprehensive assessment of the average life expectancy, health conditions, the population structure, the level of education and workforce supply in China," Xinhua reported. But the announcement has drawn some scepticism and discontent on the Chinese internet. "In the next 10 years, there will be another bill that will delay retirement until we are 80," one user wrote on a Chinese social media site Weibo. "What a miserable year! Middle-aged workers are faced with pay cuts and raised retirement ages. Those who are unemployed find it increasingly difficult to get jobs," another chimed in. Others said they had anticipated the announcement. "This was expected, there isn't much to discuss. "Men in most European countries retire when they are 65 or 67, while women do at 60. This is going to be the trend in our country as well," one Weibo user said. China's huge population has fallen for a second consecutive year in 2023 as its birth rate continues to decline. Meanwhile, its average life expectancy has risen to 78.2 years, officials said earlier this year. According to the World Health Organization, almost a third of China's population - about 402 million people - will be aged over 60 by 2040, up from 254 million in 2019. A demographic crisis unfolding A slowing economy, shrinking government benefits and a decades-long one-child policy have created a creeping demographic crisis in China, our China correspondent Laura Bicker wrote earlier this year. China's pension pot is running dry and the country is running out of time to build enough of a fund to care for the growing number of elderly. Over the next decade, about 300 million people, who are currently aged 50 to 60, are set to leave the Chinese workforce. This is the country's largest age group, nearly equivalent to the size of the US population. So who will look after them? The answer depends on where you go and who you ask. Source: BBC Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video President Nana Addo Dankwa Akufo-Addo has congratulated Efua Ghartey on her historic election as the first female President of the Ghana Bar Association (GBA). According to him, For over a century, the legal profession in Ghana has been dominated by men, but this moment marks a turning point. Efua Ghartey made history when she was elected as the first female president of the Ghana Bar Association (GBA), marking a significant achievement in Ghanas legal profession. In a message on his Facebook page on September 13, President Akufo Addo wrote: "A hearty congratulations to Mrs. Efua Ghartey and Mrs. Victoria Osei-Bonsu Barth on their remarkable victories in this years Ghana Bar Association elections! Mrs. Gharteys determination and perseverance have finally been rewarded as she becomes the first female National President of the Bar Council after three attempts. As a member of the Bar, I am elated to live to see this day when the Bar is led by a woman. As President of the Republic, this victory is even more significant as it coincides with the recent passage of the Affirmative Action Act, making it an even more powerful statement in the journey towards gender equality. "Mrs. Ghartey and Mrs. Barth have shattered the glass ceiling, and in doing so, they have paved the way for many more young women to believe in their dreams and rise to the highest levels of leadership. Their victory is more than a personal triumph; it is a beacon of hope for every woman and girl aspiring to take up space in traditionally male-dominated fields. The cycle has indeed been broken, and the future is bright. Bravo to these two exceptional womenyour achievements will inspire future generations! I wish you and the other National Executives of the Bar Council of the Ghana Bar Association the very best in fulfilling your mandate". Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Institute of Progressive Governance (IPG), has noted that for the country to be successful in dealing with illegal mining popularly called galamsey, the approach must be collective. In a statement issued by IPG in the media, the think tank believes if the galamsey fight is left for only the party in power to deal with, little progress would be made. Over the years, the fight against galamsey has been left for only the party in power. Opposition parties have not been part of the fight, and this is the main reason behind the failures witnessed in this fight the statement said. IPG noted that when government or the party in power is determined to root out galamsey, the opposition takes advantage of it and campaigns against the ruling party, thereby affecting the measures put in place to fight the menace. The think tank also used the opportunity to chastise the former president and flagbearer of the opposition National Democratic Congress, John Dramani Mahama and his party for frustrating the fight against galamsey. While the government might have not gotten everything right in its efforts to root out galamsey, we must also cite the flagbearer of the opposition NDC, John Mahama, for contributing to the mess we see today. His pronouncements in the run-up to the 2020 elections have promoted illegal mining activities. One can say that these miners have been emboldened by the assurance John Mahama gave to them that even if caught and jailed, he would free them. Thats an irresponsible statement to make by anyone who seeks to lead the country the statement further explained. This is what the former president said to the jailed galamseyers when he was campaigning in 2020. WHEN WE COME, WE SHALL GRANT ALL THOSE ARRESTED AND JAILED AMNESTY. THEY WILL COME BACK TO THEIR HOMES AND WORK. How can any fight, irrespective of its scale, achieve any tangible results when the main opposition leader is preaching like this to the very people who are engaging in the massive destruction of our environment? IPG asked. The statement also zeroed in on the roles some key members of the opposition NDC played during the 2020 electioneering campaign. IPG describes it as shocking the intense campaign the NDC embarked on to assure illegal miners of their unflinching support. It is horrifying watching videos of key NDC functionaries assuring illegal miners of unrestrained access to mine in water bodies and forest areas should they vote for Mahama. It was more than shocking to see such influential persons in the NDC supporting the devastation of our environment The think tank further noted that, as a human institution, the party in power would be hesitant in fighting galamsey looking at how the party suffered at the polls in areas where illegal mining activities are rampant. The NPP waged a war against galamsey but lost almost all the seats it occupied in the constituencies where galamsey is rampant due to the NDC assuring those illegal miners of their support, and their promise to them of a return to ply their illegal mining operations should John Mahama win the 2020 elections. With the benefit of hindsight, the party in government would be hesitant in decisively dealing with galamsey at this material moment for fear of losing seats in these constituencies While IPG believes that the calls from organized labour, the clergy and all other groups on President Akufo-Addo to ban mining activities in all its forms may not be bad, it also believes a different approach must be adopted in the fight against galamsey now. The calls on President Akufo-Addo to ban mining activities in all their forms may not be bad, but that is not the best way to handle it. The fight must be collective. It must be nationalistic. It should involve the flagbearer of the NDC and the leadership of the party to commit to the fight by signing a pact, to be supervised by all these groups calling on the government to ban mining. That is the only way we shall have a true sense of purpose and commitment to fight galamsey According to IPG, all hands must be on deck if the country is to be able to fight and root out galamsey. Source: Peacefmonline Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Deputy Minister for Lands and Natural Resources in charge of mining, George Mireku Duker has clapped back at Organized Labour for their call to ban mining activities in Ghana following the increasing rate of illegal mining activities. According to him, their call cannot be taken. Organized Labour has added their voice to the increasing calls from groups and individuals to ban mining activities by the government and even threatened to go on strike if the government fails to heed to their call. However, the Tarkwa Nsuaem Legislator, George Mireku Duker has said Organized Labours call to ban mining is misdirected and cannot be taken. Speaking at the commissioning of a community mining at Meretweso in the Upper Denkyira East Municipality of the Central Region, George Mireku Duker questioned the role and concerns by Organized Labour when former President John Mahama promised illegal miners of their support when voted into power. "I believe the NDC is behind the numerous calls to ban mining," he added He said the Akufo-Addo led administration has put number of measures in place to fight galamsey by introducing operation vanguard where security forces were deployed to protect water bodies and forest reserves. Government cannot ban mining because of illegal mining but is committed to put pragmatic measures in place to ensure water resources and forest reserves are protected from these illegal activities. "Do we ban animal farming whenever there is an outbreak of swine flu or any animal related diseases? No, We just put down measures to mitigate or eliminate them. Same thing is been applied to mining," he added. Hon. Mireku Duker said the concerns raised by Organized Labour is genuine due to the negative impact on water bodies and environment. However, banning mining is not the solution and is therefore calling on all Ghanaians to come together and support the government in the fight against galamsey. Source: Prince Kwadwo Boadu/Hello FM Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The woes of teachers and students of the Brofoyedru primary school in the Atwima Kwanwoma district of the Ashanti Region will soon come to an end. Over the years, teachers and students have to cross the main street linking the school and the community to access potable drinking water and also attend to nature's call. This according to the headmaster of the school Asare Bediako has hampered teaching and learning. Speaking at a short ceremony organized by the Rotary Club of Kumasi to kickstart the construction of 12 Boreholes and 75 Micro Flush Toilets for some selected schools in Kumasi and Obuasi, the headmaster of Brofoyedru D/A primary school commended the Rotary Club of Kumasi and their partners for coming to their aid to put up a washroom facility and a borehole for the school. The Rotary Club of Kumasi and the Calgary Stampede Rotary Club of Canada have teamed up to construct 12 boreholes and 75 micro flush toilet facilities for some schools in the Ashanti Region at the cost of USD 70,000. The initiative as explained by the club President Nana Afia Osei Asamoah aligns with Rotary Internationals focus on water and sanitation, one of its seven key areas of engagement with communities worldwide under the theme "Magic of Rotary" for the 2024/25 Rotary year. She said they were motivated by a request from the authorities of the school to come to their aid to fix the challenges they were grappling with. "As a club, we are always willing to support humanity. We decided to offer support to the school after they submitted their request which was consistent with the objectives of Rotary such as the provision of WASH facilities, economic empowerment, child health, etc", the President added. She revealed that the project is scheduled to be completed in a year, within which it will be handed over to authorities of the school. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video As part of commitments towards healthcare promotion and accessibility, Obuasi Rotarians have chalked a huge feet in providing support to their counterparts in Chesapeake to equip their Regional Healthcare Centre with a Mobile Medical Van to service the health needs of communities in the Southeastern of Virginia and Northeastern North Carolina. No appointment or insurance is required by this service. This demonstrates effective partnerships amongst Rotary clubs in finding innovative solutions to the continuous call for healthcare support around the world. Rotarians around the world are People of Action and their activities are consistently impacting many lives through good health support, clean water and sanitation, maternal and child-care, promoting local economies, peacebuilding and conflict resolutions, support for our environment, basic education and literacy. The mobile medical van, estimated at $328,000 was financed jointly by the Chesapeake Regional Health Centre, The Rotary Foundation, Rotary International Districts 9104 and 7600, represented by Obuasi and Chesapeake clubs respectively. Funding takes care of equipment purchase, training of skilled personnel to manage the fleet and installation of specialized units for optimal offsite health delivery. Dr. Clare Mundy, director for the mobile medical unit is optimistic that the facility will gain build trust and serve the aged in its joining cities. The clinic will provide needed healthcare services to low-income families that currently do not have access to healthcare on regular basis. Families with limited health insurance and often do not have access to transportation will also be served under this intervention. Immediate Past President, Godfrey Mwachande of Obuasi Rotary Club emphasized the need for joint action as defined in Rotarys 5 avenues of service which are vocational service, community service, club service, international service and youth service. This year, Rotary International seeks to share the Magic of Rotary to the world. District Governor for Rotary District 9104(Ghana) , Florence Maame Hagan is optimistic that in unity we can strengthen our collective arms of giving and bring lasting solutions to our troubled planet. This she affirmed during a visit to fellowship with Rotary clubs of Obuasi and Dunkwa assisted by Assistant Governors Nana Esi Adade Amankwah and Patricia Effah. Globally Rotarians are changing the narrative with their impactful service projects living by the mantra of the Rotary Four-Way Test Of the things we think, say or do, Is it the TRUTH, is it FAIR to all concerned, will it bring GOODWILL AND BETTER FRIENDSHIP, will it be BENEFICIAL to all concerned. Florence Maame Hagan, District Governor for Rotary International District 9104, Ghana continues to inspire her members in the seven areas of focus where Rotary activities are defined. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Pressure group Fixing The Country Movement and Patriotic Troopers in a joint statement says they will hit the streets to demonstrate against the National Democratic Congress (NDC) for continuously bastardizing the Electoral Commission (EC). According to them, there will be a Mass Action on September 17, 2024, against NDC after urging all Ghanaians to join. They believed the NDC was inciting the masses against the EC with their false claims that the commission was working to rig the December 7, election for the New Patriotic Party (NPP). In light of the above, Fixing the Country Movement and Patriotic Troopers are organising a counter-demonstration on September 17, to drum home the point that the EC is being targeted by the NDC unfairly and unduly. A portion of their statement said. Read the full statement below Notice of Mass Action on September 17, 2024, against NDC bastardization of the EC --------- Fixing the Country Movement and Patriotic Troopers of Ghana are appalled at the manner the Electoral Commission (EC) has come under attack in recent times. These attacks emanating from the stables of the National Democratic Congress (NDC) suggest that the EC is on a mission to rig the 2024 general elections in favour of the ruling New Patriotic Party (NPP). When Johnson Asiedu Nkentiah stood on an NDC campaign platform and called on his partisan followers to go out on the street and demonstrate against the electoral commission on September 17, he was making a mockery of our democracy. And when the NDC flagbearer, John Mahama reiterated this call by urging his party membership to go and demonstrate at the offices of the EC, he was being disingenuous and dishonourable. It is quite clear that the call by the NDC for forensic examination of the voter roll is borne out of the partys attempt to bastardize the commission. Of course, the propaganda machinery of the opposition NDC has devolved into a pointless internecine politics of attrition. So much that, even the inquisitiveness of our traditional party politics has been circumscribed by the unwarranted presumption that propaganda is the way out. It is therefore no wonder to have woken up to yet another dose of NDCs mind management tactic of creating a discursive regime of the inevitability of its propagandist politics with this clear attempt to destabilise the EC. In light of the above, Fixing the Country Movement and Patriotic Troopers are organising a counter-demonstration on September 17, to drum home the point that the EC is being targeted by the NDC unfairly and unduly. We're by this public statement issuing a notice of public action on the same day that the NDC is organising theirs - we are leading a citizens coalition for mass action to condemn the NDC's Communist tactics. We also call on peace-loving Ghanaians to join hands with the Fixing the Country Movement and Patriotic Troopers to send a clear message to the NDC that enough is enough. As trusting citizens, it is our collective responsibility to put the country first and stand up against saboteurs. It is truly beyond comprehension that the NDC is so poorly led that Mahama can get away with such loose talks and disrespect for our institutions of democracy. Truly, the NDC has a notoriety for black propaganda and this is one of their antiquated antics. Indeed, this latest propaganda could very well amount to dancing themselves lame in rehearsals for a dancing contest they may not eventually take part in. The NDC and Mahama remain a clear and present danger to our democracy. The NDCs desperate bid to grab power using subterfuge is the reason they are targeting the EC. They have been at it, and it has been ineffective over time, and yet they have failed to learn the right lessons. They have been employing lies and dirty propaganda as well as well-rehearsed jaundiced strategies to win elections but it has always ended in absolute turmoil. Mahama and his enablers have not changed one bit. Given the chance, they'll roll back decades of progress made on diversity in our political system and its reflective of the kinds of limited perspectives and experiences they welcome on everyday public conversations. Let's speak out against Mahama's demonstrated danger to our democracy. We have to stop this group of ideologues who have nothing to offer this country. Ghanaians must be weary of Mahama and his silly little idealistic weirdos who want to turn this country into a 21st-century Communist State. Signed: Ernest Kofi Owusu-Bempah Bonsu (Convener - Fixing the Country Movement) Moses Abbor - Convener- (Patriotic Troopers, Ghana) Source: King Edward Ambrose Washman/Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Research showing that pigeons can be used to guide missiles was awarded one of this year's Ig Nobel prizes. Mammals that can breathe through their backsides, homing pigeons that can guide missiles and sober worms that outpace drunk ones: these are some of the strange scientific discoveries that won this year's Ig Nobels, the quirky alternative to the Nobel prizes. The annual awards "for achievements that first make people laugh, then make them think", were handed out at a rowdy ceremony at MIT in the United States on Thursday evening. Here are the 10 winners of the 34th edition, held a month before the real Nobel prizes. Bad breath The physiology prize went to Japanese and US researchers for discovering that many mammals can breathe through their anuses in emergencies. They were inspired by loach fishes, which are capable of "intestinal air breathing", according to their 2021 study. This can also be done by mice, pigs and rats, the researchers found, suggesting that guts could be repurposed as an "accessory breathing organ". They even suggested this could be a way to deliver emergency oxygen to patients when there is a ventilator shortage, such as during the COVID pandemic. Homing pigeon missiles The peace Ig Nobel went to the late US psychologist B.F. Skinner, for putting trained pigeons in the nose of missiles to guide them during World War II. Project Pigeon was called off in 1944 despite a seemingly successful test on a target in New Jersey. "Call it a crackpot idea if you will; it is one in which I have never lost faith," Skinner wrote in 1960. The loach fish's ability to breathe through its anus inspired researchers to win the physiology Ig Nobel. Plastic plant envy The botany prize was awarded for research which found that some real plants imitate the shapes of nearby plastic plants. Prize-winner Felipe Yamashita of Germany's Bonn University said their hypothesis is that the Boquila plant they studied "has some sort of eye that can see". "How they do that, we have no idea," he said to laughter at the ceremony. "I need a job," he added. Flip off The probability prize was awarded to researchers who tossed 350,757 coins. Inspired by a magician, the researchers found that the side facing upwards before being flipped won around 50.8 percent of the time. Over 81 work days' worth of flipping, the team had to employ massage guns to soothe sore shoulders. Lead researcher Frantisek Bartos told AFP the team was excited to become Ig Nobel laureates. "Although it's a 'parody' prize, it is very nice to have your research highlighted," he said. "And hopefully it amuses and maybe even inspires a new generation of scientists." Heads or tails? Researchers tossed 350,757 coins to find a small bias. The true key to longevity The demography prize was awarded for detective work which discovered that many of the people famous for living the longest happened to live in places with "lousy birth-and-death recordkeeping," the Ig Nobel website said. Australian researcher Saul Justin Newman read out a poem at the ceremony which concluded that the real way to longevity is to "move where birth certificates are rare, teach your kids pension fraud and start lying". Drunk worm race The chemistry prize went to a team which used a complex analysis called chromatography to separate drunk and sober worms. The researchers demonstrated the study by re-enacting a race on stage between a sober worm that had been dyed red, and a blue worm they got drunk. The sober worm won. Out of this whorl The anatomy prize went to a team of French and Chilean researchers which found that the hair whorls of most people swirl clockwisehowever in the southern hemisphere, counter-clockwise whorls are more common. The physics prize was awarded for "demonstrating and explaining the swimming abilities" of a dead fish. Make placebos hurt The medicine Ig Nobel went to European researchers who demonstrated that fake medicine which causes painful side effects can work better than fake medicine that does not. Dead fish swimming The physics prize was awarded to US-based scientist James Liao for "demonstrating and explaining the swimming abilities of a dead trout". "I discovered that a live fish moves more than a dead fish," Liao said as he accepted the prize. Scaredy cat on cow The biology prize went to the late US-based researchers Fordyce Ely and William E. Petersen for a particularly strange experiment in 1941. They exploded a paper bag next to a cat that was standing on the back of a cow, to "explore how and when cows spew their milk". 2024 AFP This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: The great whodunnit of the human family is exactly what caused Neanderthals to suddenly die off 40,000 years ago. A new study posits a very surprising answer to one of history's great mysterieswhat killed off the Neanderthals? Could it be that they were unadventurous, insular homebodies who never strayed far enough from home? Scientists studying the remains of a Neanderthal found in France said Wednesday that these human relatives were socially isolated from each other for tens of thousands of years, which could have fatally reduced their genetic diversity. Up to now, the main theories for their demise were climate change, a disease outbreak, and even violenceor interbreedingwith Homo Sapiens. Neanderthals populated Europe and Asia for a long timeincluding a decent stint living alongside early modern humansuntil they abruptly died off 40,000 years ago. That was the last moment when more than one species of human coexisted on Earth, French archaeologist Ludovic Slimak told AFP. It was a "profoundly enigmatic moment, because we do not know how an entire humanity, which existed from Spain to Siberia, could suddenly go extinct," he said. Slimak is the lead author of a new study in the journal Cell Genomics, which looked at the fossilized remains of a Neanderthal discovered in France's Rhone Valley in 2015. The remains were found in Mandrin cave, which is known to have been home to both Neanderthals and Homo Sapiens over time. The Neanderthal, dubbed Thorin in reference to the dwarf in J.R.R. Tolkien's "The Hobbit", is a rare find. Thorin is the first Neanderthal unearthed in France since 1978and one of only roughly 40 discovered in all of Eurasia. French archaeologist Ludovic Slimak, author of the book "The Naked Neanderthal", who led the new research. 50,000 years alone The archaeologists had spent a decade unsuccessfully trying to recover DNA from Mandrin cave when they found Thorin, Slimak said. "As soon as the body came out of the ground," they sent a piece of molar to geneticists in Copenhagen for analysis, he added. When the results came back, the team was stunned. Archaeological data had suggested the body was 40,000 to 45,000 years old, but the genomic analysis found it was from 105,000 years ago. "One of the teams must have gotten it wrong," Slimak said. It took seven years to get the story straight. Analyzing isotopes from Thorin's bones and teeth showed that he lived in an extremely cold climate, which matched an ice age only experienced by later Neanderthals around 40,000 years ago. But Thorin's genome did not match those of previously discovered European Neanderthals at that time. Instead it resembled the genome of Neanderthals some 100,000 years ago, which had caused the confusion. It turned out that Thorin was a member of an isolated and previously unknown community that had descended from some of Europe's earliest Neanderthal populations, the researchers said. "The lineage leading to Thorin would have separated from the lineage leading to the other late Neanderthals around 105,000 years ago," senior study author Martin Sikora of the University of Copenhagen said in a statement. This other lineage then spent a massive 50,000 years "without any genetic exchange with classic European Neanderthals," including some that only lived a two-week walk away, Slimak said. The fossilised jaw of the Neanderthal found in France. Dangers of inbreeding This kind of extended social isolation is unimaginable for the Neanderthals' cousins, the Homo Sapiens, particularly because the Rhone Valley then was a great migration corridor between northern Europe and the Mediterranean Sea. Archaeological finds have long suggested that Neanderthals lived in a small area, ranging just a few dozen kilometers from their home. Homo Sapiens, in comparison, had "infinitely larger" social circles, spreading over tens of thousands of square kilometers, Slimak said. Neanderthals were also known to have lived in small groupsso not venturing far likely meant there were not many options for a mate outside of their own family. This kind of inbreeding reduces the genetic diversity in a species, which can spell doom over the long term. Rather than single-handedly killing off the Neanderthals, their lack of intermingling could have made them more vulnerable to some of the other popular theories for their demise. "When you are isolated for a long time, you limit the genetic variation that you have, which means you have less ability to adapt to changing climates and pathogens," said study co-author Tharsika Vimala, a population geneticist at the University of Copenhagen. "It also limits you socially because you're not sharing knowledge or evolving as a population," she said. 2024 AFP This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: This 2021 handout image released by Indonesia's environment ministry shows one of two rare Javan rhino calves that were caught on video in Ujung Kulon National Park. This week, a billionaire made a spacewalk, archaeologists found a new, isolated Neanderthal lineage and the James Webb Space Telescope revealed the extreme outskirts of the Milky Way. And a few other things happened: Rumble elucidated If you're super-sensitive to longitudinal P-wave seismic frequencies, you may have noticed a rumbling under your feet in 2023 that reverberated through the entire Earth for nine straight days. "What is that?" you might have wondered as scientists hooked up electrodes to your body to study your seismologically weird, earthquake-detecting physiology. An international team has been studying this mystery and landed on a likely solution: A mountaintop in an East Greenland fjord collapsed into the sea, triggering a mega-tsunami with 200-meter-high waves that echoed back and forth for nine days within the fjord and generated seismic waves that reverberated throughout the earth. Seismologists at the time thought it was pretty weird. And like most catastrophic phenomena these days, it was caused by climate change, according to the new study. The warming climate melted the glacier at the foot of the Dickson Fjord mountain, which destabilized 33 million cubic yards of rock and ice, which plunged into the sea. "Climate change is shifting what is typical on Earth, and it can set unusual events into motion," said seismologist Alice Gabriel of UC San Diego's Scripps Institution of Oceanography in what can only be described as a seismic understatement. Baby cute, endangered Officials at an Indonesian national park announced the sighting of a young Javan rhino calf in the wild, evidence that the endangered species is still viably reproducing. The female calf, named Iris, appears to be between three and five months old. A camera trap caught the baby Rhinoceros sondaicus walking with her mother, totally chill, no big deal, just an adorable and highly endangered animal coming through. Ujung Kulon National Park, the only existing refuge for the species, announced the sighting of two other calves in the park earlier this year. Despite the good news, the species is still endangered by rampant poaching and natural disasters. According to the International Rhino Foundation, there are only 80 living Javan rhinos globally. Study objectively terrifying There are few things as unsettling, or as likely to send me spiraling into a dissociative fugue, as the widespread scientific consensus that the mass extinction of the Permian-Triassic period 252 million years ago was caused by an accumulation of carbon dioxide emissions from volcanoes saturating the atmosphere, resulting in climate change and the collapse of terrestrial and marine ecosystems. However, the linked decline in normally resilient insect and plant life has remained a mysterygenerally, when temperatures in the tropics rise, plants and insects spread to cooler climates. Now, researchers at the University of Bristol and China University of Geosciences have published a paper arguing that the effects of rapid climate change were so devastating due to prolonged El Nino events compared to those today. During these decades-spanning events, weather and climate variability were extreme, and temperature gradients collapsedessentially, it was too hot everywhere. The lengthy El Nino that caused the June 2024 heat wave raised temperatures globally by around 1.24 degrees Celsius higher than normal. Paul Wignall, professor of paleoenvironments at the University of Leeds, says, "Fortunately, such events so far have only lasted one to two years at a time. During the Permian-Triassic crisis, El Nino persisted for much longer, resulting in a decade of widespread drought, followed by years of flooding. Basically, the climate was all over the place, and that makes it very hard for any species to adapt." 2024 Science X Network This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain This summer was the hottest on record worldwide, outpacing even last year's blistering temperatures, according to a new report by the European Union's Copernicus Climate Change Service. "During the past three months of 2024, the globe has experienced the hottest June and August, the hottest day on record, and the hottest boreal summer on record. This string of record temperatures is increasing the likelihood of 2024 being the hottest year on record," said the climate service's deputy director Samantha Burgess in a statement last week. While the Texas summer was slightly cooler this year because of rainfall from storms like Hurricane Beryl, it is still on track to be the state's sixth-hottest on record, said John Nielsen-Gammon, the state's climatologist and a professor of atmospheric sciences at Texas A&M University. This year will also likely be the state's sixth-hottest on record. "Temperatures every season have been going up a little bit more than a half degree Fahrenheit per decade in Texas, at least for the past half-century, and it's been a fairly steady increase," he said. In the new report by Copernicus, the average temperature in the Northern hemisphere in June, July and August was 16.8 degrees Celsius (or 62.24 degrees Fahrenheit). This puts the summer of 2024 at 0.03 degrees Celsius (0.05 degrees Fahrenheit) hotter than the summer of 2023, which was the second-hottest on record for Texas and third-hottest for Dallas-Fort Worth. While this July and last July were nearly tied, temperature-wise, this June and August were the hottest on record worldwide. The average temperature in August was 0.71 degrees Celsius (1.28 degrees Fahrenheit) above the 1991 to 2020 average for the month, Copernicus found. The global average temperature for the past 12 monthsbetween September 2023 and August 2024is the highest on record for any 12-month period: 0.76 degrees Celsius (1.4 degrees Fahrenheit) above the 1991 to 2020 average and 1.64 degrees Celsius (nearly 3 degrees Fahrenheit) above pre-industrial levels, Copernicus also found. The climate monitoring service's dataset goes back to 1940. But records from the United States, the United Kingdom and Japan dating back to the mid-19th century suggest that the past decade has been the hottest in about 120,000 years. Temperatures are expected to rise more dramatically in Texas compared to the rest of the world, according to Nielsen-Gammon. "The general expectation, based on historical trends, climate model simulations and our physical understanding [of the environment], is that Texas temperatures should increase faster than global temperatures by about 20% to 30%," he said. That's partly because global temperatures take into account the Earth's oceans, which don't warm as quickly as land. Also affecting temperatures is the urban heat island effect, where cities like Dallas experience higher temperatures because urban surfaces absorb and emit more heat, Nielsen-Gammon said. The news comes at a time when heat-related deaths in the United States are on the rise. A recent study found that these deaths have doubled over the past 24 years, with about 48% occurring in four southwestern states, including Texas, the paper's lead author Jeffrey Howard, an associate professor in public health at the University of Texas at San Antonio, told The New York Times in August. Last year in Texas, 365 people died directly due to heat and 562 people died when heat was a contributing cause, according to an analysis of state records by The Texas Tribune . The report added that heat-related deaths are almost certainly undercounted in Texas and nationwide. Rising temperatures are especially threatening for older adults, young children, pregnant people and people with certain medical conditions. The rise in temperatures is also concerning for infrastructures like the state's power grid, which can be heavily strained by energy demands during heat waves. "The temperature-related extreme events witnessed this summer will only become more intense," Burgess of the Copernicus Climate Change Service said, "with more devastating consequences for people and the planet unless we take urgent action to reduce greenhouse gas emissions." 2024 The Dallas Morning News. Distributed by Tribune Content Agency, LLC. WILDWOOD Thousands of firefighters from across the state gathered in the city Friday for the 147th annual New Jersey State Firemens Convention to hear updates from the State Firemens Association and to voice their concerns with the organization. Sometimes it seems like firefighters arent appreciated, Mayor Ernie Troiano Jr. said at the start of the convention. But I can guarantee each and every one of you are more than appreciated. If you look around, youll notice that we are starting to thin out a little bit, and that is a shame. I see a lot of heroes out here who make sure their communities are safe and protected. Were extremely grateful for that. Attendees heard remarks from guest speakers before each member of the association provided committee reports and concluded the two-hour-long meeting with public comments and a benediction. Gov. Phil Murphy is normally invited to the event, but the association was unable to get him as a speaker this year due to scheduling issues, according to association President Rob Ordway. A memorial service honoring members of the association who died featured the Wildwood Fire Department Honor Guard and the Bergen County Firefighters Pipes and Drums, who played as the names of each fallen firefighter scrolled across two TV screens. Whatever is going on outside cant affect what happens inside our departments, Wildwood Fire Chief Ernie Troiano III said. We have to continue to look out for each other because no one knows what we go through better than your fellow brothers and sisters. At the end of the meeting, several firefighters took to the microphone to address the association and while some praised the organization, some voiced concerns. On Saturday, members will vote on four resolutions, including one that could allow for Wildwood to host the convention as far out as 2029. Atlantic City remembers 9/11 terrorist attacks 23 years later Atlantic City officials joined first responders and hundreds of members of the public on Jackson Avenue Tuesday morning for the citys annual Saracini-ONeill Sept. 11 memorial ceremony. Anthony Mazzarella, of Middlesex County, asked members to vote no as he believes the association should explore other opportunities. There are some concerns, and we feel the state should form a committee, address the concerns and look at opportunities to improve the convention before we move forward, Mazzarella said. I encourage other counties to vote no because things have changed down here and we need to address them first. Outside the convention, the annual fire expo, hosted by the Five Mile Beach Volunteer Fire Association, took place in the Wildwoods Convention Center parking lot, along Ocean Avenue and in Fox Park. Vendors were set up throughout the area showcasing the latest in firefighting equipment and technology. New emergency lights, firetrucks, safety equipment, hoses, boats and compressors were among some of the items on display. Robert Simonsen, 68, of Wildwood Crest, is not a firefighter, but his 6-year-old grandson, Aidan, saw multiple firetrucks on display in the convention center parking lot and wanted to get a closer look. We took a walk on the Boardwalk this morning, and he was really eager to see the firetrucks, Simonsen said. He tugged on my arm and was pointing at them, so of course I couldnt say no. Even though Ive never been a firefighter, it was neat to look at all of the heavy equipment they use and learn about how some of those pieces function. The convention continues at 2 p.m. Saturday with the Firemens Memorial Parade through the Wildwoods, where cash prizes and trophies will be given out across 30 categories. Rock Island-Milan schools were assigned extra police presence Friday in response to social media threats circulating nationwide. It marks the third such response by area law enforcement this week, though authorities have deemed there to be no direct connection or threat to QC schools so far. Deputy Rock Island Police Chief James Morris said multiple police departments received information about a social media post circulating among local students. He told the Quad-City Times/Dispatch-Argus on Friday that the post indicated there would be a shooting at a middle school, but did not name a specific school. "The post was eventually traced back to a school district in Ohio that originated on Tuesday," Morris said. "It was determined that there was no danger to our local schools but as a precautionary measure, additional police presence was assigned." Rock Island-Milan officials sent the following statement Friday evening in response to the situation: "Staff and scholar safety is, and will always be, a top priority for Rock Island-Milan Schools. Thursday night, district staff were made aware of a social media threat mentioning one of our schools. This threat has not been found to be legitimate, but out of an abundance of caution, we placed more support inside our schools on Friday to ensure the safety of all of our stakeholders." As previously reported, Moline police took the same precautions Wednesday at John Deere Middle School, and Eldridge police are investigating threatening social media comments made by a high schooler re-posting another out of state post. Glenview Middle School in East Moline also had increased police presence Wednesday following the social media threats stemming from Ohio. "Please know that law enforcement has been notified. We are grateful for our partnership with the East Moline Police Department and will continue to prioritize the safety of all students and staff," East Moline school officials said in a message to families Wednesday afternoon. "In keeping everyone safe, the EMPD will have an increased presence throughout the school day." Local media outlets nationwide including in Arizona, California, Florida and Texas reported school districts upped security measures this week due to social media threats. Earlier this month, two students and two teachers were killed in a school shooting at Apalachee High School in Georgia. Photos: Shop with Rock Island Police and Firefighters Enrollment at South Dakota Mines has risen by 3.4% for the 2024-2025 academic year, with a total of 2,579 students compared to 2,492 in fall 2023, according to a recent university announcement. The increase in enrollment is accompanied by a 3.1% rise in the number of credit hours being taken, totaling 31,202 credit hours for fall 2024. We pride ourselves on being a first-choice STEM university and are thrilled so many students and families continue to see the quality and value of a Mines education, said Interim President Lance Roberts, Ph.D. We are attracting talented innovators who seek a world-class education and will continue to be leaders in science and engineering. South Dakota Mines graduates are sought after by employers due to the university's curriculum, which integrates hands-on experiences through labs, industry-funded projects, research opportunities, co-ops and internships. The practical learning opportunities help students gain relevant skills and experience in their fields of study. The percentage of graduates staying in South Dakota after earning their bachelors degree has increased to over 40%. Mines was also recently recognized in the Wall Street Journals 2025 Best Colleges in the United States rankings. As a hub for technology-driven economic growth, South Dakota Mines plays a key role in the Black Hills regions economic development. The university collaborates with organizations like Elevate Rapid City, the Sanford Underground Research Facility, and Ellsworth Air Force Base to foster an economic ecosystem that is boosting the number of high-paying STEM jobs in the area and across the state. The most recent graduates from South Dakota Mines reported an average starting salary of $73,547 and a 97% placement rate in their field of study or graduate school. Students who completed internships saw even greater success, with a 100% placement rate and an average starting salary of $75,449. Across South Dakota, the Board of Regents reported a six-year high in student enrollment, driven by growth at nearly all state universities and a strong incoming freshman class. In 1903, legendary sharpshooter Annie Oakley found herself ensnared in a scandal that threatened to tarnish her reputation a false accusation of drug use. This incident stands as a testament to the power of sensationalism in the media and the lengths to which Oakley went to defend her honor. Annie Oakley, born Phoebe Ann Mosey in 1860, was already a household name by the turn of the 20th century. Known for her incredible marksmanship, Oakley had gained fame through her performances with Buffalo Bills Wild West show, where she amazed audiences by hitting targets with pinpoint accuracy, even while riding on horseback or aiming backward using a mirror. Her reputation was not only built on her unparalleled shooting skills but also on her persona as a wholesome, all-American woman who upheld Victorian values of propriety and decency. In August 1903, the Chicago Tribune published a story that would disrupt Oakleys life. The headline claimed that "Annie Oakley," a famous vaudeville performer, had been arrested in Chicago for stealing to support a cocaine habit. This shocking story painted a picture of a fallen star, ravaged by drug addiction, who had resorted to theft to feed her addiction. The woman in question had allegedly been caught trying to steal a man's trousers to sell for money to buy drugs. However, there was one major problem with the story: the woman arrested was not Annie Oakley. The real culprit was a burlesque performer named Maude Fontenella, who had used Oakleys name when she was arrested. Despite the inaccuracy, the false story was quickly picked up by dozens of newspapers across the United States, many of which embellished the details, creating a sensational narrative that tarnished Oakleys reputation overnight. The publics belief in the story was bolstered by the fact that cocaine use was a growing concern in the early 1900s. At the time, many Americans were becoming increasingly aware of the dangers of drug addiction, and stories about celebrities falling victim to such vices were particularly titillating. Oakleys pristine image made the story even more shocking, and many readers were all too willing to believe that even a figure as seemingly virtuous as Annie Oakley could succumb to the pitfalls of addiction. Upon hearing of the accusations, Oakley was devastated. The story not only threatened her livelihood but also her carefully cultivated image. For a woman who had spent her life defying the odds and proving herself in a male-dominated field, this false accusation was an affront to everything she had worked for. Oakley was determined to clear her name and embarked on a crusade to seek justice. Oakley filed lawsuits against the newspapers that had published the defamatory articles. In total, she brought 55 libel suits against the press, marking one of the earliest and most extensive legal battles involving a public figure and the media. Over the next six years, she appeared in courtrooms across the country, fighting for her reputation. Oakley won 54 of these cases, with some newspapers being ordered to pay significant damages. However, the legal battles took a toll on her both financially and emotionally. The damages she was awarded did not fully cover her legal expenses. Despite the personal cost, Oakleys victory in these lawsuits was significant. Her successful defense against the false accusations helped restore her reputation and demonstrated that even in the face of widespread public opinion, the truth could prevail. The Roanoke Valley pulled in record tourism spending in 2023, after a push to draw visitors from Northern Virginia and Washington, D.C., by Visit Virginias Blue Ridge, the regional tourism development and marketing office. According to data released Wednesday by VVBR, visitors to the Roanoke Valley directly spent $882 million in 2023, a 6.6% increase over 2022. In total, the money spent generated $43 million in local taxes for the cities of Roanoke and Salem, as well as Botetourt, Franklin and Roanoke counties. Kathryn Lucas, the public relations director for Visit Virginias Blue Ridge, credits much of the success to an increased push for tourism from Washington, D.C., and Northern Virginia. The tourism office paid for advertising at Union Station in D.C., as well as cars and buses with wraparound ads promoting the region. 11.3% of visitors in 2023 came from Washington, D.C., and the Northern Virginia area, Lucas said. A 7% increase over the previous year. Lucas said that much of the VVBRs marketing is based around outdoor recreation, pitching the region as a place to explore the Blue Ridge Mountains, without leaving city amenities behind in quite the same way as traveling to West Virginia. Several businesses in Roanoke and the surrounding area have reported an uptick in out-of-town visitors, including Book No Further, an independent bookstore located near Center in the Square in downtown Roanoke. Book No Further has seen a definite uptick in the proportion of out-of-town visitors among our customers in the last year and especially this spring and summer. Roanoke Valley residents may be unaware how many people outside the area view the region as a destination thanks to the efforts of Visit Virginias Blue Ridge, Doloris Vest, the owner of Book No Further, said. Lucas said that, traditionally, visitors to Roanoke and the surrounding Blue Ridge Mountains come from Washington, D.C., and Richmond, with lesser numbers coming from other large cities like Norfolk or Charlotte, North Carolina. On average, visitors to Roanoke and the surrounding area spent $127-per-day during their stay, according to data from Virginias Blue Ridge. SIOUX CITY Retirement, Jack Berger says, means you sit around and don't do anything. By his definition, Berger is not retired. And, at age 91, he doesn't intend to be anytime soon. He plans to keep cutting hair, just as he has since he graduated from barber school in 1957. "I keep going to keep going because in life today, if you sit too much, you're going to be doing a lot of sitting. A lot of sitting," Berger said. Barber Jack Berger Barber Jack Berger cuts Jamie Lockmiller's hair at Rock-N-Lokz Salon and Barber in Sioux City. Berger, 91, still cuts hair at the shop several Berger leaves the sitting to his loyal customers, many of whom have been coming to him for decades, who plop down in a barber chair before him at Rock-N-Lokz, 816 W. Eighth St. He keeps no set hours and doesn't take appointments, instead taking calls from clients asking if he's available to cut their hair. He always has the time. On a recent day, it was Jamie Lockmiller, who was 4 years old the first time Berger cut his hair. That was 40 years ago. "He was pretty young when I started cutting his hair. I was pretty young then, too," Berger said, making one of the frequent jokes he'll snap off during a conversation. Barber Jack Berger Barber Jack Berger cuts client Jamie Lockmiller's hair at Rock-N-Lokz Salon and Barber in Sioux City. Berger, 91, has cut hair in Sioux City s Another of Berger's regulars was a high school junior when he began seeing Berger. He's 81 now. That loyalty is matched by Berger, who's been dedicated to his job through good times and bad, such as the downturn in barbering in the 1970s, when many men began wearing their hair long and no longer got frequent haircuts. With five children and wife Sandy (who he's been married to for 60 years), at home, Berger took extra work cutting hair at Holy Spirit Retirement Home, something he did for 37 years until age 75. He also was a member of the Iowa Air Guard, retiring from the military with 20 years of service. No matter what, he showed up day after day. "I never, ever hated to come to work. I looked forward to it every day," Berger said. It's an attitude that began at age 9, when Berger began shining shoes for 10 cents a pair at a barber shop in his native Emmetsburg, Iowa. He later moved on to work at a grocery store and washing cars. Drafted into the Army, he served from 1953-55 and, after his discharge, took a job at a Spencer, Iowa, gas station while deciding on a career. He found his calling while getting his hair cut and chatting with his barber. "I told him I wanted to use the G.I. Bill, but I didn't really want to go to college. He said, 'Why don't you go to barber school then?'" Berger said. Barber Jack Berger Barber Jack Berger talks about his barbering career after giving a haircut at Rock-N-Lokz Salon and Barber in Sioux City. Berger, 91, owned an So he did, enrolling at Sioux City Barber School on what's now Historic Fourth Street in 1956 and graduating the following year, when the price of a haircut had just been raised to $1.50. (A shave was $1.25, but don't ask Berger why it was cheaper, because shaves take longer than haircuts.) He worked more than seven years at Sanitary Barbers at 521 Nebraska St. before partnering with Harold Erichsen to own his own shop, Villa Avenue Barbers, at the corner of Villa Avenue and George Street, in the building that's now California Bakery. Berger operated the shop for 41 years until closing in 2005. He partnered with a woman at another shop before meeting Rock-N-Lokz owner Amber Krana, who he's worked with for the past 20 years. Berger has reduced his hours over the years, but he still comes to the shop almost daily to drink coffee and crack a few jokes. "He comes and checks on us every single day. It's his social hour is what we call it," Krana said. Barber Jack Berger Barber Jack Berger shakes out his cape after cutting a client's hair at Rock-N-Lokz Salon and Barber in Sioux City. Berger, 91, still cuts hai His presence is more than social. Krana said his work ethic has been an example for her and younger stylists, who have learned from Berger the value of showing up for work on time, arriving early and staying late. "We consider him like a legend because he's been in the field so many years. He's shown us a lot," Krana said. There will always be a chair for Berger at her salon, she said. Berger intends to hold her to that offer as long as he remains steady with the clippers. "My hands are still pretty darn good or I probably couldn't still do this," he said. His feet and legs may protest his ongoing work habits now and then, but he's not ready to sit down. Sitting is for retirees. Hello and welcome back to the Surge, Slates weekly roundup of the newsmaking-est figures in U.S. politics! Im Ben Mathis-Lilley, filling in for Jim Newell, who has been deployed to far-northern Norway to investigate Russian sabotage attacks and is currently attempting to attach a surveillance device to a walrus. Careful with the whiskers, Jim! This week, well, it was all about the debate. The debate was pretty big, as a political event, this week. Unfortunately, there were only two participants in the debate and the Surge requires seven entries. How will we get from two people to seven people? Youll have to keep reading to see! (The Surge heard somewhere that a good piece of writing begins by subtly introducing a question into readers minds. Did it work? Was it too subtle?) https://sputnikglobe.com/20240914/china-africa-forum-africa-is-not-alone-anymore-1120143381.html China-Africa Forum: 'Africa is Not Alone Anymore' China-Africa Forum: 'Africa is Not Alone Anymore' Sputnik International The ninth Forum on China-Africa Cooperation (FOCAC) in Beijing highlighted Chinas continued efforts to partner up with the continent, Chatham House reported. 2024-09-14T05:44+0000 2024-09-14T05:44+0000 2024-09-14T05:44+0000 analysis vladimir putin china burkina faso focac africa insight east africa central africa north africa sub-saharan africa https://cdn1.img.sputnikglobe.com/img/07e8/09/0e/1120145007_0:37:3072:1765_1920x0_80_0_0_5682d12b1446a1d09ee5db99bf677ad7.jpg The ninth Forum on China-Africa Cooperation (FOCAC) in Beijing highlighted Chinas continued efforts to partner up with the continent, Chatham House reported. Fifty-one African heads of state were present for the summit on September 6, which Chatham noted was many more than are scheduled to speak at the UN General Assembly this month.Koffi Kouakou, an African analyst and research fellow at the Center for African China Studies at the University of Johannesburg, joined Sputniks Fault Lines to discuss the growing partnership between China and the second-largest continent in the world. Kouakou noted that Africa is mattering due to the overwhelming interest that foreign countries - especially countries in the West - have shown the oftentimes overlooked continent.Stopping short of offering broad-based debt relief at the Summit, China instead pledged $50.7 billion in credit lines and funding for the next three years, with an emphasis on trade and investment partnerships. Chatham noted that Chinas reluctance to offer the continent debt cancellation most likely reflects domestic financial constraints and global economic uncertainty. However, the article notes that this could be a more sustainable as well as mutually beneficial strategy.Prof. Alexis Habiyaremye from the University of Johannesburg spoke to Sputnik about the summit, and suggested that China has become the major, if not the main, trading partner for the majority of African countries thanks to the actual win-win outcomes of the Sino-African trade as opposed to exploitative trade with Western trade partners that established monopolies over natural resources in secret military cooperation arrangements with former colonial powers.The professor then suggested that trade between African countries and the West is usually structured as extractive monopolies intended to keep Africa down and unable to produce anything else than raw materials for Western corporations. And added that China can also offer more affordable products with a higher value-for-money ratio....it also plays into the geopolitical logic that Mali, Burkina Faso, and Niger are not alone anymore. And, President Putin of Russia has made it clear, anybody who touches these three countries will touch him. https://sputnikglobe.com/20240907/china-africa-trade-yields-win-win-outcomes-while-west-only-seeks-to-exploit-continent-1120069571.html china burkina faso east africa central africa north africa sub-saharan africa south africa west africa Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Mary Manley https://cdn1.img.sputnikglobe.com/img/07e6/01/0b/1092187887_0:0:2048:2049_100x100_80_0_0_0c2cc4c84f89aff034cc55bb01fb6697.jpg Mary Manley https://cdn1.img.sputnikglobe.com/img/07e6/01/0b/1092187887_0:0:2048:2049_100x100_80_0_0_0c2cc4c84f89aff034cc55bb01fb6697.jpg News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Mary Manley https://cdn1.img.sputnikglobe.com/img/07e6/01/0b/1092187887_0:0:2048:2049_100x100_80_0_0_0c2cc4c84f89aff034cc55bb01fb6697.jpg focac, china-africa, multipolar world, brics, china-africa cooperation, china-africa partnership, russia-africa cooperation, russia-africa forum, china-africa forum, dedollarization, china-africa trade, china-africa project https://sputnikglobe.com/20240914/leaked-uk-foreign-office-docs-britain-launched-propaganda-war-to-demonize-russia-years-ago--1120152700.html Leaked UK Foreign Office Docs: Britain Launched Propaganda War to Demonize Russia Years Ago Leaked UK Foreign Office Docs: Britain Launched Propaganda War to Demonize Russia Years Ago Sputnik International Leaked British Foreign Office documents, marked "Official-Sensitive FCDO-only" claim to offer an insight into the British governments multi-pronged information war targeting Russia. 2024-09-14T15:28+0000 2024-09-14T15:28+0000 2024-09-14T15:28+0000 world united kingdom (uk) british foreign office russian federal security service (fsb) russia mi6 https://cdn1.img.sputnikglobe.com/img/07e8/09/0e/1120153738_0:112:3245:1937_1920x0_80_0_0_1ba32c4fd0555062a54be565f7749385.jpg Newly-leaked internal documents of the British Foreign Office, marked "Official-Sensitive FCDO-only" have been published by the Underside portal. They claim to offer an insight into the British governments multi-pronged information war targeting Russia.The documents reveal: The British propaganda machine swung into action after Downig Streets National Security Council (NSC) recognized the presence of a sustained and significant threat from Russia to the UK and its allies in 2020. The London-based Eastern Europe and Central Asia Directorate (EECAD) oversaw the NSCs global anti-Russian operation launched in 2016. Codenamed the Counter Disinformation & Media Development Program (CDMD), its subversive work was geared to promote regime change in Russia, Eastern Europe and Central Asia. Reuters, the BBC, Bellingcat, Meduza* and the Pussy Riot-founded Mediazona*, as well as YouTubers in Russia and Central Asia were paid to promote pro-NATO narratives. All covert EECAD operations are concentrated in the HMG Russia Unit. Set up in 2017 and attached to the Foreign Office, it was tasked with coordinating propaganda campaigns against Russia. All its employees are active MI6 officers. The unit implemented large-scale projects to demonize Russia, including the fabricated Skripal poisoning case." There is information that Russia Unit oversees operations of the 72nd Psychological and Information Warfare Center a unit of the Ukrainian Special Operations Forces. It also reportedly provides information support for Ukraine's Nazi Azov** Battalion. The same unit is allegedly behind staged chemical attacks in Syria and the Ukrainian Bucha massacre provocation. EECADs Subversive Anti-Russia Activity During NATOs Proxy War in UkraineAfter the Ukraine conflict escalated in February 2022, departments were created within EECAD to organize subversive activities against Russia. EECAD departments oversee NGO operations to boost financial assistance to Ukraine, coordinate subversive activities and monitor military actions in real time. A special Ukraine Campaign Unit set up in February 2023 supports political-military engagement in Ukraine. Special EECAD sections run subversive activities in former Soviet republics in Central Asia, the South Caucasus, Belarus and Moldova.Senior civil servants including prime ministerial national security advisor Tim Barrow, British Foreign Office permanent undersecretary Philip Barton, Deputy Head of Russia Policy Christopher Joyce, and James Beer and Mark Diamond, heads of the HMG Russia Unit, are all central figures in this extensive network.*Recognized as foreign agents in Russia.**Banned in Russia for terrorism and extremism. https://sputnikglobe.com/20240913/russias-security-service-received-evidence-of-uks-coordination-of-escalation-of-global-situation-1120130682.html united kingdom (uk) russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Svetlana Ekimenko Svetlana Ekimenko News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Svetlana Ekimenko leaked british foreign office documents, what do leaked british foreign office documents reveal, russia's security service, uk's coordination of escalation of global situation, uk foreign office https://sputnikglobe.com/20240914/navy-faces-breakdown-in-discipline-effectiveness-as-us-military-stretched-thin-1120143759.html Navy Faces Breakdown in Discipline, Effectiveness as US Military Stretched Thin Navy Faces Breakdown in Discipline, Effectiveness as US Military Stretched Thin Sputnik International Author and 30-year veteran of the US Defense Department Michael Maloof joined Sputniks Political Misfits program Friday to discuss the breakdown in discipline and effectiveness of the US Navy. 2024-09-14T05:02+0000 2024-09-14T05:02+0000 2024-09-14T05:07+0000 military & intelligence michael maloof joe biden yemen united kingdom (uk) navy pentagon wi-fi us military us armed forces https://cdn1.img.sputnikglobe.com/img/07e8/09/0e/1120144589_75:0:3716:2048_1920x0_80_0_0_7c6c02a93b75fc2d484b43cac7ae6bac.jpg Are they stopping the Houthis? No. Are they gonna continue? Yes.Such was President Joe Bidens response earlier this year when asked about the effectiveness of the US Navys strikes on Ansar Allah in the Red Sea.The armed group, which maintains control of a slice of western Yemen, has blocked Israeli ships from the crucial sea route since late last year in solidarity with Palestinians in Gaza. The United States along with its British ally has since attempted to defeat the Houthis blockade, causing the group to expand it to US and UK-flagged ships as well.Biden is well known for his tendency towards verbal gaffes but the comment made headlines as a particularly poignant encapsulation of the futile US effort. Reports indicate that the use of drones, as elsewhere, has upended conventional wisdom, with the United States expensive equipment outmaneuvered via low-cost unmanned aircraft.But the US Navys failure to adapt to the modern realities of asymmetric warfare is not the only way it is falling short, according to numerous critics. Author and 30-year veteran of the US Defense Department Michael Maloof joined Sputniks Political Misfits program Friday to discuss the breakdown in discipline and effectiveness of the service.In August USNI, the US Naval Institute News, reported that the military sealift command, which operates the logistics ships that refuel and supply naval ships, might have to sideline 17 support ships for a lack of manpower, noted host Michell Witte. It is not clear what this would do to the Navy's readiness, but I don't think our official position is that these are 17 totally superfluous ships.A lack of manpower is increasingly causing problems across the US armed forces, with most services failing to meet recruiting goals for several years. The crisis has spurred the US to respond in a number of ways, primarily by loosening requirements for Americans to enlist. Military planners are seeking to reduce the manpower ostensibly required across a number of positions amid a major restructuring of the armed forces to fight an anticipated war with Russia and China in the Pacific region.But the Navy has also been plagued by disciplinary problems, with an embarrassing story emerging recently about the commander of a destroyer who was relieved of duty after displaying a rifle with a backwards-mounted scope on Instagram. The image brought the Navy considerable ridicule on social media, noted one report.Meanwhile the Navy Times reported a story earlier this month about more than a dozen chiefs and senior chiefs conspiring to install an unauthorized Wi-Fi system on a littoral combat ship a serious security risk.It's a sign of an overall breakdown of discipline and focus and attention, said Maloof. It also shows a very serious lack of strategic thinking and a breakdown in discipline, as I said, for those [routers] to be put on that ship. It was really a security breach and the chief, whoever she was that was involved in and responsible for that, should not have been downgraded, she should have been fired.During the Reagan administration we had a 600-ship Navy, the analyst noted. As of May of 2024 the Navy is down to 296 battleforce ships and it's only by 2030 they hope to come back up to 300, which is only half of what we had during the Reagan years in the 80s Consider that we have actually increased our commitments around the world. In fact, they haven't diminished, they've increased and we're now fighting multi-front conflicts all at once now.We need better strategic thinking on just what our priorities really are in this world, Maloof concluded. Because we're fighting everybody's wars now and we're depleting our own supplies by providing weapons to proxies and it's affecting our own readiness as a consequence. https://sputnikglobe.com/20240908/houthis-shot-down-eighth-us-drone-mq-9-reaper-1120073624.html https://sputnikglobe.com/20240808/which-us-navy-warships-are-deployed-in-middle-eastern-hot-spots--1119683142.html https://sputnikglobe.com/20240228/world-war-iii-watch-us-army-restructuring-to-focus-on-large-scale-combat-1117030444.html yemen united kingdom (uk) Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg us navy breakdown, us navy discipline, us navy recruitment crisis, us navy manpower shortage, us navy effectiveness, us navy crisis, us navy, us ship, us marines, us soldiers, navy seals, us power, us force, maritime forces, naval forces, us warship https://sputnikglobe.com/20240914/russia-ukraine-exchange-103-prisoners-of-war-each---mod-1120149979.html Russia, Ukraine Exchange 103 POWs Each - MoD Russia, Ukraine Exchange 103 POWs Each - MoD Sputnik International Moscow and Kiev have exchanged 103 prisoners of war each, while the Russian servicemen are currently receiving assistance in Belarus, the Russian Defense Ministry said on Saturday. 2024-09-14T11:03+0000 2024-09-14T11:03+0000 2024-09-14T17:40+0000 russia ukraine kiev russian defense ministry prisoner swap prisoner exchange russia's special operation in ukraine https://cdn1.img.sputnikglobe.com/img/107674/72/1076747252_0:166:3053:1883_1920x0_80_0_0_894c850f9fb25ecbbecb4c8816bc9f81.jpg As a result of the negotiation process, 103 Russian servicemen who were taken prisoner in Kursk region have been returned from the territory controlled by the Kiev regime on 14 September 2024. In exchange, 103 Ukrainian POWs have been transferred, the ministry said on Saturday. All the exchanged Russian soldiers are currently in Belarus where they are provided the necessary assistance, the defense ministry added. https://sputnikglobe.com/20240824/russia-ukraine-swap-230-prisoners-after-uae-mediation-1119895196.html russia ukraine kiev Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Sputnik International exchanged prisoners, russia, ukraine exchange, russian defense ministry https://sputnikglobe.com/20240914/russian-forces-find-map-of-us-base-in-captured-ukrainian-bradley---sputnik-reporter-1120145530.html Russian Forces Find Map of US Base in Captured Ukrainian Bradley - Sputnik Reporter Russian Forces Find Map of US Base in Captured Ukrainian Bradley - Sputnik Reporter Sputnik International Russian soldiers have discovered a map of the US military base at Fort Irwin, which the US military forgot in one of its Bradley fighting vehicles that it transferred to Ukraine. 2024-09-14T07:55+0000 2024-09-14T07:55+0000 2024-09-14T09:01+0000 russia's special operation in ukraine russia ukraine us arms for ukraine m2 bradley infantry fighting vehicle nato kiev https://cdn1.img.sputnikglobe.com/img/07e7/0c/19/1115793462_0:0:1001:563_1920x0_80_0_0_7d560b472a82ba0eafa2956c191626df.jpg Since the beginning of the conflict in Ukraine, the US has supplied Kiev with more than 300 Bradley vehicles. A significant number of them have been destroyed by the Russian forces on the battlefield in Ukraine.Sputnik has found online ads seeking Russian-speaking individuals for the Fort Irwin training center. The Check Defense company is looking for "role-play professionals," who can replicate cultural nuances and assist the US Defense Department.Applicants must have excellent oral and written skills in both English and Russian. Roles include local authorities, national security forces, refugees and hostile combatants. The ads also invite candidates fluent in Arabic, Chinese, Dari, Korean and Pashto.The Kremlin has consistently warned against continued arms deliveries to Kiev, saying it would lead to further escalation of the conflict. In April 2022, Russia sent a diplomatic note to all NATO countries on the issue of arms supplies to Ukraine. Russian Foreign Minister Sergey Lavrov warned that any cargo containing weapons for Ukraine would become a legitimate target for Russia. https://sputnikglobe.com/20240707/russian-forces-capture-electronic-warfare-system-from-us-bradley-vehicle-1119275460.html russia ukraine kiev Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Sputnik International russian soldiers, bradley fighting vehicles, map of the us military base, transferred to ukraine https://sputnikglobe.com/20240914/russian-foreign-ministry-calls-us-sanctions-against-russian-media-extraordinary-situation-1120147720.html Russian Foreign Ministry Calls US Sanctions Against Russian Media Extraordinary Situation Russian Foreign Ministry Calls US Sanctions Against Russian Media Extraordinary Situation Sputnik International New sanctions imposed by the US against Russian media constitute an extraordinary situation, Russian Foreign Ministry spokeswoman Maria Zakharova said on Saturday. 2024-09-14T09:29+0000 2024-09-14T09:29+0000 2024-09-14T11:01+0000 world russian media maria zakharova antony blinken russian foreign ministry us media brics https://cdn1.img.sputnikglobe.com/img/07e6/08/01/1097987754_0:0:3314:1864_1920x0_80_0_0_6fed9bb091cdee1f2c1966c274bd50ab.jpg "Today the situation is extraordinary. Yesterday, Russian journalists in the US were subjected to aggression. They were subjected to a real terrorist information attack, both as corporations and in their personal capacity," Zakharova told a BRICS media summit. Zakharova also characterized the US sanctions as "an attack on freedom of speech." On Friday, US Secretary of State Antony Blinken said that the United States imposed new sanctions against three entities and two individuals for their alleged disinformation campaign on Russias behalf. The Treasury Department then issued a general license authorizing wind-down transactions with Russias Rossiya Segodnya and TV Novosti through November 13 after it imposed full blocking sanctions against the two entities. https://sputnikglobe.com/20240908/rt-editor-in-chief-cites-broadcasters-success-as-one-of-reasons-for-us-sanctions-1120081089.html Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Sputnik International russian foreign ministry, united states, russian media constitute an extraordinary situation https://sputnikglobe.com/20240914/trudeau-statement-on-use-of-long-range-weapons-against-russia-unsurprising---ambassador-1120144870.html Trudeau Statement on Use of Long-Range Weapons Against Russia Unsurprising - Ambassador Trudeau Statement on Use of Long-Range Weapons Against Russia Unsurprising - Ambassador Sputnik International The statement made by Canadian Prime Minister Justin Trudeau that Canada supports Ukraines ability to strike deep inside Russia with western-supplied long-range weapons "comes as no surprise," Russian Ambassador in Ottawa Oleg Stepanov told Sputnik on Friday. 2024-09-14T06:04+0000 2024-09-14T06:04+0000 2024-09-14T06:04+0000 justin trudeau world ukraine crisis ukrainian crisis oleg stepanov vladimir putin russia canada ukraine us arms for ukraine https://cdn1.img.sputnikglobe.com/img/07e6/05/12/1095615891_0:0:3003:1690_1920x0_80_0_0_4b5d2a28c2b77dc3bcb6ed98102e40a7.jpg Earlier in the day, Trudeau told reporters Canada was unequivocal that Ukraine must defeat Russia on the battlefield and that it fully supports Ukraine using long-range weapons to prevent and interdict Russia's ability to degrade Ukrainian infrastructure. The Russian ambassador said that Canada is continuing on this path, which is an emblematic feature of the countrys foreign policy to anticipate the intentions of its "mentors" - the United States and the United Kingdom. The ambassador pointed out that the Canadian government falls short to have in practical and diplomatic terms any sort of impact on the outcome of Russias special military operation in Ukraine. Ottawa can only repeatedly display flashy Russophobic rhetoric as a means to offset its political impotence, Stepanov said. Trudeaus remarks are highly unlikely to be worth of Moscows attention, he added. Stepanov said Moscow will consider the wording of Trudeaus statement in the context of current and future Russia-Canada bilateral ties development. On Thursday, Russian President Vladimir Putin said that the NATO member states are not just discussing the possible use of Western long-range weapons by Kiev deep inside Russia but are also deciding whether to get directly involved in the Ukrainian conflict. Such direct involvement by the West changes the nature of the Ukrainian conflict and Russia will be forced to make decisions based on the threats created for it, Putin added. https://sputnikglobe.com/20240220/canada-to-supply-over-800-drones-worth-95mln-to-ukraine---govt-1116884722.html russia canada ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Sputnik International justin trudeau, canada-ukraine support, russia-canada relations, russia-canada war, russia-canada conflict, support russia, long-range weapons, ukraine crisis, no money for ukraine, us arms for ukraine, us assistance to ukraine, aid allocations for ukraine, ukraine aid, money for ukraine, canada for ukraine, canadian weapons for ukraine, canadian aid to ukraine, canadian funding for ukraine, canadian involvement https://sputnikglobe.com/20240914/trump-rejects-second-debate-with-harris-as-election-approaches-1120138301.html Trump Rejects Second Debate With Harris as Election Approaches Trump Rejects Second Debate With Harris as Election Approaches Sputnik International On this edition of The Final Countdown, hosts Ted Rall and Steve Gill discussed several topics from around the world, including the U.S. Congress' attempt to avoid a government shutdown. 2024-09-14T04:10+0000 2024-09-14T04:10+0000 2024-09-25T10:37+0000 the final countdown radio budget shutdown migrants uhuru movement ukraine donald trump joe biden kamala harris https://cdn1.img.sputnikglobe.com/img/07e8/09/0d/1120138111_0:0:1920:1080_1920x0_80_0_0_d94d91156d7682b28aa74d26d4b7f1fd.jpg Trump Rejects Second Debate With Harris as Election Approaches Sputnik International On this edition of The Final Countdown, hosts Ted Rall and Steve Gill discussed several topics from around the world, including the U.S. Congress' attempt to avoid a government shutdown. In the opening segment, Ted and Steve were joined by lawyer and political commentator Tyler Nixon, who discussed presidential candidate Donald Trump's refusal to debate Democratic candidate Kamala Harris again.Next, The Final Countdown team spoke to Jeremy Kuzmarov, managing editor of Covert Action Magazine. Jeremy walks us through the conviction of the Uhuru group for conspiracy in St. Petersburg, Florida.At the top of the second hour, the team speaks to Tennessee Congressman Tim Burchett about the ongoing budget battle in Washington. Is a shutdown possible?The views and opinions expressed in this program are those of the speakers and do not necessarily reflect the position of Sputnik.We'd love to get your feedback at radio@sputniknews.comCatch us in the US at 105.5FM, 104.7FM, 102.9FM, 1390AM, 1140AM ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Ted Rall https://cdn1.img.sputnikglobe.com/img/07e5/02/13/1082125340_0:0:360:360_100x100_80_0_0_1ed1a3494a53cde87e19521c3658fe92.jpg Ted Rall https://cdn1.img.sputnikglobe.com/img/07e5/02/13/1082125340_0:0:360:360_100x100_80_0_0_1ed1a3494a53cde87e19521c3658fe92.jpg News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Ted Rall https://cdn1.img.sputnikglobe.com/img/07e5/02/13/1082125340_0:0:360:360_100x100_80_0_0_1ed1a3494a53cde87e19521c3658fe92.jpg tyler nixon, donald trump's refusal to debate, jeremy kuzmarov, uhuru group for conspiracy in st. petersburg, tennessee congressman tim burchett about, budget battle in washington https://sputnikglobe.com/20240914/uk-us-provocative-actions-on-ukraine-not-promoting-peace-in-europe---tory-peer-1120145786.html UK, US Provocative Actions on Ukraine Not Promoting Peace in Europe - Tory Peer UK, US Provocative Actions on Ukraine Not Promoting Peace in Europe - Tory Peer Sputnik International The widely discussed plans by the United Kingdom and the United States to lift all restrictions on the use of Western-supplied weapons by Ukraine against Russia's internationally recognized territory are not promoting peace in Europe, Lord Richard Balfe, a Conservative member of the UK Parliament's House of Lords, told Sputnik. 2024-09-14T06:24+0000 2024-09-14T06:24+0000 2024-09-14T06:24+0000 world antony blinken joe biden united kingdom (uk) ukraine russia parliament house of lords https://cdn1.img.sputnikglobe.com/img/07e8/03/1d/1117631646_0:160:3072:1888_1920x0_80_0_0_93d5b2e1204871191d976f48e8dfa6cc.jpg "As for the reasons behind the UK decision, I am not sure what it is, but they certainly do not seem to be considering the wider questions of promoting peace in Europe. The fact of the matter is that the USA and UK indulged in very unwise and provocative behavior in Ukraine for many years, including the refusal to pressure Ukraine to honor the Minsk accords," Lord Balfe said. The Minsk Agreements were a complex series of measures negotiated by Russia, France, Germany and Ukraine - a quartet known as the Normandy format - in 2014-2015 in a bid to put an end to the armed conflict between the Kiev authorities and the breakaway eastern region of Donbas. Moscow repeatedly stated that Kiev was not fulfilling the deal, including by not granting autonomy to the predominantly Russian-speaking Donbass.UK media reported on Wednesday, citing government sources, that the UK had decided to allow Kiev to use Storm Shadow missiles against targets in Russia. Meanwhile, US Secretary of State Antony Blinken said on the same day that he had discussed long-range strikes with Ukrainian officials and would bring the matter back to US President Joe Biden for further consideration. https://sputnikglobe.com/20240821/us-uk-poland-took-part-in-preparing-ukraines-operation-in-kursk---russian-foreign-intel-1119855186.html united kingdom (uk) ukraine russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Sputnik International uk, us provocative actions, actions on ukraine, peace in europe https://sputnikglobe.com/20240914/us-at-mercy-of-neoconservative-death-wish-amid-dearth-of-responsible-leadership-1120143114.html US at Mercy of Neoconservative Death Wish Amid Dearth of Responsible Leadership US at Mercy of Neoconservative Death Wish Amid Dearth of Responsible Leadership Sputnik International Peter Kuznick, a professor of history and director of the Nuclear Studies Institute at American University, joined Sputniks Fault Lines program Friday to discuss prospects for peace as the US backs military operations in Ukraine and Gaza. 2024-09-14T03:14+0000 2024-09-14T03:14+0000 2024-09-14T03:14+0000 analysis us peter kuznick joe biden kamala harris russia ukraine democratic party white house fault lines https://cdn1.img.sputnikglobe.com/img/07e8/07/0b/1119334944_0:0:2689:1514_1920x0_80_0_0_17bbbb6f7ed2e3ab3ba4653e546adea3.jpg US Vice President Kamala Harris raised eyebrows last week when her 2024 presidential campaign received one highly unusual vote of confidence.Former Republican Vice President Dick Cheney, often considered the hawkish power behind the throne during George W. Bushs stint in the White House, revealed he would be voting for Harris in November in an announcement made by his daughter, former Congresswoman Liz Cheney.The unexpected cross-party endorsement unthinkable during the highly-partisan early 2000s represented the latest sign that Harris is consolidating support from the neoconservative wing of the Republican Party. Cheney joins hawkish figures such as Cindy McCain and Iraq War architect Bill Kristol who have thrown their lot in with the Democrats in recent years amid former President Donald Trumps chaotic leadership of the GOP.The development promises to boost Harriss support from moderate Republicans but also speaks to the lack of ideological diversity among Americas foreign policy establishment argues Peter Kuznick, a professor of history and director of the Nuclear Studies Institute at American University. Kuznick joined Sputniks Fault Lines program Friday to discuss prospects for peace as the United States backs military operations in Ukraine and Palestines Gaza Strip.But Kennedy and Khrushchev did everything they could, and they still realized that they had lost control, which is why right after the Cuban Missile Crisis ended, largely by luck, Khrushchev wrote a letter to Kennedy and said, from evil, some good must come, Kuznick highlighted.The good is that now people have felt more tangibly the burning flames of thermonuclear war and have a more clear realization of the threat looming over them if the arms race is not stopped, wrote the Soviet leader in his famous missive to the young US president. Khrushchev proposed disarmament, a nonaggression treaty between NATO and the Warsaw Pact and the elimination of everything in our relations capable of generating a new crisis.Kennedy, although not willing to go so far, still understood the world had only narrowly avoided nuclear armageddon. He took steps to permanently reduce tensions, establishing new channels of communication between the US and Russia and declaring that the two world superpowers must never again allow tensions to escalate in such fashion.Khrushchev said, what difference would it make if we're communist or capitalist or Christians or Jews or Muslims or Buddhists? Kuznick noted. What difference would it make if there's a war? Who could tell us apart after we're all dead?It looks like we have a collective death wish for the human species right now, the historian lamented. It's, to me, horrifying. The world rests in the hands, in many senses, of Biden, who's really not all there and has very hawkish instincts. He's an old cold warrior and initially denies every new weapon system that the Ukrainians ask for but then caves into the pressure. And it looks like he's already caved into the pressure again on using the ATACMS missiles to strike deep inside of Russia.Its part of a lesson which is why we have to wake people up and mobilize because this is just too dangerous, too precarious a situation to allow this to keep going the way it's going. https://sputnikglobe.com/20220925/liz-cheney-says-she-is-willing-to-campaign-for-democrats-1101215462.html https://sputnikglobe.com/20240912/will-insane-biden-provoke-world-war-iii-before-november-election-1120114630.html https://sputnikglobe.com/20240725/bidens-bitter-legacy-signals-culmination-of-betrayal-of-post-cold-war-hopes-for-peace-1119505408.html russia ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg peter kuznick, ukraine, peter kuznick biden, biden kennedy, joe biden hawk, joe biden neoconservative, democrats dick cheney, dick cheney kamala harris endorsement, us 2024 presidential election, cold war, russia-us war, world war iii, world war 3, us-ussr war, cuban crisis, 1962 crisis https://sputnikglobe.com/20240914/us-sanctions-on-russian-media-reveal-fear-insecurity-of-dying-empire--ex-cia-analyst-1120141022.html US Sanctions on Russian Media Reveal Fear, Insecurity of Dying Empire Ex-CIA Analyst US Sanctions on Russian Media Reveal Fear, Insecurity of Dying Empire Ex-CIA Analyst Sputnik International Former US State Department official and retired CIA intelligence officer Larry Johnson spoke with Sputnik about the new sanctions against Russian media announced by Secretary of State Antony Blinken Friday. 2024-09-14T00:35+0000 2024-09-14T00:35+0000 2024-09-14T00:35+0000 analysis larry johnson us karen kwiatkowski antony blinken russia sputnik cia defense department rt https://cdn1.img.sputnikglobe.com/img/07e8/09/0e/1120142048_0:0:1920:1080_1920x0_80_0_0_71c81c08439fff1d78f4a8d581ab05fd.png Former US State Department official and retired CIA intelligence officer Larry Johnson spoke with Sputnik about the new sanctions against Russian media announced by Secretary of State Antony Blinken Friday.This really is divorced from reality, he continued, questioning the influence of the Russian-sponsored television network which ceased operations in the United States in 2022. Other observers such as ex-US Defense Department analyst Karen Kwiatkowski have suggested the move is intended to ramp up Russiagate hysteria leading up to Novembers election.They are hoping to make a greater enemy of Russia so that they can point the finger at their opponent, which is Donald Trump, and say that Russia wants him, said Kwiatkowski in an interview with Sputnik. They are creating news and media coverage of something that very much fits into their narrative, which is an anti-Russian narrative which is related to the campaign.The US Treasury Department and US Attorney General Merrick Garland announced similar measures just one week ago. Last month the US Federal Bureau of Investigation (FBI) raided the homes of two prominent US dissidents who have offered commentary for RT and Sputnik.Never during the height of the Cold War did the United States ever take such drastic measures with respect to entities like Pravda and Tass, Johnson claimed, referring to the two famous news outlets that operated from the Soviet Union. Back then the United States had enough confidence in its own power of personal freedom that they didn't have to worry about [that], they weren't so insecure. What this reflects is just one more symptom of a dying empire where they have to go out and attack others.It's irrational. And again, I think it's a symptom of a weakness on the part of the United States. Weakness and fear. That's where this originates the United States is acknowledging that what it can't handle is the truth.We are not allowed to speak freely about American foreign policy, Kwiatkowski warned. We see it in terms of the demonstrations across the country in support of Gaza, trying to end that genocide. We are not allowed in this country to criticize the American neoconservative foreign policy. That is a done deal. We do not have freedom of speech in that area at all.The official death toll of Israels US-backed campaign in Gaza inched past 41,118 as Blinken spoke Friday morning. A previous study published by respected British medical journal The Lancet estimated the likely ultimate death toll at around 186,000, while author and political activist Ralph Nader recently placed the number at over 200,000. Thousands of bodies remain unrecoverable underneath the rubble produced by heavy Israeli bombardment of the territory, one of the most densely-populated locations on Earth. https://sputnikglobe.com/20240815/zombie-russiagate-conspiracy-persists-as-us-stokes-fear-hatred-of-moscow-1119775472.html https://sputnikglobe.com/20240823/beyond-mccarthyism-fbi-promises-continued-crackdown-on-us-journalists-dissidents-1119879025.html https://sputnikglobe.com/20240905/a-unique-kind-of-fascism-us-continues-clampdown-on-alternative-media-1120022422.html russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 US Can't Handle the Truth Larry Johnson Sputnik International US Can't Handle the Truth Larry Johnson 2024-09-14T00:35+0000 true PT3M07S 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 John Miles https://cdn1.img.sputnikglobe.com/img/07e8/01/19/1116388787_0:0:1316:1316_100x100_80_0_0_77e70d36afd983012b1c5d38ddb84156.jpg us dying empire, us russian media sanctions, us measures against russian media, us russian media censorship, us media censorship, russiagate, russian interference, russia interfered in elections, russia stole elections, us hegemony, us media, media censorship, police state, brave new world, authoritarian state, not a democracy, no freedom of speech, freedom of speech, freedom of press, freedom of thoughts, social media censorship, media censorship https://sputnikglobe.com/20240914/what-problems-are-britains-armed-forces-grappling-with--1120147092.html What Problems are Britains Armed Forces Grappling With? What Problems are Britains Armed Forces Grappling With? Sputnik International UK Prime Minister Sir Keir Starmer has not ruled out allowing Ukraine to use long-range Storm Shadow cruise missiles on targets inside Russia. Meanwhile, the UKs own armed forces are mired in crisis. 2024-09-14T09:44+0000 2024-09-14T09:44+0000 2024-09-14T09:44+0000 military military & intelligence united kingdom (uk) keir starmer national audit office (nao) raf (uk) royal navy https://cdn1.img.sputnikglobe.com/img/104819/28/1048192823_0:0:2048:1153_1920x0_80_0_0_eb1e26f6c33fe03e850cf8b10d1d5979.jpg UK Prime Minister Sir Keir Starmer has not ruled out allowing Ukraine to use long-range Storm Shadow cruise missiles on targets inside Russia. Yet the UKs warmongering bravado comes as its own armed forces are mired in crisis. Lack of ManpowerBritains armed forces are stretched thin, figures show. The intake of recruits in the 12 months to March 2023 dropped by 22.1% in the Royal Navy, almost 17% in the RAF, and nearly 15% in the army, according to official statistics. The professional ranks of the British Army currently number 75,166 regular forces personnel, compared with around 100,000 in 2010.A manpower crisis in the Royal Navy reportedly prompted the decommissioning of a number of ships. Technical Issues The Royal Navys flagship aircraft carriers the HMS Queen Elizabeth and HMS Prince of Wales have faced some technical issues, as the former was forced to pull out from NATOs Exercise Steadfast Defender drills in February 2024 after a malfunction. Commissioning new Type 26 frigates has been postponed, with initial operational capability anticipated from 2028.Januarys failed HMS Vanguard sea-launch test of the UKs nuclear-armed submarine-launched ballistic missile (SLBM) system, the Trident II D-5, was the second successive failure, following one in 2016 involving the HMS Vengeance. Lack of Money & Surplus of BureaucracyThe UK Ministry of Defense (MoD) is facing a 16.9 billion ($22.17 billion) deficit, the National Audit Office (NAO) revealed in 2023. The Public Accounts Committee (PAC) warned that the actual deficit could be closer to 29 billion ($38.05 billion) in a March 2024 report.The MoD's procurement and delivery processes are mired in delays. Just two of its 46 equipment programs are "highly likely" to be delivered to time, budget, and quality, British MPs warned earlier this year. Successful delivery of five Government Major Projects Portfolio schemes, including nuclear submarine reactors, was determined unachievable by a House of Commons Committee report in March. https://sputnikglobe.com/20240804/british-troops-living-in-moldy-rat-plagued-homes-as-top-brass-sits-on-multi-million-pound-pensions-1119635298.html https://sputnikglobe.com/20240221/uk-navy-carries-out-unsuccessful-launch-of-trident-ii-ballistic-missile-1116898840.html https://sputnikglobe.com/20240109/uks-seapower-sags-facing-recruitment-nightmare--naval-vessel-cutback-1116073739.html united kingdom (uk) Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 2024 Svetlana Ekimenko Svetlana Ekimenko News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rossiya Segodnya 252 60 Svetlana Ekimenko what is the state of the british military, is the british army strong, what does the british military spend its money on, is there a recruitment problem in the uk army, why does the uk army lack manpower, is the uk army shrinking, how strong is the uk army, what are the problems facing the uk army, british royal navy, type 23 class frigates, type 26 class frigates, uk defense ministry, type 45 class destroyers, royal navy recruitment decline, royal navy fleet reductions, uk navy operational challenges. After kicking off his career with a victory in the opening leg of the Ontario Sires Stakes Grassroots Series earlier this summer, Pierre In Paris grabbed his second victory in the provincial program as the regular season came to a close for the two-year-old trotting colts and geldings on Friday, Sept. 13 at Grand River Raceway. Pierre In Paris opened the Friday evening card winning the fastest of four $20,000 Grassroots divisions in a career-best 1:56.3 for trainer Scott McEneny, who also shares ownership with Aristi Varsakis, Martwest Racing and Cantucky Farm. The winning time equalled the Canadian record for a two-year-old trotting gelding on a five-eighths mile track, co-held by Dream Nation (2018, Georgian Downs) and You Got It Kemp (2024, Grand River Raceway). After testing Gold and Grand Circuit competition since his successful July 12 debut at Woodbine Mohawk Park, Pierre In Paris returned to the Grassroots level for a fifth-leg victory over the Elora oval. Driven by Trevor Henry, the even-money favourite re-took the lead after a :28 first quarter and cruised uncontested through middle splits of :57.3 and 1:27.4 en route to the five-length victory. The Archangel-French Doll gelding improved his career record to three-for-seven. After a brief appearance on the lead during the first panel, HP Lucas followed in second with Captain Suzuki shooting up the inside to secure the third-place honours. Henry doubled up in OSS action as Cousin Halifax earned his second straight Grassroots triumph with a 1:59.4 front-end effort as the overwhelming favourite for trainer David Menary and owner W. J. Donovan. The Trixton-Ms Mischief gelding has finished no worse than second in his five career starts, with three wins. First-over challenger The Bear A Cuda finished 1-1/4 lengths behind with early trailer The Governor grabbing third prize. First Class Rule was also a repeat winner in the Grassroots Series as he turned back a challenge from the divisional point leader, Hay Dad, with that one fading to fourth. Driven by Todd Ratchford for trainer Matt Bax, the winning son of Muscle Mass-Ruling Class stopped the clock in a new mark of 1:57.2 with a margin of four lengths on his foes. He paid $13.60 for the mild upset, with another top-ranked pair in Alimony Mike and Wendell Blue Chip rounding out the triactor ticket. First Class Rule, now two-for-seven, is owned by Bax Stable, Glengate Farms, Gaelic Stable and Ronald Van Noort. The other division was won by the Dustin Jones-trained and reined Mr Pasadena, who looped the early leader by the quarter pole and held off favourite Go Blue for a maiden-breaking victory in 1:58.1 by half a length, paying $14 for the mild upset, with A Fine Bordeaux third. A seven-time starter, the Green Manalishi S-Winnie The Who colt is owned by Dustin Jones Stables, Hebert Horses, Sjoblom Racing and Todd Coleman. The top point earners in the Grassroots Series will face off at Mohawk in the divisional finals on Sept. 28 and the consolations on Sept. 27. Friday's card also included the first round of a Pop-Up Series for pacing fillies and mares not averaging $650 per start this year with a minimum of 10 starts. The winners of the two $6,500 divisions took new lifetime marks with Miss Sophia Rose ($10.90) and driver Jason Ryan scoring in 1:56.1 for trainer Mark Goddard and Snake Eyes Hanover ($11.50) winning a 1:53.2 duel in rein to Austin Sorrie for trainer Riley Simpson. To view Friday's harness racing results, click the following link: Friday Results - Grand River Raceway. (Standardbred Canada) Boeing Machinists union members voted Thursday by an overwhelming majority to reject managements contract offer and go on strike. Boeings 33,000 blue-collar workers were instructed to walk out at 12:01 a.m. Friday and stay out indefinitely. International Association of Machinists District 751 President Jon Holden, who on Sunday urged members to accept the deal, announced the result to raucous cheers and chants of Strike! Strike! Strike! to about 80 Machinists late Thursday at the union headquarters in South Park. This is about respect, this is about addressing the past and this is about fighting for our future, Holden told the crowd. We strike at midnight. He said 94.6% voted to reject the contract and 96% voted to strike, more than the two-thirds majority required by union rules to authorize a walkout. At a news conference after the announcement, Holden said Im proud of our members, proud of them for standing up and fighting for more, for each other, for their families, for the community. Were going to get back to the table as quickly as we can, he said, Well certainly engage so that we can try to resolve the issues and address what the members needs are. Boeing did not immediately offer comment on the vote. After the announcement, Jon-Paul, a Seattle-based Machinist who asked to be identified only by his first name to protect his job, said he wasnt sure until the vote count was announced if his colleagues would approve a strike. I always wondered if wed walk together and think alike, he said. It feels good to know. Holden said union members will be staffing the picket lines tonight, and well be there 24/7 all across Puget Sound and Portland and the other locations. Our members are ready, and so well take it in stride, he said. Even before Holden delivered the result, a team of union officials outside was busily cutting holes in large metal barrels, carving the initials IAM into the side of each and adding a cylindrical chimney on top. Theyll be used as burn barrels, with fires lit inside to keep pickets warm in the nights and days ahead. Inside the hall, buckets were filled with premade On Strike signs. Votes were tallied from polling places across the Puget Sound region, as well as in Moses Lake, Washington; Portland, Oregon; Victorville, California; and Edwards Air Force Base in Southern California. The result was anticipated. Machinists have demonstrated and marched inside the local factories for days, loudly protesting Sundays contract offer. The main reason workers interviewed gave for rejecting the contract was that the wage increase was far short of what they wanted. They did not even accept that Boeings stated wage increase was really 25% over four years as the company presented it, since the Machinists at the same time lost their annual bonus, which might have been worth around 4% each of those years. Brandon Phelps, 35, a former U.S. Air Force mechanic who installed weapons systems on Boeing F-15s in Afghanistan and is now a team lead in the Renton, Washington, 737 assembly plant, said the increase is just over 10% over four years once that takeaway is considered. He said he loves working at Boeing, where he finds the same camaraderie as in the military, but backs a strike because the lower-paid, entry-level workers on his team cannot live on their wage. At Panda Express, theyre making as much as a grade-three mechanic, Phelps said. Bryan Schroeder, an electrician based in Everett, Washington, said Thursday outside the polling place that he initially thought the proposed contract was pretty good when he read it on Sunday. But after talking through the details with colleagues the next day, he decided Boeing had misled workers about the wage increases in the offer. Its just a matter of them trying to make things look better than they are, Schroeder said. I realized it was smoke and mirrors. Relaxed and unified entering a strike The last Machinists strike, in 2008, was unfortunately timed. Lehman Brothers bank collapsed, initiating a meltdown in global financial markets, just days after the strike was called. The Machinists returned to work with limited gains after 57 days on strike. Yet on Thursday 16 years later and 10 years after Boeing forced another bitterly resented contract on the union by threatening to build the new 777X jet elsewhere when a strike wasnt an option the atmosphere was relaxed and unified as a steady stream of Machinists of all ages and ethnicities voted at polling places near their worksites. Union members greeted colleagues as they walked into polling locations spread across the Puget Sound region and huddled in groups as they walked back out to discuss their votes. Workers asked one another if they voted no on the contract and yes on the strike. In Everett, where workers split up to vote at a community park and a tech skills center near an area high school, machinists trickled in on breaks or before their shifts to cast their votes. Outside Kasch Park, one pickup kept a loudspeaker going with the chant strike, strike, strike. Silay Chindavong, who has worked at the Everett widebody jet plant for the past year-and-a-half, came to cast her vote with a T-shirt that read Out The Door in 24. Rossie Binet, a longtime Boeing contractor who recently joined as a full-time employee, stepped off the bus brandishing a Rosie the Riveter sign. Binet and her husband, a longtime Boeing employee who was there for the 2008 strike, were prepared to make the necessary sacrifices, she said Thursday. I hope it goes all the way. We need a fair contract. Yves Diirell and Elizabeth Sheridan, both longtime Boeing employees, said they werent returning to work after casting their vote to strike Thursday morning. Diirell, who has worked at Boeing since 1997, said this years momentum is stronger than in 2008. This vote is not just about us, he said. This vote is for the people that come after us. At the Renton union hall, workers interviewed were similarly solid on voting to strike and seemed unfazed by the prospect of losing their paychecks. Jacquelyn Vaden, 57, who has worked at Boeing for more than 36 years and is now a team lead in Everett at the facility that makes insulation blankets for the fuselage, has been through three strikes. The eight-week 2008 strike wasnt so tough, she said. You prepare for it. You save. You are careful with how you spend your money. Vaden said she has saved again for this one and wont look for another job. Ill utilize every day to get some rest and get stuff done for my dad. Zachary Haley, 37, with almost five years at the company and a quality inspector in Renton, is a third-generation Boeing employee. I have to work stupid amounts of overtime to get enough of a paycheck to survive. Were not making microwaves in there; were making planes that fly around the world, Haley said. He says hes not worried if a strike is extended. I got a brain, he said. I can get jobs elsewhere. Younger Machinists back the strike too Workers who are relatively new to Boeing earn far lower wages, and one might expect more worry about how theyd make ends meet in the event of a long-term strike. That didnt stop recent hires from voting to walk out Thursday. One of those was Calvin, 24, who has worked on final assembly in Renton for just over a year and asked that only his first name be publicized for fear of retaliation. Hes been pursuing a masters degree in business part time. On strike, hell just focus on that. Hes saved enough to last for three months, he said. Calvin added that hes not worried about a strike harming the company. Boeing is Americas baby, he said. They wont let that baby sink. Two workers who started in Everett this year, and also asked to remain anonymous to protect their jobs, said they were prepared to strike for one month. After that, they would need to look for seasonal work. Both had children at home, mortgages to pay and families that were asking what a strike would mean for them. But they didnt hesitate to cast their vote, the workers said Thursday. Solidarity is the most important thing to be a union member, one said. Another relatively new Renton employee, Myra Mercer, 29, is a third-generation Boeing employee with her mom and stepdad currently at Boeing. Mercer said she loves the environment at Boeing and the people are great. However, she said the contract offer doesnt reflect how hard people work and the importance of what they do building machines that carry people around the world. Unmoved by the tide At the Machinists union headquarters in the South Park neighborhood of Seattle, close to Boeing Field but not the large assembly plants, a smaller flow of machinists came to vote Thursday, including some from Boeings Military Delivery Center at Boeing Field. Among those was Michael Rizza, an eight-year U.S. Army veteran, who steadfastly took a different stand. Opposed to a strike, he said he likely wont go out despite the vote. I did not vote to strike, Rizza said. I think its a fair deal. Rizza, 32, joined Boeing just about a year ago. He had done aviation flight-line mechanic work for six years previously and now maintains KC-46 tankers for the Air Force. He said about 90% of the workers at the center are ex-military and most of the vets over there are happy with the offer and think its a good deal, he said, adding that he thinks the union is being unrealistic about the contract. He currently earns $38 an hour and in addition to the raises in the offer, hell get an extra $2 an hour because he has security clearance, he said. Rizza said he respects the union, but I personally cannot strike. I have a little baby on the way, and my daughter has to have surgery. Its not realistic to go find another job. If a strike is called, he said before votes were counted, Ill probably come to work. Strikes often inflame passions as union members suffering the loss of income resent anyone going to work through picket lines. That sometimes draws heated abuse from members on strike. Yet Rizza is firm that hell do whats right for him and his family. Im not afraid. Why should I be afraid? People are entitled to their opinion. They dont know me or my story, Rizza said. They wont force their opinion on me. Thats 100% not what this country stands for. Whats next? The longer this strike goes on, the more damage will be done to the company, the Machinists and the regions economy. The vote was emphatic but what comes next is unpredictable. Theres no telling how long the strike might last. Many Machinists say that if it lasts until Thanksgiving, Boeing considering that the plants always close over the Christmas break may just let the workers stay out until the new year. But such speculation conjures an immensely damaging strike of more than 100 days, longer than any Machinist strike since the first one in 1948 that lasted 140 days. Boeing Commercial Airplane CEO Stephanie Pope told employees in a message Tuesday that management gave all it could in the negotiations, and we did not hold back with an eye on a second vote. At some point though, there must be a way to get people back to work, a difficult challenge for Boeings new CEO Kelly Ortberg. Holden on Thursday night said Ortberg was in a tough position coming in not too long ago. Its hard to make up for 16 years. This isnt necessarily a reflection of him. He said the federal mediation service will likely be involved to help bridge the gap between union and management. Broderick Conway, 29, a Renton quality inspector with just a year-and-a-half at Boeing, said the contract offer was so far from what the union wanted that management will have to come up with something big to get us back. Wildlife feeding is now formally off-limits in parts of Spokane County under a new rule announced Thursday as part of the states fight against chronic wasting disease. The Washington Department of Fish and Wildlife has finalized an emergency rule banning the feeding of elk, moose and deer in three hunting districts surrounding Spokane. Its already illegal to feed carnivores like bears, and wildlife officials have long discouraged feeding wildlife, but the rule announced Thursday marks the first time the agency has prohibited feeding the three antlered species. The prohibition is one of several measures the agency has put in place to limit the spread of CWD, an always-fatal condition that was discovered in a deer in north Spokane this summer. Washington was the 35th state to detect the disease, which attacks the nervous systems of deer, elk and moose. It is not known to infect humans, though health officials advise against eating meat from infected animals. Left unchecked, it has the potential to do major damage to cervid populations. There is no cure, so combatting the disease means trying to limit or slow its spread. Last month, the WDFW announced a suite of emergency rules in response to the detection, including a ban on hunting with bait and mandatory CWD testing for hunters near where the infected deer was found. The agency also put restrictions on transporting dead animal parts from eastern Washington to other parts of the state. A prohibition on feeding wildlife seeks to keep people from encouraging animals to congregate in large numbers. CWD spreads through bodily fluids like saliva and urine, and drawing animals together over hay or grain can encourage its spread. The ban applies to game management units 124, 127 and 130. The infected deer was found in the Fairwood area of north Spokane, which is in unit 124. The borders of the other two units are within 10 miles of where the deer was found. Combined, the three units include the entirety of Spokane and stretch to the Idaho border in the east and the town of Sprague in the west. Agricultural practices are not affected by the feeding ban, WDFW said in a news release. Many states prohibit feeding wildlife. In the past, Washington lawmakers have proposed a statewide ban. Some cities have local ordinances banning wildlife feeding, such as Medical Lake. WDFW says feeding wildlife is often well-intentioned but can have detrimental effects even beyond the spread of CWD. It can habituate animals to people or cause them to show aggressive behavior to people or pets. It could also cause them to cross roads and get hit by cars. Staci Lehman, a WDFW spokesperson, said the agency would consider making the rule permanent and applying it statewide during a formal rulemaking process. Doing so would force the agency to confront its own role in feeding wildlife. Each winter, WDFW staff feed elk at the Oak Creek Wildlife Area northwest of Yakima. Lehman said that operation has gone on for decades, and originally began as a way to ease the pressure elk were putting on agricultural producers in the area. She said the practice is something the agency will have to take a long look at. Alger Mid Cap 40 ETF (NYSEARCA:FRTY Get Free Report) shares were up 1.2% on Wednesday . The stock traded as high as $16.01 and last traded at $16.01. Approximately 4,085 shares changed hands during trading, a decline of 73% from the average daily volume of 15,393 shares. The stock had previously closed at $15.83. Alger Mid Cap 40 ETF Price Performance The stock has a market capitalization of $35.02 million, a price-to-earnings ratio of 60.83 and a beta of 1.39. The stocks 50 day simple moving average is $15.95 and its 200-day simple moving average is $15.93. Alger Mid Cap 40 ETF Company Profile (Get Free Report) The Alger Mid Cap 40 ETF (FRTY) is an exchange-traded fund that is based on the Russell Midcap Growth index. The fund is an actively-managed, non-transparent ETF that provides exposure to US mid-cap companies with growth characteristics. The fund utilizes the Precidian non-transparent model. FRTY was launched on Feb 26, 2021 and is managed by Alger. Featured Articles Receive News & Ratings for Alger Mid Cap 40 ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Alger Mid Cap 40 ETF and related companies with MarketBeat.com's FREE daily email newsletter. Bank Hapoalim B.M. (OTCMKTS:BKHYY Get Free Report) reached a new 52-week high on Wednesday . The stock traded as high as $49.75 and last traded at $49.75, with a volume of 2 shares changing hands. The stock had previously closed at $49.75. Bank Hapoalim B.M. Stock Performance The company has a debt-to-equity ratio of 0.35, a quick ratio of 0.92 and a current ratio of 0.92. The company has a market capitalization of $13.28 billion, a price-to-earnings ratio of 6.54 and a beta of 1.06. The firm has a 50-day simple moving average of $47.96 and a two-hundred day simple moving average of $46.09. Get Bank Hapoalim B.M. alerts: Bank Hapoalim B.M. (OTCMKTS:BKHYY Get Free Report) last announced its quarterly earnings data on Wednesday, August 14th. The bank reported $2.24 earnings per share for the quarter. The firm had revenue of $1.54 billion during the quarter. Bank Hapoalim B.M. had a net margin of 19.42% and a return on equity of 14.22%. On average, sell-side analysts anticipate that Bank Hapoalim B.M. will post 6.72 EPS for the current fiscal year. Bank Hapoalim B.M. Cuts Dividend Bank Hapoalim B.M. Company Profile The business also recently disclosed a dividend, which will be paid on Thursday, September 19th. Stockholders of record on Monday, August 26th will be given a dividend of $0.4711 per share. The ex-dividend date is Monday, August 26th. Bank Hapoalim B.M.s dividend payout ratio is currently 25.20%. (Get Free Report) Bank Hapoalim B.M., together with its subsidiaries, provides various banking and financial products and services in Israel and internationally. It operates through Household, Private Banking, Small businesses and micro businesses, Mid-sized businesses, Large businesses, Institutional entities, and Financial management segments. See Also Receive News & Ratings for Bank Hapoalim B.M. Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank Hapoalim B.M. and related companies with MarketBeat.com's FREE daily email newsletter. Barclays PLC (NYSE:BCS) was the target of a significant growth in short interest during the month of August. As of August 31st, there was short interest totalling 7,090,000 shares, a growth of 80.9% from the August 15th total of 3,920,000 shares. Based on an average trading volume of 13,450,000 shares, the short-interest ratio is currently 0.5 days. Approximately 0.2% of the companys shares are sold short. Barclays Stock Up 1.6 % Shares of BCS stock traded up $0.18 on Friday, hitting $11.74. The stock had a trading volume of 24,018,932 shares, compared to its average volume of 15,394,604. Barclays has a 52-week low of $6.23 and a 52-week high of $12.49. The company has a debt-to-equity ratio of 5.98, a quick ratio of 1.39 and a current ratio of 1.39. The firm has a market capitalization of $42.98 billion, a price-to-earnings ratio of 8.76, a P/E/G ratio of 0.63 and a beta of 1.43. The stocks 50 day moving average is $11.68 and its 200-day moving average is $10.65. Get Barclays alerts: Barclays (NYSE:BCS Get Free Report) last posted its quarterly earnings results on Thursday, August 1st. The financial services provider reported $0.42 earnings per share (EPS) for the quarter, beating the consensus estimate of $0.40 by $0.02. The firm had revenue of $7.98 billion for the quarter. Barclays had a net margin of 16.83% and a return on equity of 5.94%. During the same period in the prior year, the company earned $0.43 earnings per share. As a group, research analysts expect that Barclays will post 1.68 earnings per share for the current year. Barclays Increases Dividend Analysts Set New Price Targets The company also recently declared a semi-annual dividend, which will be paid on Friday, September 20th. Investors of record on Friday, August 16th will be paid a $0.2684 dividend. This represents a yield of 3.6%. This is an increase from Barclayss previous semi-annual dividend of $0.27. The ex-dividend date of this dividend is Friday, August 16th. Barclayss dividend payout ratio (DPR) is 21.64%. BCS has been the topic of several research analyst reports. Kepler Capital Markets started coverage on Barclays in a research note on Thursday, September 5th. They issued a buy rating on the stock. StockNews.com upgraded shares of Barclays from a hold rating to a buy rating in a report on Tuesday, July 9th. One investment analyst has rated the stock with a sell rating and four have issued a buy rating to the company. Based on data from MarketBeat.com, Barclays currently has an average rating of Moderate Buy. Get Our Latest Report on BCS Institutional Trading of Barclays Several institutional investors and hedge funds have recently bought and sold shares of BCS. Sanctuary Advisors LLC bought a new stake in shares of Barclays in the 2nd quarter valued at approximately $1,090,000. Teachers Retirement System of The State of Kentucky increased its stake in Barclays by 29.8% during the second quarter. Teachers Retirement System of The State of Kentucky now owns 1,990,600 shares of the financial services providers stock valued at $21,319,000 after purchasing an additional 457,000 shares during the last quarter. Senator Investment Group LP acquired a new stake in shares of Barclays during the second quarter worth $738,000. Creative Planning lifted its position in shares of Barclays by 13.0% in the 2nd quarter. Creative Planning now owns 277,928 shares of the financial services providers stock worth $2,977,000 after buying an additional 31,987 shares during the last quarter. Finally, Kathmere Capital Management LLC boosted its holdings in shares of Barclays by 10.2% in the 2nd quarter. Kathmere Capital Management LLC now owns 35,153 shares of the financial services providers stock valued at $376,000 after buying an additional 3,241 shares during the period. Institutional investors and hedge funds own 3.39% of the companys stock. About Barclays (Get Free Report) Barclays PLC provides various financial services in the United Kingdom, Europe, the Americas, Africa, the Middle East, and Asia. The company operates through Barclays UK and Barclays International division segments. It offers financial services, such as retail banking, credit cards, wholesale banking, investment banking, wealth management, and investment management services. Recommended Stories Receive News & Ratings for Barclays Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Barclays and related companies with MarketBeat.com's FREE daily email newsletter. Cambridge Cognition Holdings Plc (LON:COG Get Free Report)s stock price passed below its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of GBX 46.92 ($0.61) and traded as low as GBX 45.66 ($0.60). Cambridge Cognition shares last traded at GBX 46 ($0.60), with a volume of 10,738 shares trading hands. Cambridge Cognition Stock Up 1.3 % The company has a current ratio of 0.65, a quick ratio of 0.96 and a debt-to-equity ratio of 92.53. The stock has a market capitalization of 16.36 million, a PE ratio of -975.00 and a beta of 0.72. The stocks fifty day simple moving average is GBX 43.52 and its 200-day simple moving average is GBX 46.86. About Cambridge Cognition (Get Free Report) Cambridge Cognition Holdings Plc, a neuroscience technology company, develops and markets near-patient cognitive testing techniques in the United States, United Kingdom, the European Union, and internationally. The company offers CANTAB digital cognitive assessment platform for drug development by enabling pharmaceutical companies to take new therapeutics from preclinical consultancy to pivotal studies and approval, as well as supports sponsors to enhance recruitment, develop safe and effective treatments, and enhance research and development efficiency. Read More Receive News & Ratings for Cambridge Cognition Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Cambridge Cognition and related companies with MarketBeat.com's FREE daily email newsletter. Decisive Dividend Co. (OTCMKTS:DEDVF Get Free Report) traded down 1.3% during trading on Wednesday . The stock traded as low as $4.44 and last traded at $4.44. 500 shares traded hands during trading, a decline of 78% from the average session volume of 2,237 shares. The stock had previously closed at $4.50. Decisive Dividend Price Performance The stock has a 50 day simple moving average of $4.83 and a two-hundred day simple moving average of $6.02. Decisive Dividend Company Profile (Get Free Report) Decisive Dividend Corporation, through its subsidiaries, manufactures and sells wood burning stoves, fireplace inserts, and gas fireplaces in Canada, the United States, and internationally. It operates in two segments, Finished Product and Component Manufacturing. The company also designs, manufactures, and markets air blast sprayers for use in agricultural industry under the Turbo Mist brand; and wastewater evaporator systems that are used in mining, oil and gas, and waste management industries. See Also Receive News & Ratings for Decisive Dividend Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Decisive Dividend and related companies with MarketBeat.com's FREE daily email newsletter. Fresh Vine Wine, Inc. (NYSEAMERICAN:VINE Get Free Report) shares traded up 6% on Wednesday . The company traded as high as $0.54 and last traded at $0.53. 186,052 shares changed hands during trading, a decline of 7% from the average session volume of 200,241 shares. The stock had previously closed at $0.50. Fresh Vine Wine Trading Up 7.2 % The firm has a market capitalization of $9.06 million, a price-to-earnings ratio of -0.94 and a beta of 2.01. Get Fresh Vine Wine alerts: Fresh Vine Wine (NYSEAMERICAN:VINE Get Free Report) last announced its quarterly earnings data on Wednesday, August 14th. The company reported ($0.06) EPS for the quarter. Fresh Vine Wine had a negative net margin of 498.73% and a negative return on equity of 6,301.44%. The business had revenue of $0.07 million during the quarter. Fresh Vine Wine Company Profile Fresh Vine Wine, Inc produces and sells low-carb and low-calorie wines in the United States and Puerto Rico. Its wine varietals include Cabernet Sauvignon, Chardonnay, Pinot Noir, Rose, Sauvignon Blanc, Sparkling Rose, and Reserve Napa Cabernet Sauvignon. The company sells its products through wholesale, retail, and direct-to-consumer channels. Featured Stories Receive News & Ratings for Fresh Vine Wine Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Fresh Vine Wine and related companies with MarketBeat.com's FREE daily email newsletter. Insight Select Income Fund (NYSE:INSI Get Free Report) was the target of a significant growth in short interest during the month of August. As of August 31st, there was short interest totalling 4,400 shares, a growth of 69.2% from the August 15th total of 2,600 shares. Based on an average trading volume of 9,000 shares, the days-to-cover ratio is presently 0.5 days. Insight Select Income Fund Price Performance Shares of INSI stock traded up $0.21 on Friday, reaching $17.25. 19,917 shares of the company were exchanged, compared to its average volume of 14,479. The company has a 50-day moving average of $16.74 and a 200-day moving average of $16.32. Insight Select Income Fund has a one year low of $14.28 and a one year high of $17.60. Get Insight Select Income Fund alerts: Insight Select Income Fund Dividend Announcement The firm also recently announced a quarterly dividend, which was paid on Thursday, July 25th. Investors of record on Wednesday, July 10th were issued a $0.20 dividend. The ex-dividend date was Wednesday, July 10th. This represents a $0.80 dividend on an annualized basis and a dividend yield of 4.64%. Institutional Investors Weigh In On Insight Select Income Fund About Insight Select Income Fund A number of institutional investors and hedge funds have recently made changes to their positions in INSI. Karpus Management Inc. raised its holdings in shares of Insight Select Income Fund by 7.4% in the 4th quarter. Karpus Management Inc. now owns 531,853 shares of the companys stock worth $8,494,000 after acquiring an additional 36,835 shares during the last quarter. Atlantic Union Bankshares Corp increased its stake in Insight Select Income Fund by 13.0% in the 1st quarter. Atlantic Union Bankshares Corp now owns 26,632 shares of the companys stock valued at $439,000 after buying an additional 3,065 shares during the last quarter. Riverbridge Partners LLC purchased a new stake in Insight Select Income Fund in the 1st quarter valued at about $447,000. SeaBridge Investment Advisors LLC increased its stake in Insight Select Income Fund by 5.1% in the 1st quarter. SeaBridge Investment Advisors LLC now owns 148,853 shares of the companys stock valued at $2,455,000 after buying an additional 7,159 shares during the last quarter. Finally, Wolverine Asset Management LLC purchased a new stake in Insight Select Income Fund in the 2nd quarter valued at about $156,000. Institutional investors and hedge funds own 49.32% of the companys stock. (Get Free Report) Insight Select Income Fund is a closed ended fixed income mutual fund launched and managed by Cutwater Asset Management Corp. The fund invests in the fixed income markets of the United States. It primarily invests in short-term and long-term debt securities. The fund invests in the securities rated within the highest four grades by Moody's or Standard & Poor's, obligations of the U.S. Recommended Stories Receive News & Ratings for Insight Select Income Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Insight Select Income Fund and related companies with MarketBeat.com's FREE daily email newsletter. International Game Technology (NYSE:IGT Get Free Report) was downgraded by equities researchers at StockNews.com from a buy rating to a hold rating in a research report issued on Friday. A number of other analysts have also issued reports on the company. B. Riley reissued a buy rating and issued a $30.00 target price on shares of International Game Technology in a research report on Friday, July 26th. Susquehanna dropped their price objective on International Game Technology from $40.00 to $33.00 and set a positive rating for the company in a research note on Thursday, May 16th. Finally, Stifel Nicolaus boosted their price target on shares of International Game Technology from $26.00 to $30.00 and gave the stock a buy rating in a research note on Wednesday, July 31st. Three investment analysts have rated the stock with a hold rating and four have assigned a buy rating to the companys stock. Based on data from MarketBeat, International Game Technology currently has an average rating of Moderate Buy and a consensus target price of $28.67. Get International Game Technology alerts: Get Our Latest Stock Analysis on IGT International Game Technology Trading Up 0.7 % Shares of NYSE IGT traded up $0.15 during mid-day trading on Friday, reaching $21.68. 494,420 shares of the companys stock were exchanged, compared to its average volume of 1,169,617. The companys 50-day moving average is $21.59 and its 200-day moving average is $21.28. The company has a debt-to-equity ratio of 2.57, a quick ratio of 0.71 and a current ratio of 0.84. The firm has a market capitalization of $4.32 billion, a price-to-earnings ratio of 20.65 and a beta of 1.96. International Game Technology has a 52-week low of $18.90 and a 52-week high of $33.48. International Game Technology (NYSE:IGT Get Free Report) last announced its earnings results on Tuesday, July 30th. The company reported $0.36 earnings per share for the quarter, topping the consensus estimate of $0.29 by $0.07. The company had revenue of $1.05 billion during the quarter, compared to analyst estimates of $1.06 billion. International Game Technology had a net margin of 4.89% and a return on equity of 19.95%. International Game Technologys revenue was down .6% compared to the same quarter last year. During the same quarter last year, the firm posted $0.45 earnings per share. Analysts expect that International Game Technology will post 1.48 EPS for the current fiscal year. Institutional Inflows and Outflows A number of institutional investors have recently bought and sold shares of IGT. Oliver Lagore Vanvalin Investment Group acquired a new position in International Game Technology in the 2nd quarter valued at about $31,000. Blue Trust Inc. purchased a new stake in shares of International Game Technology during the second quarter worth approximately $44,000. General Partner Inc. acquired a new stake in shares of International Game Technology during the first quarter worth $45,000. Hexagon Capital Partners LLC grew its position in International Game Technology by 1,633.6% in the 2nd quarter. Hexagon Capital Partners LLC now owns 2,219 shares of the companys stock valued at $45,000 after buying an additional 2,091 shares during the last quarter. Finally, CWM LLC increased its stake in International Game Technology by 156.7% in the 2nd quarter. CWM LLC now owns 2,321 shares of the companys stock valued at $47,000 after buying an additional 1,417 shares during the period. 44.33% of the stock is currently owned by institutional investors and hedge funds. International Game Technology Company Profile (Get Free Report) International Game Technology PLC operates and provides gaming technology products and services in the United States, Canada, Italy, The United Kingdom, rest of Europe, and internationally. It operates through three segments: Global Lottery, Global Gaming, and PlayDigital. The company designs, sells, operates, and leases a suite of point-of-sale machines that reconciles lottery funds between the retailer and lottery authority; provides online lottery transaction processing systems; produces instant ticket games; and offers printing services, such as instant ticket marketing plans and graphic design, programming, packaging, shipping, and delivery services, as well as iLottery solutions and services. Recommended Stories Receive News & Ratings for International Game Technology Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for International Game Technology and related companies with MarketBeat.com's FREE daily email newsletter. Nuveen Arizona Quality Municipal Income Fund (NYSE:NAZ Get Free Report)s stock price passed above its 200-day moving average during trading on Wednesday . The stock has a 200-day moving average of $11.14 and traded as high as $11.95. Nuveen Arizona Quality Municipal Income Fund shares last traded at $11.92, with a volume of 36,441 shares changing hands. Nuveen Arizona Quality Municipal Income Fund Stock Down 0.2 % The firm has a fifty day simple moving average of $11.61 and a two-hundred day simple moving average of $11.15. Get Nuveen Arizona Quality Municipal Income Fund alerts: Nuveen Arizona Quality Municipal Income Fund Dividend Announcement The business also recently announced a monthly dividend, which will be paid on Tuesday, October 1st. Shareholders of record on Friday, September 13th will be given a dividend of $0.0725 per share. The ex-dividend date of this dividend is Friday, September 13th. This represents a $0.87 annualized dividend and a yield of 7.35%. Institutional Inflows and Outflows Nuveen Arizona Quality Municipal Income Fund Company Profile Hedge funds and other institutional investors have recently added to or reduced their stakes in the stock. Cetera Investment Advisers boosted its holdings in shares of Nuveen Arizona Quality Municipal Income Fund by 1.1% in the 2nd quarter. Cetera Investment Advisers now owns 99,843 shares of the investment management companys stock worth $1,114,000 after purchasing an additional 1,072 shares in the last quarter. Whitebox Advisors LLC purchased a new stake in Nuveen Arizona Quality Municipal Income Fund in the second quarter worth approximately $405,000. Blue Bell Private Wealth Management LLC purchased a new stake in Nuveen Arizona Quality Municipal Income Fund in the second quarter worth approximately $34,000. Commonwealth Equity Services LLC grew its position in Nuveen Arizona Quality Municipal Income Fund by 4.1% during the second quarter. Commonwealth Equity Services LLC now owns 26,762 shares of the investment management companys stock valued at $299,000 after acquiring an additional 1,052 shares during the last quarter. Finally, Cetera Advisors LLC raised its stake in shares of Nuveen Arizona Quality Municipal Income Fund by 1.5% during the first quarter. Cetera Advisors LLC now owns 94,537 shares of the investment management companys stock worth $1,038,000 after acquiring an additional 1,372 shares in the last quarter. 15.28% of the stock is currently owned by hedge funds and other institutional investors. (Get Free Report) Nuveen Arizona Quality Municipal Income Fund is a closed ended fixed income mutual fund launched by Nuveen Investments, Inc The fund is managed by Nuveen Asset Management, LLC. It invests in the fixed income markets of Arizona. The fund invests in municipal securities and other related investments the income, exempt from regular federal and Arizona income taxes that are rated Baa or BBB or better and having an average maturity of 18.66 years. Featured Stories Receive News & Ratings for Nuveen Arizona Quality Municipal Income Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Nuveen Arizona Quality Municipal Income Fund and related companies with MarketBeat.com's FREE daily email newsletter. PCCW Limited (OTCMKTS:PCCWY Get Free Report) was the recipient of a significant drop in short interest during the month of August. As of August 31st, there was short interest totalling 200 shares, a drop of 95.6% from the August 15th total of 4,500 shares. Based on an average trading volume of 4,400 shares, the short-interest ratio is currently 0.0 days. PCCW Price Performance Shares of PCCWY stock traded up $0.55 during trading hours on Friday, hitting $5.61. 311 shares of the companys stock traded hands, compared to its average volume of 2,798. The stocks 50 day simple moving average is $5.37 and its 200 day simple moving average is $5.19. PCCW has a 12 month low of $4.30 and a 12 month high of $6.01. Get PCCW alerts: PCCW Cuts Dividend The firm also recently declared a dividend, which was paid on Wednesday, September 11th. Stockholders of record on Monday, August 12th were paid a dividend of $0.1051 per share. The ex-dividend date was Monday, August 12th. PCCW Company Profile PCCW Limited provides telecommunications and related services in Hong Kong, Mainland and other parts of China, Singapore, and internationally. The company's services include local telephony, local data and broadband, mobile, enterprise solutions, international telecommunications, and satellite-based and network-based telecommunications services; outsourcing, consulting, and contact center services; and technical consulting and engineering services. Recommended Stories Receive News & Ratings for PCCW Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for PCCW and related companies with MarketBeat.com's FREE daily email newsletter. Shares of The Scottish American Investment Company P.L.C. (LON:SAIN Get Free Report) shot up 0.4% on Wednesday . The company traded as high as GBX 503 ($6.58) and last traded at GBX 500.27 ($6.54). 367,383 shares changed hands during mid-day trading, an increase of 43% from the average session volume of 256,720 shares. The stock had previously closed at GBX 498.50 ($6.52). Scottish American Investment Stock Performance The company has a quick ratio of 3.87, a current ratio of 4.03 and a debt-to-equity ratio of 9.75. The stock has a 50 day simple moving average of GBX 511.71 and a two-hundred day simple moving average of GBX 507.86. The stock has a market capitalization of 902.30 million, a P/E ratio of 1,012.00 and a beta of 0.65. Get Scottish American Investment alerts: Scottish American Investment Increases Dividend The firm also recently announced a dividend, which will be paid on Thursday, September 19th. Stockholders of record on Thursday, August 8th will be paid a dividend of GBX 3.55 ($0.05) per share. This is an increase from Scottish American Investments previous dividend of $3.45. This represents a dividend yield of 0.68%. The ex-dividend date is Thursday, August 8th. Scottish American Investments payout ratio is currently 2,800.00%. About Scottish American Investment The Scottish American Investment Company P.L.C. is a closed-ended equity mutual fund launched and managed by Baillie Gifford & Co Ltd. The fund is co-managed by Baillie Gifford & Co and OLIM Property Limited. It invests in public equity markets across the globe. The fund seeks to invest in stocks of companies operating across diversified sectors. See Also Receive News & Ratings for Scottish American Investment Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Scottish American Investment and related companies with MarketBeat.com's FREE daily email newsletter. Wilmar International Limited (OTCMKTS:WLMIY Get Free Report) shares passed above its fifty day moving average during trading on Wednesday . The stock has a fifty day moving average of $23.66 and traded as high as $24.67. Wilmar International shares last traded at $24.57, with a volume of 56,812 shares trading hands. Wilmar International Price Performance The company has a 50-day moving average of $23.70 and a 200-day moving average of $24.07. Get Wilmar International alerts: Wilmar International Cuts Dividend The firm also recently disclosed a dividend, which will be paid on Friday, September 13th. Investors of record on Friday, August 23rd will be given a $0.4044 dividend. The ex-dividend date is Friday, August 23rd. About Wilmar International Wilmar International Limited operates as an agribusiness company in Singapore, South East Asia, the People's Republic of China, India, Europe, Australia/New Zealand, Africa, and internationally. The company operates through four segments: Food Products, Feed and Industrial Products, Plantation and Sugar Milling, and Others. Featured Stories Receive News & Ratings for Wilmar International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Wilmar International and related companies with MarketBeat.com's FREE daily email newsletter. Advanced Info Service Public Company Limited (OTCMKTS:AVIFY Get Free Report) saw a significant decline in short interest during the month of August. As of August 31st, there was short interest totalling 100 shares, a decline of 99.4% from the August 15th total of 17,300 shares. Based on an average trading volume of 900 shares, the days-to-cover ratio is presently 0.1 days. Advanced Info Service Public Price Performance Advanced Info Service Public stock remained flat at $7.85 during trading on Friday. The businesss 50 day simple moving average is $6.71 and its two-hundred day simple moving average is $5.99. Advanced Info Service Public has a fifty-two week low of $5.10 and a fifty-two week high of $7.85. The company has a quick ratio of 0.29, a current ratio of 0.31 and a debt-to-equity ratio of 0.67. Get Advanced Info Service Public alerts: Advanced Info Service Public (OTCMKTS:AVIFY Get Free Report) last released its earnings results on Tuesday, August 6th. The technology company reported $0.08 EPS for the quarter. The firm had revenue of $1.40 billion during the quarter. Advanced Info Service Public had a return on equity of 36.20% and a net margin of 15.93%. Advanced Info Service Public Increases Dividend About Advanced Info Service Public The firm also recently announced a dividend, which was paid on Friday, September 13th. Investors of record on Tuesday, August 20th were paid a $0.1094 dividend. This is a positive change from Advanced Info Service Publics previous dividend of $0.10. The ex-dividend date was Tuesday, August 20th. Advanced Info Service Publics dividend payout ratio is presently 76.67%. (Get Free Report) Advanced Info Service Public Company Limited, together its subsidiaries, provides communication products and services primarily in Thailand. It is involved in the operation of cellular telephone networks, networks, and telecommunication and internet services. The company also distributes handsets; cash cards and electronic payment services; and internet equipment. Featured Stories Receive News & Ratings for Advanced Info Service Public Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Advanced Info Service Public and related companies with MarketBeat.com's FREE daily email newsletter. Shares of AGF Management Limited (TSE:AGF.B Get Free Report) have earned an average recommendation of Moderate Buy from the six analysts that are covering the firm, Marketbeat Ratings reports. Three analysts have rated the stock with a hold recommendation and three have given a buy recommendation to the company. The average 1-year price objective among brokers that have issued ratings on the stock in the last year is C$10.96. Several research firms have commented on AGF.B. Desjardins set a C$12.00 price objective on shares of AGF Management and gave the stock a buy rating in a research note on Friday. Scotiabank set a C$10.75 price target on shares of AGF Management and gave the stock a sector perform rating in a research note on Thursday, June 27th. Get AGF Management alerts: Get Our Latest Stock Report on AGF Management AGF Management Stock Performance Insider Activity at AGF Management AGF Management stock opened at C$7.91 on Friday. The companys 50-day moving average price is C$8.02 and its 200-day moving average price is C$8.18. The company has a quick ratio of 1.75, a current ratio of 1.58 and a debt-to-equity ratio of 14.60. The company has a market cap of C$508.53 million, a PE ratio of 5.99, a price-to-earnings-growth ratio of 1.18 and a beta of 1.71. AGF Management has a twelve month low of C$6.30 and a twelve month high of C$9.05. In related news, Director Laura Lee Dottori-Attanasio purchased 12,500 shares of AGF Management stock in a transaction dated Friday, August 2nd. The stock was purchased at an average cost of C$7.99 per share, with a total value of C$99,833.75. In other news, Director Cybele Negris bought 6,000 shares of the stock in a transaction on Wednesday, July 31st. The stock was bought at an average cost of C$8.15 per share, for a total transaction of C$48,900.00. Also, Director Laura Lee Dottori-Attanasio purchased 12,500 shares of AGF Management stock in a transaction dated Friday, August 2nd. The shares were acquired at an average cost of C$7.99 per share, for a total transaction of C$99,833.75. Insiders have acquired a total of 54,185 shares of company stock valued at $432,663 over the last ninety days. 18.69% of the stock is owned by company insiders. AGF Management Company Profile (Get Free Report AGF Management Limited is one of Canada's premier investment management companies with offices across Canada and subsidiaries around the world. 2007 marks AGF's 50th anniversary ofproviding Canadians with innovative investment solutions across the wealth continuum. AGF's products and services include a diversified family of more than 50 mutual funds, the evolutionary AGF Elements portfolios, the Harmony asset management program, AGF Private Investment Management, Institutional Account Services and AGF Trust GICs, loans and mortgages. See Also Receive News & Ratings for AGF Management Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for AGF Management and related companies with MarketBeat.com's FREE daily email newsletter. Alamos Gold (NYSE:AGI Free Report) (TSE:AGI) had its price target lifted by Royal Bank of Canada from $20.00 to $23.00 in a research note issued to investors on Tuesday morning, BayStreet.CA reports. They currently have an outperform rating on the basic materials companys stock. A number of other analysts also recently issued reports on AGI. National Bank Financial downgraded shares of Alamos Gold from a strong-buy rating to a hold rating in a research report on Sunday, September 8th. Jefferies Financial Group increased their target price on shares of Alamos Gold from $20.00 to $21.00 and gave the stock a buy rating in a research report on Friday, July 12th. Scotiabank increased their target price on shares of Alamos Gold from $20.00 to $22.00 and gave the stock a sector outperform rating in a research report on Monday, August 19th. Finally, StockNews.com raised shares of Alamos Gold from a hold rating to a buy rating in a research report on Thursday, May 16th. Two equities research analysts have rated the stock with a hold rating and five have given a buy rating to the stock. Based on data from MarketBeat, the stock currently has an average rating of Moderate Buy and an average price target of $23.81. Get Alamos Gold alerts: Check Out Our Latest Report on AGI Alamos Gold Stock Up 3.1 % Alamos Gold stock opened at $20.69 on Tuesday. Alamos Gold has a 52 week low of $10.78 and a 52 week high of $20.90. The firms 50-day simple moving average is $18.08 and its 200 day simple moving average is $16.13. The firm has a market capitalization of $8.69 billion, a PE ratio of 39.79, a P/E/G ratio of 0.82 and a beta of 1.18. Alamos Gold (NYSE:AGI Get Free Report) (TSE:AGI) last released its quarterly earnings data on Wednesday, July 31st. The basic materials company reported $0.24 EPS for the quarter, topping the consensus estimate of $0.19 by $0.05. The business had revenue of $332.60 million for the quarter, compared to analyst estimates of $282.78 million. Alamos Gold had a net margin of 17.73% and a return on equity of 8.52%. The businesss revenue for the quarter was up 27.4% compared to the same quarter last year. During the same period in the previous year, the firm earned $0.15 EPS. On average, research analysts expect that Alamos Gold will post 0.75 EPS for the current fiscal year. Alamos Gold Dividend Announcement The firm also recently announced a quarterly dividend, which will be paid on Thursday, September 26th. Stockholders of record on Thursday, September 12th will be paid a dividend of $0.025 per share. This represents a $0.10 annualized dividend and a dividend yield of 0.48%. The ex-dividend date is Thursday, September 12th. Alamos Golds dividend payout ratio (DPR) is currently 19.23%. Hedge Funds Weigh In On Alamos Gold Institutional investors and hedge funds have recently made changes to their positions in the business. CANADA LIFE ASSURANCE Co boosted its stake in shares of Alamos Gold by 131.6% during the 1st quarter. CANADA LIFE ASSURANCE Co now owns 97,403 shares of the basic materials companys stock valued at $1,434,000 after buying an additional 55,352 shares during the last quarter. National Bank of Canada FI raised its position in shares of Alamos Gold by 3.4% during the 1st quarter. National Bank of Canada FI now owns 1,394,930 shares of the basic materials companys stock worth $21,824,000 after purchasing an additional 45,412 shares during the period. Vanguard Group Inc. grew its position in Alamos Gold by 1.5% in the 4th quarter. Vanguard Group Inc. now owns 14,419,042 shares of the basic materials companys stock worth $194,224,000 after purchasing an additional 216,280 shares during the last quarter. Price T Rowe Associates Inc. MD lifted its holdings in Alamos Gold by 71.9% in the first quarter. Price T Rowe Associates Inc. MD now owns 6,588,581 shares of the basic materials companys stock worth $97,183,000 after purchasing an additional 2,756,759 shares during the period. Finally, Russell Investments Group Ltd. lifted its holdings in shares of Alamos Gold by 66.2% in the first quarter. Russell Investments Group Ltd. now owns 191,746 shares of the basic materials companys stock valued at $2,829,000 after buying an additional 76,379 shares during the period. 64.33% of the stock is currently owned by hedge funds and other institutional investors. About Alamos Gold (Get Free Report) Alamos Gold Inc engages in the acquisition, exploration, development, and extraction of precious metals in Canada and Mexico. The company primarily explores for gold deposits. It holds 100% interest in the Young-Davidson mine and Island Gold mine located in the Ontario, Canada; Mulatos mine located in the Sonora, Mexico; and Lynn Lake project situated in the Manitoba, Canada. Featured Articles Receive News & Ratings for Alamos Gold Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Alamos Gold and related companies with MarketBeat.com's FREE daily email newsletter. Shore Capital restated their sell rating on shares of ASOS (LON:ASC Free Report) in a research report released on Tuesday, Marketbeat reports. Separately, Berenberg Bank reaffirmed a buy rating and set a GBX 490 ($6.41) price target on shares of ASOS in a research report on Thursday, September 5th. Two research analysts have rated the stock with a sell rating, three have given a hold rating and two have assigned a buy rating to the stock. According to MarketBeat, the stock has a consensus rating of Hold and a consensus price target of GBX 417.50 ($5.46). Get ASOS alerts: Check Out Our Latest Report on ASC ASOS Stock Performance About ASOS Shares of ASC opened at GBX 435 ($5.69) on Tuesday. The company has a current ratio of 1.51, a quick ratio of 0.39 and a debt-to-equity ratio of 158.84. The stock has a market capitalization of 518.13 million, a P/E ratio of -218.59, a price-to-earnings-growth ratio of -1.26 and a beta of 2.86. The firms fifty day simple moving average is GBX 370.52 and its two-hundred day simple moving average is GBX 362.81. ASOS has a 12 month low of GBX 328.84 ($4.30) and a 12 month high of GBX 453.80 ($5.93). (Get Free Report) ASOS Plc operates as an online fashion retailer in the United Kingdom, the United States, Australia, France, Germany, Spain, Italy, Sweden, the Netherlands, Denmark, Poland, and internationally. It offers womenswear and menswear products. The company sells its products under the ASOS Design, ASOS Edition, ASOS Luxe, ASOS 4505, Collusion, Reclaimed Vintage, Topshop, Topman, Miss Selfridge, HIIT, AsYou, Dark Future, UNRVLLD/SPPLY, Crooked Tongues, Daysocial, Actual, and Weekend Collective brands, as well as through third-party brands. Recommended Stories Receive News & Ratings for ASOS Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ASOS and related companies with MarketBeat.com's FREE daily email newsletter. BNP Paribas assumed coverage on shares of AvalonBay Communities (NYSE:AVB Free Report) in a research report released on Wednesday morning, MarketBeat reports. The firm issued an outperform rating and a $250.00 target price on the real estate investment trusts stock. Several other research analysts have also weighed in on AVB. Scotiabank upped their price objective on shares of AvalonBay Communities from $218.00 to $229.00 and gave the company a sector perform rating in a research note on Monday, August 26th. Morgan Stanley upped their price target on shares of AvalonBay Communities from $203.00 to $209.00 and gave the stock an overweight rating in a research note on Tuesday, May 14th. Truist Financial lifted their price objective on AvalonBay Communities from $213.00 to $230.00 and gave the company a hold rating in a research report on Tuesday, August 27th. JPMorgan Chase & Co. upped their target price on AvalonBay Communities from $194.00 to $212.00 and gave the stock a neutral rating in a research report on Monday, June 17th. Finally, Zelman & Associates raised AvalonBay Communities to a hold rating in a research note on Thursday, September 5th. Thirteen analysts have rated the stock with a hold rating and eight have issued a buy rating to the stock. According to data from MarketBeat, the stock has an average rating of Hold and a consensus target price of $218.94. Get AvalonBay Communities alerts: View Our Latest Report on AvalonBay Communities AvalonBay Communities Price Performance NYSE AVB opened at $234.75 on Wednesday. The stocks 50-day moving average price is $214.23 and its two-hundred day moving average price is $199.23. The company has a current ratio of 2.03, a quick ratio of 2.03 and a debt-to-equity ratio of 0.71. The company has a market cap of $33.38 billion, a PE ratio of 34.93, a PEG ratio of 3.41 and a beta of 0.97. AvalonBay Communities has a 1 year low of $160.45 and a 1 year high of $234.80. AvalonBay Communities (NYSE:AVB Get Free Report) last posted its quarterly earnings data on Wednesday, July 31st. The real estate investment trust reported $1.78 earnings per share (EPS) for the quarter, missing the consensus estimate of $2.71 by ($0.93). The company had revenue of $726.04 million for the quarter, compared to analyst estimates of $718.49 million. AvalonBay Communities had a return on equity of 7.16% and a net margin of 29.61%. During the same quarter in the previous year, the firm posted $2.66 EPS. On average, analysts predict that AvalonBay Communities will post 11.01 EPS for the current year. Insider Buying and Selling In other news, SVP Keri A. Shea sold 2,000 shares of the businesss stock in a transaction that occurred on Tuesday, August 6th. The stock was sold at an average price of $207.17, for a total transaction of $414,340.00. Following the sale, the senior vice president now directly owns 4,383 shares in the company, valued at $908,026.11. The transaction was disclosed in a document filed with the SEC, which is accessible through the SEC website. In other news, CIO Matthew H. Birenbaum sold 5,000 shares of the companys stock in a transaction on Monday, August 5th. The stock was sold at an average price of $208.90, for a total transaction of $1,044,500.00. Following the transaction, the executive now owns 65,967 shares of the companys stock, valued at $13,780,506.30. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this hyperlink. Also, SVP Keri A. Shea sold 2,000 shares of the stock in a transaction dated Tuesday, August 6th. The shares were sold at an average price of $207.17, for a total value of $414,340.00. Following the completion of the transaction, the senior vice president now directly owns 4,383 shares in the company, valued at approximately $908,026.11. The disclosure for this sale can be found here. Corporate insiders own 0.42% of the companys stock. Institutional Investors Weigh In On AvalonBay Communities Large investors have recently modified their holdings of the business. Opal Wealth Advisors LLC bought a new position in shares of AvalonBay Communities during the second quarter worth about $32,000. Versant Capital Management Inc increased its stake in AvalonBay Communities by 1,877.8% during the 2nd quarter. Versant Capital Management Inc now owns 178 shares of the real estate investment trusts stock worth $37,000 after acquiring an additional 169 shares during the period. BROOKFIELD Corp ON bought a new position in shares of AvalonBay Communities in the 1st quarter valued at $39,000. Pinnacle Bancorp Inc. grew its position in AvalonBay Communities by 50.0% during the 1st quarter. Pinnacle Bancorp Inc. now owns 225 shares of the real estate investment trusts stock worth $42,000 after acquiring an additional 75 shares during the last quarter. Finally, Massmutual Trust Co. FSB ADV lifted its holdings in AvalonBay Communities by 38.8% during the 2nd quarter. Massmutual Trust Co. FSB ADV now owns 261 shares of the real estate investment trusts stock worth $54,000 after buying an additional 73 shares during the period. 92.61% of the stock is currently owned by institutional investors. AvalonBay Communities Company Profile (Get Free Report) As of December 31, 2023, the Company owned or held a direct or indirect ownership interest in 299 apartment communities containing 90,669 apartment homes in 12 states and the District of Columbia, of which 18 communities were under development. The Company is an equity REIT in the business of developing, redeveloping, acquiring and managing apartment communities in leading metropolitan areas in New England, the New York/New Jersey Metro area, the Mid-Atlantic, the Pacific Northwest, and Northern and Southern California, as well as in the Company's expansion regions of Raleigh-Durham and Charlotte, North Carolina, Southeast Florida, Dallas and Austin, Texas, and Denver, Colorado. Featured Stories Receive News & Ratings for AvalonBay Communities Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for AvalonBay Communities and related companies with MarketBeat.com's FREE daily email newsletter. BankUnited (NYSE:BKU Free Report) had its price target trimmed by Citigroup from $42.00 to $39.00 in a research note published on Wednesday, Benzinga reports. The brokerage currently has a neutral rating on the financial services providers stock. A number of other brokerages have also recently issued reports on BKU. Wells Fargo & Company boosted their target price on shares of BankUnited from $32.00 to $35.00 and gave the company an equal weight rating in a report on Monday, July 22nd. Royal Bank of Canada increased their price target on shares of BankUnited from $29.00 to $31.00 and gave the stock a sector perform rating in a research note on Tuesday, July 9th. Hovde Group raised their target price on shares of BankUnited from $33.00 to $41.00 and gave the company an outperform rating in a research note on Friday, July 19th. Wedbush raised their target price on shares of BankUnited from $30.00 to $39.00 and gave the stock a neutral rating in a report on Friday, July 19th. Finally, Barclays lifted their price target on shares of BankUnited from $30.00 to $35.00 and gave the stock an equal weight rating in a research note on Monday, July 22nd. Two equities research analysts have rated the stock with a sell rating, eight have issued a hold rating and one has assigned a buy rating to the companys stock. Based on data from MarketBeat.com, BankUnited currently has a consensus rating of Hold and a consensus price target of $35.00. Get BankUnited alerts: Get Our Latest Analysis on BKU BankUnited Price Performance NYSE:BKU opened at $36.03 on Wednesday. The company has a quick ratio of 0.87, a current ratio of 0.87 and a debt-to-equity ratio of 1.22. The stocks 50 day moving average price is $35.88 and its two-hundred day moving average price is $30.54. The firm has a market capitalization of $2.69 billion, a price-to-earnings ratio of 15.53 and a beta of 1.33. BankUnited has a 12-month low of $20.84 and a 12-month high of $39.51. BankUnited (NYSE:BKU Get Free Report) last issued its earnings results on Thursday, July 18th. The financial services provider reported $0.72 earnings per share for the quarter, beating analysts consensus estimates of $0.65 by $0.07. The firm had revenue of $250.20 million for the quarter, compared to the consensus estimate of $243.65 million. BankUnited had a return on equity of 7.76% and a net margin of 8.41%. The companys revenue was up 4.5% compared to the same quarter last year. During the same quarter last year, the business posted $0.78 EPS. On average, analysts anticipate that BankUnited will post 2.88 earnings per share for the current year. BankUnited Dividend Announcement The company also recently disclosed a quarterly dividend, which was paid on Wednesday, July 31st. Shareholders of record on Friday, July 12th were given a dividend of $0.29 per share. The ex-dividend date was Friday, July 12th. This represents a $1.16 dividend on an annualized basis and a yield of 3.22%. BankUniteds payout ratio is currently 50.00%. Insider Activity at BankUnited In related news, Director Douglas J. Pauls sold 3,500 shares of the firms stock in a transaction that occurred on Monday, July 22nd. The shares were sold at an average price of $36.50, for a total value of $127,750.00. Following the sale, the director now directly owns 43,654 shares of the companys stock, valued at $1,593,371. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available through this hyperlink. In other BankUnited news, Director William S. Rubenstein sold 7,864 shares of the businesss stock in a transaction dated Wednesday, July 31st. The stock was sold at an average price of $38.57, for a total transaction of $303,314.48. Following the sale, the director now owns 10,282 shares in the company, valued at approximately $396,576.74. The transaction was disclosed in a filing with the SEC, which is available through this link. Also, Director Douglas J. Pauls sold 3,500 shares of the businesss stock in a transaction dated Monday, July 22nd. The stock was sold at an average price of $36.50, for a total value of $127,750.00. Following the sale, the director now owns 43,654 shares in the company, valued at approximately $1,593,371. The disclosure for this sale can be found here. In the last three months, insiders have sold 21,507 shares of company stock valued at $802,400. Insiders own 1.19% of the companys stock. Hedge Funds Weigh In On BankUnited Institutional investors and hedge funds have recently bought and sold shares of the business. CWM LLC boosted its position in shares of BankUnited by 307.6% in the second quarter. CWM LLC now owns 1,928 shares of the financial services providers stock valued at $56,000 after acquiring an additional 1,455 shares during the period. Headlands Technologies LLC bought a new stake in BankUnited in the 1st quarter valued at approximately $57,000. Meeder Asset Management Inc. acquired a new position in shares of BankUnited during the 2nd quarter worth approximately $74,000. Point72 Asia Singapore Pte. Ltd. acquired a new position in shares of BankUnited during the 2nd quarter worth approximately $169,000. Finally, Picton Mahoney Asset Management acquired a new position in shares of BankUnited during the 2nd quarter worth approximately $175,000. Hedge funds and other institutional investors own 99.70% of the companys stock. About BankUnited (Get Free Report) BankUnited, Inc operates as the bank holding company for BankUnited, a national banking association that provides a range of banking services in the United States. The company offers deposit products, such as checking, money market deposit, and savings accounts; certificates of deposit; and treasury, commercial payment, and cash management services. Read More Receive News & Ratings for BankUnited Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BankUnited and related companies with MarketBeat.com's FREE daily email newsletter. Beverly Hills Private Wealth LLC cut its holdings in Petroleo Brasileiro S.A. Petrobras (NYSE:PBR Free Report) by 59.1% in the 2nd quarter, according to its most recent 13F filing with the SEC. The firm owned 12,627 shares of the oil and gas exploration companys stock after selling 18,216 shares during the quarter. Beverly Hills Private Wealth LLCs holdings in Petroleo Brasileiro S.A. Petrobras were worth $183,000 as of its most recent SEC filing. Several other institutional investors and hedge funds have also modified their holdings of the business. Artisan Partners Limited Partnership acquired a new position in shares of Petroleo Brasileiro S.A. Petrobras in the 4th quarter valued at approximately $218,758,000. Artemis Investment Management LLP boosted its position in Petroleo Brasileiro S.A. Petrobras by 27.7% during the 1st quarter. Artemis Investment Management LLP now owns 6,278,919 shares of the oil and gas exploration companys stock worth $95,452,000 after acquiring an additional 1,362,108 shares during the last quarter. Victory Capital Management Inc. boosted its position in Petroleo Brasileiro S.A. Petrobras by 38.4% during the 2nd quarter. Victory Capital Management Inc. now owns 3,745,895 shares of the oil and gas exploration companys stock worth $54,278,000 after acquiring an additional 1,039,700 shares during the last quarter. Perpetual Ltd acquired a new position in Petroleo Brasileiro S.A. Petrobras during the 1st quarter worth approximately $56,337,000. Finally, Earnest Partners LLC boosted its position in Petroleo Brasileiro S.A. Petrobras by 4.8% during the 1st quarter. Earnest Partners LLC now owns 3,276,414 shares of the oil and gas exploration companys stock worth $49,834,000 after acquiring an additional 151,056 shares during the last quarter. Get Petroleo Brasileiro S.A. - Petrobras alerts: Petroleo Brasileiro S.A. Petrobras Stock Performance Shares of Petroleo Brasileiro S.A. Petrobras stock opened at $14.53 on Friday. Petroleo Brasileiro S.A. Petrobras has a 12-month low of $12.90 and a 12-month high of $17.91. The businesss 50 day moving average is $14.74 and its 200-day moving average is $15.21. The company has a current ratio of 0.90, a quick ratio of 0.67 and a debt-to-equity ratio of 0.70. Petroleo Brasileiro S.A. Petrobras Increases Dividend Petroleo Brasileiro S.A. Petrobras ( NYSE:PBR Get Free Report ) last posted its quarterly earnings results on Thursday, August 8th. The oil and gas exploration company reported $0.47 earnings per share for the quarter, meeting analysts consensus estimates of $0.47. Petroleo Brasileiro S.A. Petrobras had a return on equity of 28.42% and a net margin of 16.17%. The business had revenue of $23.47 billion for the quarter, compared to analysts expectations of $24.22 billion. On average, equities research analysts predict that Petroleo Brasileiro S.A. Petrobras will post 3.14 earnings per share for the current year. The firm also recently declared a Variable dividend, which will be paid on Friday, November 29th. Investors of record on Friday, August 23rd will be paid a $0.165 dividend. This represents a yield of 15.4%. The ex-dividend date of this dividend is Friday, August 23rd. This is a boost from Petroleo Brasileiro S.A. Petrobrass previous Variable dividend of $0.03. Petroleo Brasileiro S.A. Petrobrass dividend payout ratio is 25.00%. Analyst Upgrades and Downgrades A number of equities analysts have weighed in on the company. The Goldman Sachs Group reduced their price target on Petroleo Brasileiro S.A. Petrobras from $19.30 to $16.70 and set a buy rating for the company in a research report on Tuesday, August 13th. UBS Group reduced their price target on Petroleo Brasileiro S.A. Petrobras from $19.40 to $18.10 and set a buy rating for the company in a research report on Monday, August 19th. Morgan Stanley raised Petroleo Brasileiro S.A. Petrobras from an equal weight rating to an overweight rating and upped their price target for the stock from $18.00 to $20.00 in a research report on Monday, August 26th. Finally, Bank of America upgraded Petroleo Brasileiro S.A. Petrobras from a neutral rating to a buy rating and upped their price objective for the stock from $16.80 to $17.90 in a research note on Thursday, June 27th. One analyst has rated the stock with a hold rating and six have issued a buy rating to the companys stock. Based on data from MarketBeat.com, Petroleo Brasileiro S.A. Petrobras presently has a consensus rating of Moderate Buy and an average target price of $18.07. View Our Latest Report on PBR About Petroleo Brasileiro S.A. Petrobras (Free Report) Petroleo Brasileiro SA Petrobras explores, produces, and sells oil and gas in Brazil and internationally. The company operates through Exploration and Production; Refining, Transportation and Marketing; and Gas and Power. It also engages in prospecting, drilling, refining, processing, trading, and transporting crude oil from producing onshore and offshore oil fields, and shale or other rocks, as well as oil products, natural gas, and other liquid hydrocarbons. Further Reading Receive News & Ratings for Petroleo Brasileiro S.A. - Petrobras Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Petroleo Brasileiro S.A. - Petrobras and related companies with MarketBeat.com's FREE daily email newsletter. Beverly Hills Private Wealth LLC cut its stake in Sonoco Products (NYSE:SON Free Report) by 5.6% during the second quarter, according to the company in its most recent Form 13F filing with the Securities and Exchange Commission. The fund owned 7,139 shares of the industrial products companys stock after selling 423 shares during the period. Beverly Hills Private Wealth LLCs holdings in Sonoco Products were worth $362,000 at the end of the most recent quarter. Other institutional investors also recently added to or reduced their stakes in the company. Wedmont Private Capital raised its position in Sonoco Products by 2.8% during the 1st quarter. Wedmont Private Capital now owns 6,541 shares of the industrial products companys stock valued at $382,000 after purchasing an additional 178 shares in the last quarter. Richardson Financial Services Inc. raised its holdings in shares of Sonoco Products by 5.7% in the first quarter. Richardson Financial Services Inc. now owns 3,345 shares of the industrial products companys stock valued at $193,000 after acquiring an additional 180 shares in the last quarter. Curbstone Financial Management Corp lifted its stake in Sonoco Products by 0.7% in the first quarter. Curbstone Financial Management Corp now owns 27,750 shares of the industrial products companys stock worth $1,605,000 after acquiring an additional 200 shares during the period. FinTrust Capital Advisors LLC grew its holdings in Sonoco Products by 78.8% during the 1st quarter. FinTrust Capital Advisors LLC now owns 490 shares of the industrial products companys stock worth $28,000 after acquiring an additional 216 shares in the last quarter. Finally, Sterling Investment Counsel LLC raised its holdings in shares of Sonoco Products by 5.2% in the 1st quarter. Sterling Investment Counsel LLC now owns 5,460 shares of the industrial products companys stock valued at $316,000 after purchasing an additional 270 shares in the last quarter. Hedge funds and other institutional investors own 77.69% of the companys stock. Get Sonoco Products alerts: Wall Street Analysts Forecast Growth SON has been the topic of a number of recent research reports. Wells Fargo & Company downgraded shares of Sonoco Products from an equal weight rating to an underweight rating and dropped their price objective for the company from $54.00 to $52.00 in a research note on Wednesday, August 21st. StockNews.com cut Sonoco Products from a strong-buy rating to a buy rating in a research report on Wednesday, July 3rd. Citigroup reduced their price target on Sonoco Products from $64.00 to $60.00 and set a buy rating for the company in a research report on Monday, July 8th. Finally, Raymond James began coverage on Sonoco Products in a research report on Friday, July 19th. They set an outperform rating and a $62.00 price objective on the stock. One research analyst has rated the stock with a sell rating and three have issued a buy rating to the company. According to data from MarketBeat, Sonoco Products presently has a consensus rating of Moderate Buy and a consensus price target of $58.00. Sonoco Products Price Performance Shares of SON opened at $55.07 on Friday. The company has a 50 day moving average of $52.12 and a two-hundred day moving average of $55.40. The company has a quick ratio of 0.85, a current ratio of 1.30 and a debt-to-equity ratio of 1.04. Sonoco Products has a twelve month low of $52.76 and a twelve month high of $55.57. The company has a market cap of $5.41 billion, a price-to-earnings ratio of 13.91, a price-to-earnings-growth ratio of 2.09 and a beta of 0.68. Sonoco Products (NYSE:SON Get Free Report) last posted its quarterly earnings data on Wednesday, July 31st. The industrial products company reported $1.28 earnings per share for the quarter, topping analysts consensus estimates of $1.26 by $0.02. Sonoco Products had a return on equity of 20.10% and a net margin of 5.57%. The firm had revenue of $1.62 billion during the quarter, compared to analysts expectations of $1.69 billion. During the same quarter last year, the firm earned $1.38 EPS. The businesss quarterly revenue was down 4.8% on a year-over-year basis. As a group, analysts predict that Sonoco Products will post 5.09 earnings per share for the current fiscal year. Sonoco Products Dividend Announcement The business also recently disclosed a quarterly dividend, which was paid on Tuesday, September 10th. Stockholders of record on Friday, August 9th were issued a dividend of $0.52 per share. The ex-dividend date was Friday, August 9th. This represents a $2.08 annualized dividend and a dividend yield of 3.78%. Sonoco Productss dividend payout ratio (DPR) is currently 52.53%. About Sonoco Products (Free Report) Sonoco Products Company, together with its subsidiaries, designs, develops, manufactures, and sells various engineered and sustainable packaging products in North and South America, Europe, Australia, and Asia. The company operates Consumer Packaging and Industrial Paper Packaging segments. The Consumer Packaging segment offers round and shaped rigid paper, steel, and plastic containers; metal and peelable membrane ends, closures, and components; thermoformed plastic trays and enclosures; and high-barrier flexible packaging products. Recommended Stories Receive News & Ratings for Sonoco Products Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sonoco Products and related companies with MarketBeat.com's FREE daily email newsletter. JBF Capital Inc. grew its position in Canadian Natural Resources Limited (NYSE:CNQ Free Report) (TSE:CNQ) by 101.1% in the second quarter, according to its most recent Form 13F filing with the Securities and Exchange Commission. The fund owned 512,198 shares of the oil and gas producers stock after acquiring an additional 257,549 shares during the period. Canadian Natural Resources accounts for 2.8% of JBF Capital Inc.s portfolio, making the stock its 6th largest holding. JBF Capital Inc.s holdings in Canadian Natural Resources were worth $18,234,000 at the end of the most recent quarter. Other institutional investors and hedge funds have also modified their holdings of the company. Thoroughbred Financial Services LLC bought a new position in shares of Canadian Natural Resources in the second quarter worth about $464,000. Parallel Advisors LLC lifted its holdings in Canadian Natural Resources by 139.4% during the 2nd quarter. Parallel Advisors LLC now owns 8,532 shares of the oil and gas producers stock worth $304,000 after buying an additional 4,968 shares in the last quarter. HBK Sorce Advisory LLC increased its stake in shares of Canadian Natural Resources by 99.7% in the 2nd quarter. HBK Sorce Advisory LLC now owns 13,404 shares of the oil and gas producers stock valued at $477,000 after buying an additional 6,692 shares during the period. Federated Hermes Inc. raised its position in shares of Canadian Natural Resources by 157.4% during the second quarter. Federated Hermes Inc. now owns 8,352 shares of the oil and gas producers stock worth $297,000 after acquiring an additional 5,107 shares during the last quarter. Finally, DekaBank Deutsche Girozentrale lifted its stake in shares of Canadian Natural Resources by 161.1% during the second quarter. DekaBank Deutsche Girozentrale now owns 3,657,665 shares of the oil and gas producers stock valued at $130,467,000 after acquiring an additional 2,257,049 shares during the period. 74.03% of the stock is owned by institutional investors and hedge funds. Get Canadian Natural Resources alerts: Canadian Natural Resources Trading Down 0.9 % CNQ opened at $31.97 on Friday. The firms fifty day moving average is $35.07 and its two-hundred day moving average is $36.46. The firm has a market cap of $67.86 billion, a PE ratio of 12.74 and a beta of 1.51. Canadian Natural Resources Limited has a twelve month low of $29.45 and a twelve month high of $41.29. The company has a debt-to-equity ratio of 0.24, a quick ratio of 0.59 and a current ratio of 0.88. Canadian Natural Resources Cuts Dividend Canadian Natural Resources ( NYSE:CNQ Get Free Report ) (TSE:CNQ) last posted its earnings results on Thursday, August 1st. The oil and gas producer reported $0.88 earnings per share for the quarter, topping the consensus estimate of $0.62 by $0.26. Canadian Natural Resources had a return on equity of 22.09% and a net margin of 18.05%. The firm had revenue of $7.76 billion for the quarter, compared to analyst estimates of $6.19 billion. During the same period in the previous year, the company posted $0.43 earnings per share. Equities research analysts anticipate that Canadian Natural Resources Limited will post 2.49 EPS for the current year. The firm also recently disclosed a quarterly dividend, which will be paid on Friday, October 4th. Investors of record on Friday, September 13th will be given a $0.384 dividend. The ex-dividend date is Friday, September 13th. This represents a $1.54 annualized dividend and a dividend yield of 4.80%. Canadian Natural Resourcess dividend payout ratio is presently 61.35%. Wall Street Analyst Weigh In CNQ has been the subject of a number of research reports. StockNews.com lowered Canadian Natural Resources from a buy rating to a hold rating in a research report on Friday, August 23rd. Scotiabank reissued a sector perform rating on shares of Canadian Natural Resources in a research note on Tuesday, June 11th. Raymond James raised shares of Canadian Natural Resources to a hold rating in a research note on Tuesday, May 28th. Finally, Wolfe Research began coverage on Canadian Natural Resources in a research note on Thursday, July 18th. They issued a peer perform rating on the stock. Six investment analysts have rated the stock with a hold rating and one has issued a buy rating to the company. Based on data from MarketBeat.com, the company has an average rating of Hold and an average target price of $49.50. View Our Latest Stock Analysis on Canadian Natural Resources About Canadian Natural Resources (Free Report) Canadian Natural Resources Limited acquires, explores for, develops, produces, markets, and sells crude oil, natural gas, and natural gas liquids (NGLs). The company offers light and medium crude oil, primary heavy crude oil, Pelican Lake heavy crude oil, bitumen (thermal oil), and synthetic crude oil (SCO). Recommended Stories Receive News & Ratings for Canadian Natural Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Canadian Natural Resources and related companies with MarketBeat.com's FREE daily email newsletter. Central African Gold Inc. (BANC.V) (CVE:BANC Get Free Report)s stock price shot up 8.5% during mid-day trading on Friday . The company traded as high as C$0.32 and last traded at C$0.32. 80,972 shares traded hands during mid-day trading, an increase of 230% from the average session volume of 24,545 shares. The stock had previously closed at C$0.30. Central African Gold Inc. (BANC.V) Stock Up 8.5 % The company has a debt-to-equity ratio of 14.17, a current ratio of 0.01 and a quick ratio of 0.01. The stock has a market capitalization of C$11.23 million and a price-to-earnings ratio of -0.91. The firms fifty day moving average is C$0.32 and its 200-day moving average is C$0.32. About Central African Gold Inc. (BANC.V) (Get Free Report) Bankers Cobalt Corp., a natural resource company, engages in the acquisition, exploration, development, and operation of cobalt and copper mineral projects in the Democratic Republic of Congo and Namibia. The company explores for nickel, gold, platinum, and palladium deposits. It holds 100% interest in six concessions located in Namibia. Featured Stories Receive News & Ratings for Central African Gold Inc. (BANC.V) Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Central African Gold Inc. (BANC.V) and related companies with MarketBeat.com's FREE daily email newsletter. Central Japan Railway (OTCMKTS:CJPRY Get Free Report) crossed above its 50 day moving average during trading on Thursday . The stock has a 50 day moving average of $11.26 and traded as high as $11.97. Central Japan Railway shares last traded at $11.79, with a volume of 191,095 shares changing hands. Central Japan Railway Price Performance The company has a fifty day moving average of $11.28 and a 200-day moving average of $11.50. The firm has a market cap of $23.03 billion, a P/E ratio of 11.94 and a beta of 0.34. The company has a debt-to-equity ratio of 1.09, a current ratio of 4.16 and a quick ratio of 4.09. Get Central Japan Railway alerts: Central Japan Railway (OTCMKTS:CJPRY Get Free Report) last announced its quarterly earnings results on Tuesday, July 30th. The company reported $0.39 earnings per share (EPS) for the quarter. The company had revenue of $2.79 billion for the quarter. On average, sell-side analysts anticipate that Central Japan Railway will post 1.27 EPS for the current fiscal year. Central Japan Railway Company Profile Central Japan Railway Company engages in the railway and related businesses in Japan. The company operates through Transportation, Merchandise and Other, Real Estate, and Other segments. It primarily operates Tokaido Shinkansen, a transportation artery that links metropolitan areas of Tokyo, Nagoya, and Osaka, as well as a network of 12 conventional lines centered on the Nagoya and Shizuoka areas. Featured Stories Receive News & Ratings for Central Japan Railway Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Central Japan Railway and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com assumed coverage on shares of China Pharma (NYSE:CPHI Free Report) in a research report report published on Wednesday morning. The brokerage issued a hold rating on the stock. China Pharma Stock Performance Shares of CPHI stock opened at $0.23 on Wednesday. The businesss fifty day moving average price is $0.22 and its 200 day moving average price is $0.28. The company has a quick ratio of 0.24, a current ratio of 0.84 and a debt-to-equity ratio of 0.19. China Pharma has a 1-year low of $0.17 and a 1-year high of $1.21. Get China Pharma alerts: China Pharma (NYSE:CPHI Get Free Report) last posted its quarterly earnings results on Wednesday, August 14th. The company reported ($0.09) earnings per share for the quarter. China Pharma had a negative return on equity of 60.19% and a negative net margin of 70.47%. The company had revenue of $0.93 million for the quarter. China Pharma Company Profile China Pharma Holdings, Inc develops, manufactures, and markets generic and branded pharmaceutical, and biochemical products to hospitals and private retailers in the People's Republic of China. The company provides products in the form of dry powder injectables, liquid injectables, tablets, capsules, and cephalosporin oral solutions. Recommended Stories Receive News & Ratings for China Pharma Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for China Pharma and related companies with MarketBeat.com's FREE daily email newsletter. Canadian National Railway (TSE:CNR Free Report) (NYSE:CNI) had its price objective trimmed by CIBC from C$170.00 to C$160.00 in a research report report published on Wednesday morning, BayStreet.CA reports. The firm currently has a neutral rating on the stock. Several other equities research analysts have also weighed in on the stock. JPMorgan Chase & Co. dropped their price objective on shares of Canadian National Railway from C$180.00 to C$176.00 in a research note on Wednesday, July 24th. UBS Group dropped their price target on shares of Canadian National Railway from C$202.00 to C$189.00 in a research note on Wednesday, July 24th. Royal Bank of Canada dropped their price target on shares of Canadian National Railway from C$169.00 to C$160.00 and set a sector perform rating on the stock in a research note on Wednesday. Stephens upgraded shares of Canadian National Railway to a hold rating in a research note on Wednesday, July 24th. Finally, TD Securities dropped their price target on shares of Canadian National Railway from C$185.00 to C$180.00 and set a hold rating on the stock in a research note on Wednesday, July 24th. One equities research analyst has rated the stock with a sell rating, nine have issued a hold rating, five have assigned a buy rating and one has issued a strong buy rating to the company. According to MarketBeat, the stock currently has a consensus rating of Hold and a consensus price target of C$174.93. Get Canadian National Railway alerts: View Our Latest Report on CNR Canadian National Railway Trading Down 0.5 % TSE:CNR opened at C$161.44 on Wednesday. The company has a market cap of C$101.63 billion, a PE ratio of 19.17, a P/E/G ratio of 2.06 and a beta of 0.65. The businesss 50 day moving average is C$158.80 and its two-hundred day moving average is C$167.48. The company has a quick ratio of 0.58, a current ratio of 0.63 and a debt-to-equity ratio of 107.62. Canadian National Railway has a 52 week low of C$143.13 and a 52 week high of C$181.34. Canadian National Railway (TSE:CNR Get Free Report) (NYSE:CNI) last released its quarterly earnings data on Tuesday, July 23rd. The company reported C$1.84 earnings per share for the quarter, missing the consensus estimate of C$1.93 by C($0.09). The business had revenue of C$4.33 billion for the quarter, compared to analyst estimates of C$4.40 billion. Canadian National Railway had a return on equity of 27.41% and a net margin of 32.02%. On average, analysts predict that Canadian National Railway will post 8.8716518 EPS for the current year. Canadian National Railway Dividend Announcement The firm also recently disclosed a quarterly dividend, which will be paid on Friday, September 27th. Investors of record on Friday, September 27th will be paid a $0.845 dividend. This represents a $3.38 annualized dividend and a dividend yield of 2.09%. The ex-dividend date is Friday, September 6th. Canadian National Railways payout ratio is presently 40.14%. Insiders Place Their Bets In related news, Director Shauneen Elizabeth Bruder acquired 544 shares of the businesss stock in a transaction dated Tuesday, June 25th. The stock was acquired at an average price of C$160.21 per share, with a total value of C$87,156.42. In other Canadian National Railway news, Director Shauneen Elizabeth Bruder bought 544 shares of the stock in a transaction that occurred on Tuesday, June 25th. The shares were acquired at an average price of C$160.21 per share, with a total value of C$87,156.42. Also, Director Josephine Ann Marie Depass Olsovsky bought 2,610 shares of the stock in a transaction that occurred on Friday, July 26th. The stock was acquired at an average cost of C$115.29 per share, for a total transaction of C$300,906.90. Company insiders own 1.69% of the companys stock. About Canadian National Railway (Get Free Report) Canadian National Railway Company, together with its subsidiaries, engages in the rail, intermodal, trucking, and marine transportation and logistics business in Canada and the United States. The company provides rail services, which include equipment, custom brokerage services, transloading and distribution, business development and real estate, and private car storage services; and intermodal services, such as temperature controlled cargo, port partnerships, and logistics parks. Featured Stories Receive News & Ratings for Canadian National Railway Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Canadian National Railway and related companies with MarketBeat.com's FREE daily email newsletter. Command Security Co. (NYSEAMERICAN:MOC Get Free Report) traded up 1.1% during mid-day trading on Friday . The stock traded as high as $2.85 and last traded at $2.85. 51,100 shares were traded during mid-day trading, an increase of 474% from the average session volume of 8,909 shares. The stock had previously closed at $2.82. Command Security Stock Up 1.1 % About Command Security (Get Free Report) Command Security Corporation provides uniformed security officers and aviation security services in the United States. It operates through Security and Aviation Safeguards divisions. The Security division offers armed and unarmed uniformed security personnel for access control, loss prevention, mobile patrols, traffic control, security console/system operators, and fire safety directors, as well as personnel for reception, concierge, and front desk/doorman operations. Featured Articles Receive News & Ratings for Command Security Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Command Security and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com began coverage on shares of Comstock (NYSE:LODE Free Report) in a report published on Friday morning. The firm issued a sell rating on the stock. Separately, Noble Financial raised Comstock from a market perform rating to an outperform rating and set a $2.60 price objective on the stock in a research note on Monday, August 12th. Get Comstock alerts: View Our Latest Research Report on Comstock Comstock Trading Down 5.5 % Comstock stock opened at $0.32 on Friday. The stock has a market cap of $55.83 million, a PE ratio of 3.98 and a beta of 1.91. The stock has a 50-day moving average price of $0.19 and a 200 day moving average price of $0.25. Comstock has a 52 week low of $0.13 and a 52 week high of $0.63. The company has a current ratio of 2.14, a quick ratio of 2.14 and a debt-to-equity ratio of 0.11. Comstock (NYSE:LODE Get Free Report) last posted its quarterly earnings results on Thursday, August 8th. The company reported ($0.06) EPS for the quarter, missing the consensus estimate of ($0.04) by ($0.02). The business had revenue of $0.43 million during the quarter, compared to analysts expectations of $0.57 million. Comstock had a net margin of 230.15% and a negative return on equity of 8.67%. Equities research analysts predict that Comstock will post -0.17 earnings per share for the current fiscal year. Insider Activity In other news, Director Leo M. Drozdoff purchased 200,000 shares of the stock in a transaction dated Wednesday, August 14th. The stock was acquired at an average cost of $0.15 per share, for a total transaction of $30,000.00. Following the acquisition, the director now owns 600,000 shares of the companys stock, valued at $90,000. The acquisition was disclosed in a filing with the SEC, which is available at this link. Company insiders own 8.50% of the companys stock. Institutional Trading of Comstock A hedge fund recently raised its stake in Comstock stock. Renaissance Technologies LLC grew its stake in shares of Comstock Inc. (NYSE:LODE Free Report) by 137.9% in the 2nd quarter, according to the company in its most recent Form 13F filing with the Securities & Exchange Commission. The fund owned 2,868,334 shares of the companys stock after purchasing an additional 1,662,524 shares during the period. Renaissance Technologies LLC owned about 2.07% of Comstock worth $470,000 as of its most recent SEC filing. Institutional investors and hedge funds own 7.96% of the companys stock. About Comstock (Get Free Report) Comstock Inc engages in the systemic decarbonization business in Nevada and internationally. It operates through Renewable Energy, Mining, and Strategic and Other Investments segments. It will develop technology for the deploying of extraction and refining facilities that convert wasted and unused biomass and other natural resources; and a demonstration system to extract black mass containing lithium, graphite, nickel, cobalt, manganese, copper, aluminum, and other metals from up to lithium-ion batteries. Featured Articles Receive News & Ratings for Comstock Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Comstock and related companies with MarketBeat.com's FREE daily email newsletter. Dai ichi Life Insurance Company Ltd lifted its holdings in shares of Cencora, Inc. (NYSE:COR Free Report) by 8.1% in the 2nd quarter, according to its most recent Form 13F filing with the Securities and Exchange Commission. The institutional investor owned 80,665 shares of the companys stock after purchasing an additional 6,015 shares during the quarter. Dai ichi Life Insurance Company Ltds holdings in Cencora were worth $18,174,000 at the end of the most recent quarter. Several other institutional investors also recently modified their holdings of COR. Vanguard Group Inc. bought a new stake in shares of Cencora during the 4th quarter worth $4,212,508,000. Price T Rowe Associates Inc. MD bought a new position in shares of Cencora during the 1st quarter valued at about $2,183,619,000. JPMorgan Chase & Co. purchased a new position in shares of Cencora during the 1st quarter valued at about $1,199,695,000. Boston Partners purchased a new stake in Cencora in the first quarter worth approximately $1,001,269,000. Finally, Capital Research Global Investors bought a new position in Cencora during the first quarter valued at approximately $650,424,000. 97.52% of the stock is currently owned by institutional investors. Get Cencora alerts: Insider Buying and Selling at Cencora In related news, EVP Silvana Battaglia sold 1,473 shares of the businesss stock in a transaction on Tuesday, August 6th. The stock was sold at an average price of $242.69, for a total transaction of $357,482.37. Following the completion of the transaction, the executive vice president now directly owns 10,948 shares of the companys stock, valued at approximately $2,656,970.12. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available at the SEC website. In other Cencora news, CEO Steven H. Collis sold 10,755 shares of Cencora stock in a transaction on Tuesday, July 16th. The shares were sold at an average price of $222.12, for a total value of $2,388,900.60. Following the transaction, the chief executive officer now directly owns 285,088 shares in the company, valued at $63,323,746.56. The transaction was disclosed in a document filed with the SEC, which is available at the SEC website. Also, EVP Silvana Battaglia sold 1,473 shares of the businesss stock in a transaction dated Tuesday, August 6th. The shares were sold at an average price of $242.69, for a total value of $357,482.37. Following the completion of the transaction, the executive vice president now owns 10,948 shares in the company, valued at approximately $2,656,970.12. The disclosure for this sale can be found here. Insiders have sold 4,450,399 shares of company stock worth $1,070,392,799 in the last ninety days. 15.80% of the stock is owned by insiders. Analyst Ratings Changes A number of brokerages have recently issued reports on COR. JPMorgan Chase & Co. upped their price objective on shares of Cencora from $280.00 to $287.00 and gave the company an overweight rating in a research note on Wednesday, August 21st. Robert W. Baird decreased their price objective on Cencora from $287.00 to $283.00 and set an outperform rating on the stock in a report on Friday, September 6th. Wells Fargo & Company increased their target price on Cencora from $236.00 to $249.00 and gave the company an equal weight rating in a report on Thursday, August 1st. Leerink Partners reaffirmed an outperform rating and issued a $275.00 price target on shares of Cencora in a research note on Thursday, June 27th. Finally, StockNews.com upgraded shares of Cencora from a buy rating to a strong-buy rating in a report on Friday, August 2nd. Two equities research analysts have rated the stock with a hold rating, six have assigned a buy rating and one has issued a strong buy rating to the companys stock. According to MarketBeat.com, the stock presently has a consensus rating of Moderate Buy and a consensus price target of $258.13. Check Out Our Latest Stock Report on COR Cencora Stock Performance Shares of NYSE:COR opened at $239.88 on Friday. The company has a debt-to-equity ratio of 3.93, a quick ratio of 0.55 and a current ratio of 0.89. The firms 50 day simple moving average is $233.92 and its 200-day simple moving average is $233.28. The firm has a market cap of $47.27 billion, a PE ratio of 26.25, a price-to-earnings-growth ratio of 1.55 and a beta of 0.44. Cencora, Inc. has a one year low of $175.50 and a one year high of $247.66. Cencora (NYSE:COR Get Free Report) last posted its quarterly earnings data on Wednesday, July 31st. The company reported $3.34 EPS for the quarter, topping the consensus estimate of $3.18 by $0.16. The business had revenue of $74.20 billion during the quarter, compared to the consensus estimate of $73.32 billion. Cencora had a net margin of 0.65% and a return on equity of 266.60%. The businesss revenue for the quarter was up 10.8% compared to the same quarter last year. During the same period in the previous year, the firm posted $2.92 earnings per share. As a group, equities analysts predict that Cencora, Inc. will post 13.63 EPS for the current year. Cencora Announces Dividend The firm also recently declared a quarterly dividend, which was paid on Monday, August 26th. Stockholders of record on Friday, August 9th were paid a $0.51 dividend. This represents a $2.04 annualized dividend and a dividend yield of 0.85%. The ex-dividend date of this dividend was Friday, August 9th. Cencoras dividend payout ratio is currently 22.32%. Cencora Company Profile (Free Report) Cencora, Inc sources and distributes pharmaceutical products. The company's U.S. Healthcare Solutions segment distributes pharmaceuticals, over-the-counter healthcare products, home healthcare supplies and equipment, and related services to acute care hospitals and health systems, independent and chain retail pharmacies, mail order pharmacies, medical clinics, long-term care and alternate site pharmacies, and other customers; provides pharmacy management, staffing, and other consulting services; supply management software to retail and institutional healthcare providers; packaging solutions to various institutional and retail healthcare providers; clinical trial support, product post-approval, and commercialization support services; data analytics, outcomes research, and additional services for biotechnology and pharmaceutical manufacturers; pharmaceuticals, vaccines, parasiticides, diagnostics, micro feed ingredients, and other products to the companion animal and production animal markets; and sales force services to manufacturers. Further Reading Receive News & Ratings for Cencora Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Cencora and related companies with MarketBeat.com's FREE daily email newsletter. B. Riley assumed coverage on shares of e.l.f. Beauty (NYSE:ELF Free Report) in a research report released on Tuesday morning, MarketBeat.com reports. The firm issued a buy rating and a $175.00 price target on the stock. A number of other brokerages have also recently issued reports on ELF. Canaccord Genuity Group increased their target price on e.l.f. Beauty from $214.00 to $250.00 and gave the stock a buy rating in a report on Tuesday, June 18th. Piper Sandler reaffirmed an overweight rating and set a $260.00 price target on shares of e.l.f. Beauty in a report on Monday, August 26th. Truist Financial raised their price target on e.l.f. Beauty from $200.00 to $210.00 and gave the company a buy rating in a report on Wednesday, May 29th. JPMorgan Chase & Co. dropped their price target on e.l.f. Beauty from $224.00 to $207.00 and set an overweight rating for the company in a report on Friday, August 9th. Finally, DA Davidson reaffirmed a buy rating and set a $223.00 price target on shares of e.l.f. Beauty in a report on Thursday, August 29th. One research analyst has rated the stock with a sell rating, two have assigned a hold rating, twelve have assigned a buy rating and two have given a strong buy rating to the companys stock. Based on data from MarketBeat.com, e.l.f. Beauty has a consensus rating of Moderate Buy and an average price target of $205.33. Get e.l.f. Beauty alerts: View Our Latest Research Report on ELF e.l.f. Beauty Price Performance Shares of ELF stock opened at $116.62 on Tuesday. The stock has a 50 day moving average of $162.94 and a two-hundred day moving average of $177.87. The company has a current ratio of 1.77, a quick ratio of 1.10 and a debt-to-equity ratio of 0.23. e.l.f. Beauty has a 12 month low of $88.47 and a 12 month high of $221.83. The company has a market capitalization of $6.58 billion, a P/E ratio of 52.53, a P/E/G ratio of 2.37 and a beta of 1.44. e.l.f. Beauty (NYSE:ELF Get Free Report) last issued its earnings results on Thursday, August 8th. The company reported $0.87 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $0.67 by $0.20. e.l.f. Beauty had a return on equity of 23.30% and a net margin of 10.80%. The business had revenue of $324.48 million during the quarter, compared to analysts expectations of $303.60 million. As a group, equities analysts expect that e.l.f. Beauty will post 2.88 EPS for the current year. Insider Activity In other news, CEO Tarang Amin sold 548 shares of the firms stock in a transaction on Monday, August 5th. The stock was sold at an average price of $175.00, for a total value of $95,900.00. Following the transaction, the chief executive officer now directly owns 214,507 shares in the company, valued at approximately $37,538,725. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through the SEC website. In other news, CEO Tarang Amin sold 548 shares of the firms stock in a transaction on Monday, August 5th. The stock was sold at an average price of $175.00, for a total value of $95,900.00. Following the transaction, the chief executive officer now directly owns 214,507 shares in the company, valued at approximately $37,538,725. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through the SEC website. Also, SVP Joshua Allen Franks sold 3,370 shares of the firms stock in a transaction on Monday, July 15th. The stock was sold at an average price of $201.28, for a total value of $678,313.60. Following the completion of the transaction, the senior vice president now owns 71,986 shares in the company, valued at $14,489,342.08. The disclosure for this sale can be found here. In the last ninety days, insiders sold 19,304 shares of company stock valued at $3,864,492. Company insiders own 5.20% of the companys stock. Institutional Inflows and Outflows Hedge funds and other institutional investors have recently added to or reduced their stakes in the business. Ashton Thomas Private Wealth LLC bought a new position in e.l.f. Beauty during the second quarter worth about $26,000. Matrix Trust Co bought a new position in e.l.f. Beauty during the second quarter worth about $27,000. Innealta Capital LLC bought a new position in e.l.f. Beauty during the second quarter worth about $30,000. Harbor Investment Advisory LLC bought a new position in e.l.f. Beauty during the first quarter worth about $31,000. Finally, Whittier Trust Co. of Nevada Inc. lifted its holdings in e.l.f. Beauty by 405.9% during the second quarter. Whittier Trust Co. of Nevada Inc. now owns 172 shares of the companys stock worth $36,000 after acquiring an additional 138 shares in the last quarter. 92.44% of the stock is currently owned by institutional investors. e.l.f. Beauty Company Profile (Get Free Report) e.l.f. Beauty, Inc, together with its subsidiaries, provides cosmetic and skin care products under the e.l.f. Cosmetics, e.l.f. Skin, Well People, and Keys Soulcare brand names worldwide. The company offers eye, lip, face, face, paw, and skin care products. It sells its products through national and international retailers and direct-to-consumer channels, which include e-commerce platforms in the United States, and internationally primarily through distributors. Read More Receive News & Ratings for e.l.f. Beauty Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for e.l.f. Beauty and related companies with MarketBeat.com's FREE daily email newsletter. Envestnet Asset Management Inc. raised its holdings in iShares MSCI EAFE Growth ETF (BATS:EFG Free Report) by 57.4% in the second quarter, Holdings Channel.com reports. The fund owned 26,597,234 shares of the companys stock after acquiring an additional 9,697,657 shares during the quarter. iShares MSCI EAFE Growth ETF makes up about 0.9% of Envestnet Asset Management Inc.s investment portfolio, making the stock its 14th largest position. Envestnet Asset Management Inc. owned about 0.23% of iShares MSCI EAFE Growth ETF worth $2,720,897,000 at the end of the most recent reporting period. Several other large investors also recently added to or reduced their stakes in the business. Riverview Trust Co acquired a new stake in shares of iShares MSCI EAFE Growth ETF in the 1st quarter valued at $26,000. LifeSteps Financial Inc. acquired a new position in shares of iShares MSCI EAFE Growth ETF during the 1st quarter valued at about $27,000. Atlantic Edge Private Wealth Management LLC acquired a new stake in iShares MSCI EAFE Growth ETF in the 4th quarter worth approximately $33,000. Harbor Investment Advisory LLC boosted its position in iShares MSCI EAFE Growth ETF by 44.6% during the first quarter. Harbor Investment Advisory LLC now owns 389 shares of the companys stock worth $40,000 after purchasing an additional 120 shares during the period. Finally, Janiczek Wealth Management LLC bought a new position in shares of iShares MSCI EAFE Growth ETF in the first quarter valued at approximately $40,000. Get iShares MSCI EAFE Growth ETF alerts: iShares MSCI EAFE Growth ETF Trading Up 0.1 % BATS EFG opened at $104.60 on Friday. iShares MSCI EAFE Growth ETF has a 1 year low of $67.58 and a 1 year high of $85.81. The company has a fifty day simple moving average of $103.35 and a 200 day simple moving average of $102.86. The company has a market cap of $12.30 billion, a P/E ratio of 24.36 and a beta of 0.97. About iShares MSCI EAFE Growth ETF iShares MSCI EAFE Growth ETF (the Fund), formerly iShares MSCI EAFE Growth Index Fund, is an exchange-traded fund (ETF). The Fund seeks to provide investment results that correspond generally to the price and yield performance, before fees and expenses, of the MSCI EAFE Growth Index (the Index). The Index is a subset of the MSCI EAFE Index and constituents of the Index include securities from Europe, Australasia (Australia and Asia), and the Far East. Featured Stories Want to see what other hedge funds are holding EFG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares MSCI EAFE Growth ETF (BATS:EFG Free Report). Receive News & Ratings for iShares MSCI EAFE Growth ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI EAFE Growth ETF and related companies with MarketBeat.com's FREE daily email newsletter. BNP Paribas assumed coverage on shares of Equity Residential (NYSE:EQR Free Report) in a research report released on Wednesday, Marketbeat reports. The brokerage issued an outperform rating and a $83.00 price target on the real estate investment trusts stock. EQR has been the subject of a number of other reports. Evercore ISI increased their target price on shares of Equity Residential from $74.00 to $75.00 and gave the company an in-line rating in a report on Monday, September 9th. The Goldman Sachs Group started coverage on Equity Residential in a research note on Wednesday, September 4th. They issued a neutral rating and a $81.00 price objective for the company. JPMorgan Chase & Co. boosted their target price on Equity Residential from $66.00 to $68.00 and gave the stock a neutral rating in a research report on Monday, June 17th. Morgan Stanley raised their price target on Equity Residential from $68.00 to $70.00 and gave the company an equal weight rating in a research report on Tuesday, May 14th. Finally, Royal Bank of Canada boosted their price objective on shares of Equity Residential from $74.00 to $75.00 and gave the stock an outperform rating in a research report on Wednesday, July 31st. Twelve equities research analysts have rated the stock with a hold rating and seven have issued a buy rating to the companys stock. According to MarketBeat.com, the stock has a consensus rating of Hold and an average price target of $74.62. Get Equity Residential alerts: Get Our Latest Research Report on EQR Equity Residential Stock Up 0.9 % EQR opened at $78.08 on Wednesday. The company has a debt-to-equity ratio of 0.64, a current ratio of 0.21 and a quick ratio of 0.21. Equity Residential has a 12 month low of $52.57 and a 12 month high of $78.11. The stock has a market capitalization of $29.60 billion, a P/E ratio of 32.40, a P/E/G ratio of 4.27 and a beta of 0.89. The business has a 50 day simple moving average of $71.78 and a 200-day simple moving average of $67.04. Equity Residential (NYSE:EQR Get Free Report) last released its earnings results on Monday, July 29th. The real estate investment trust reported $0.47 EPS for the quarter, missing analysts consensus estimates of $0.96 by ($0.49). Equity Residential had a net margin of 32.83% and a return on equity of 8.53%. The business had revenue of $734.20 million during the quarter, compared to analyst estimates of $735.26 million. During the same period last year, the business posted $0.94 EPS. Equity Residentials revenue was up 2.4% compared to the same quarter last year. On average, equities analysts anticipate that Equity Residential will post 3.89 earnings per share for the current fiscal year. Equity Residential Announces Dividend The business also recently announced a quarterly dividend, which was paid on Friday, July 12th. Stockholders of record on Monday, July 1st were paid a $0.675 dividend. The ex-dividend date was Monday, July 1st. This represents a $2.70 dividend on an annualized basis and a dividend yield of 3.46%. Equity Residentials dividend payout ratio (DPR) is currently 112.03%. Insider Activity at Equity Residential In other Equity Residential news, CFO Robert Garechana sold 6,357 shares of the companys stock in a transaction that occurred on Monday, June 24th. The stock was sold at an average price of $69.08, for a total value of $439,141.56. Following the completion of the transaction, the chief financial officer now owns 14,576 shares in the company, valued at approximately $1,006,910.08. The transaction was disclosed in a document filed with the SEC, which can be accessed through this hyperlink. Company insiders own 1.00% of the companys stock. Institutional Trading of Equity Residential Large investors have recently modified their holdings of the business. Fidelis Capital Partners LLC purchased a new position in Equity Residential in the first quarter valued at about $27,000. Voisard Asset Management Group Inc. purchased a new position in shares of Equity Residential during the 4th quarter valued at approximately $33,000. Massmutual Trust Co. FSB ADV grew its position in shares of Equity Residential by 41.9% during the second quarter. Massmutual Trust Co. FSB ADV now owns 525 shares of the real estate investment trusts stock worth $36,000 after buying an additional 155 shares in the last quarter. HHM Wealth Advisors LLC increased its stake in shares of Equity Residential by 146.6% in the second quarter. HHM Wealth Advisors LLC now owns 550 shares of the real estate investment trusts stock worth $38,000 after buying an additional 327 shares during the last quarter. Finally, Richardson Financial Services Inc. acquired a new stake in Equity Residential in the first quarter valued at $41,000. 92.68% of the stock is currently owned by institutional investors and hedge funds. Equity Residential Company Profile (Get Free Report) Equity Residential is committed to creating communities where people thrive. The Company, a member of the S&P 500, is focused on the acquisition, development and management of residential properties located in and around dynamic cities that attract affluent long-term renters. Equity Residential owns or has investments in 305 properties consisting of 80,683 apartment units, with an established presence in Boston, New York, Washington, DC, Seattle, San Francisco and Southern California, and an expanding presence in Denver, Atlanta, Dallas/Ft. Featured Stories Receive News & Ratings for Equity Residential Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Equity Residential and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com upgraded shares of Evolution Petroleum (NYSEAMERICAN:EPM Free Report) to a sell rating in a research note released on Wednesday. Evolution Petroleum Stock Performance Shares of NYSEAMERICAN EPM opened at $5.39 on Wednesday. The company has a debt-to-equity ratio of 0.51, a current ratio of 1.49 and a quick ratio of 1.49. Evolution Petroleum has a one year low of $4.59 and a one year high of $7.26. The stock has a market cap of $179.81 million, a price-to-earnings ratio of 70.26 and a beta of 0.81. Get Evolution Petroleum alerts: Evolution Petroleum (NYSEAMERICAN:EPM Get Free Report) last posted its quarterly earnings results on Tuesday, September 10th. The energy company reported $0.03 EPS for the quarter, missing the consensus estimate of $0.06 by ($0.03). Evolution Petroleum had a return on equity of 5.30% and a net margin of 4.75%. The company had revenue of $21.23 million for the quarter, compared to analyst estimates of $24.44 million. As a group, analysts anticipate that Evolution Petroleum will post 0.42 earnings per share for the current year. Evolution Petroleum Dividend Announcement Institutional Investors Weigh In On Evolution Petroleum The company also recently disclosed a quarterly dividend, which will be paid on Monday, September 30th. Investors of record on Friday, September 20th will be issued a dividend of $0.12 per share. The ex-dividend date is Friday, September 20th. This represents a $0.48 annualized dividend and a dividend yield of 8.91%. Evolution Petroleums dividend payout ratio is 600.08%. A number of hedge funds and other institutional investors have recently made changes to their positions in the company. Register Financial Advisors LLC raised its position in Evolution Petroleum by 1.5% during the second quarter. Register Financial Advisors LLC now owns 280,449 shares of the energy companys stock valued at $1,478,000 after acquiring an additional 4,044 shares in the last quarter. Rhumbline Advisers raised its holdings in shares of Evolution Petroleum by 10.0% during the 2nd quarter. Rhumbline Advisers now owns 47,523 shares of the energy companys stock valued at $250,000 after purchasing an additional 4,310 shares in the last quarter. Janney Montgomery Scott LLC lifted its stake in shares of Evolution Petroleum by 10.1% in the 1st quarter. Janney Montgomery Scott LLC now owns 55,472 shares of the energy companys stock valued at $341,000 after purchasing an additional 5,084 shares during the last quarter. Dimensional Fund Advisors LP boosted its holdings in Evolution Petroleum by 0.4% in the second quarter. Dimensional Fund Advisors LP now owns 1,336,348 shares of the energy companys stock worth $7,043,000 after purchasing an additional 5,250 shares in the last quarter. Finally, Connors Investor Services Inc. increased its position in Evolution Petroleum by 19.9% during the first quarter. Connors Investor Services Inc. now owns 48,300 shares of the energy companys stock worth $297,000 after buying an additional 8,000 shares during the last quarter. 60.07% of the stock is owned by hedge funds and other institutional investors. Evolution Petroleum Company Profile (Get Free Report) Evolution Petroleum Corporation, an energy company, engages in the development, production, ownership, and exploitation of onshore oil and gas properties in the United States. The company holds interests in a CO2 enhanced oil recovery project in Louisiana's Delhi field. Its Delhi Holt-Bryant Unit covers an area of approximately 13,636 acres located in Northeast Louisiana. See Also Receive News & Ratings for Evolution Petroleum Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Evolution Petroleum and related companies with MarketBeat.com's FREE daily email newsletter. FalconStor Software (OTCMKTS:FALC Get Free Report) and Salesforce (NYSE:CRM Get Free Report) are both computer and technology companies, but which is the better stock? We will contrast the two businesses based on the strength of their dividends, valuation, risk, earnings, profitability, institutional ownership and analyst recommendations. Analyst Ratings This is a summary of current ratings for FalconStor Software and Salesforce, as reported by MarketBeat.com. Get FalconStor Software alerts: Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score FalconStor Software 0 0 0 0 N/A Salesforce 0 9 26 3 2.84 Salesforce has a consensus target price of $304.41, suggesting a potential upside of 19.58%. Given Salesforces higher possible upside, analysts plainly believe Salesforce is more favorable than FalconStor Software. Profitability Net Margins Return on Equity Return on Assets FalconStor Software 14.90% -9.90% 15.87% Salesforce 15.44% 12.01% 7.45% Valuation & Earnings This table compares FalconStor Software and Salesforces net margins, return on equity and return on assets. This table compares FalconStor Software and Salesforces top-line revenue, earnings per share (EPS) and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio FalconStor Software $11.60 million 0.85 $980,000.00 ($0.06) -23.00 Salesforce $36.47 billion 6.76 $4.14 billion $5.56 45.79 Salesforce has higher revenue and earnings than FalconStor Software. FalconStor Software is trading at a lower price-to-earnings ratio than Salesforce, indicating that it is currently the more affordable of the two stocks. Institutional and Insider Ownership 9.5% of FalconStor Software shares are owned by institutional investors. Comparatively, 80.4% of Salesforce shares are owned by institutional investors. 52.8% of FalconStor Software shares are owned by company insiders. Comparatively, 3.2% of Salesforce shares are owned by company insiders. Strong institutional ownership is an indication that large money managers, endowments and hedge funds believe a company will outperform the market over the long term. Risk & Volatility FalconStor Software has a beta of 2.16, meaning that its stock price is 116% more volatile than the S&P 500. Comparatively, Salesforce has a beta of 1.29, meaning that its stock price is 29% more volatile than the S&P 500. Summary Salesforce beats FalconStor Software on 11 of the 14 factors compared between the two stocks. About FalconStor Software (Get Free Report) FalconStor Software, Inc., a storage software company, enables enterprise customers and managed service providers to secure, migrate, and protect their data worldwide. It offers FalconStor Virtual Tape Library, a software that emulates and replaces cumbersome physical tape libraries for archive related data preservation without being replacing their enterprise backup and archive software and associated processes; FalconStor StorSafe, a long-term archive data management software; FalconStor Continuous Data Protector delivers instant data availability, and reliable recovery; and FalconStor Network Storage Server for data storage virtualization and business continuity in heterogeneous environments. The company also provides FalconStor StorSigh, a long-term archive and business continuity driven data replication from one centralized management point; FalconStor RecoverTrac Disaster Recovery Technology that streamlines the implementation, testing, and execution of disaster recovery operations; and FalconStor MicroScanTM Technology, which minimizes the amount of data transferred during replication by eliminating inefficiencies at the application and file system layer. It sells its products through authorized partners, value-added resellers, solution providers, system integrators, direct market resellers, distributors, managed service providers, cloud service providers, and original equipment manufacturers, as well as directly to customers. The company was incorporated in 1994 and is headquartered in Austin, Texas. About Salesforce (Get Free Report) Salesforce, Inc. provides Customer Relationship Management (CRM) technology that brings companies and customers together worldwide. The company's service includes sales to store data, monitor leads and progress, forecast opportunities, gain insights through analytics and artificial intelligence, and deliver quotes, contracts, and invoices; and service that enables companies to deliver trusted and highly personalized customer support at scale. In addition, its platform offering comprise a flexible platform that enables companies of various sizes, locations, and industries to build business workflow and apps with customer; online learning platform that allows anyone to learn in-demand Salesforce skills; and Slack, an intelligent productivity platform. The company's marketing services enables companies to plan, personalize, automate, and optimize customer marketing journey, connecting interaction, and connected products; and commerce services, which empowers shopping experience across various customer touchpoint, such as mobile, web, social, and stores and provides click-to-code tools that offers customers to build and deploy solutions. Further, its analytics offering includes Tableau, an end-to-end analytics solution for range of enterprise use cases and intelligent analytics with AI models, spot trends, predict outcomes, creates summaries, timely recommendations, and take action from any device; and integration service including MuleSoft, which provides building blocks to deliver end-to-end and connected experiences. Additionally, the company provides data cloud, a hyperscale data engine native to Salesforce; vertical services to meet the needs of customers in industries, such as financial services, healthcare and life sciences, manufacturing and automotive and government; and offers salesforce starter for small and medium-sized businesses. Salesforce, Inc. was incorporated in 1999 and is headquartered in San Francisco, California. Receive News & Ratings for FalconStor Software Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for FalconStor Software and related companies with MarketBeat.com's FREE daily email newsletter. Federated Hermes Inc. lowered its position in shares of British American Tobacco p.l.c. (NYSE:BTI Free Report) by 4.8% during the second quarter, according to its most recent Form 13F filing with the Securities and Exchange Commission (SEC). The firm owned 2,269,763 shares of the companys stock after selling 114,547 shares during the quarter. Federated Hermes Inc. owned 0.10% of British American Tobacco worth $70,204,000 as of its most recent SEC filing. Other hedge funds also recently modified their holdings of the company. 1832 Asset Management L.P. lifted its stake in British American Tobacco by 4.2% in the 1st quarter. 1832 Asset Management L.P. now owns 8,969 shares of the companys stock worth $274,000 after acquiring an additional 363 shares in the last quarter. Gallacher Capital Management LLC boosted its holdings in shares of British American Tobacco by 3.8% during the first quarter. Gallacher Capital Management LLC now owns 11,100 shares of the companys stock worth $339,000 after purchasing an additional 402 shares during the period. Dearborn Partners LLC boosted its holdings in shares of British American Tobacco by 5.0% during the first quarter. Dearborn Partners LLC now owns 8,853 shares of the companys stock worth $270,000 after purchasing an additional 425 shares during the period. Moody National Bank Trust Division boosted its holdings in shares of British American Tobacco by 6.3% during the first quarter. Moody National Bank Trust Division now owns 7,802 shares of the companys stock worth $238,000 after purchasing an additional 465 shares during the period. Finally, MADDEN SECURITIES Corp boosted its holdings in shares of British American Tobacco by 1.7% during the second quarter. MADDEN SECURITIES Corp now owns 30,535 shares of the companys stock worth $944,000 after purchasing an additional 500 shares during the period. Hedge funds and other institutional investors own 21.44% of the companys stock. Get British American Tobacco alerts: Analysts Set New Price Targets Separately, StockNews.com cut shares of British American Tobacco from a strong-buy rating to a buy rating in a report on Sunday, August 11th. British American Tobacco Stock Up 0.6 % NYSE BTI opened at $39.16 on Friday. The company has a current ratio of 0.88, a quick ratio of 0.58 and a debt-to-equity ratio of 0.60. The company has a 50 day moving average price of $35.68 and a two-hundred day moving average price of $32.22. British American Tobacco p.l.c. has a 12-month low of $28.25 and a 12-month high of $39.25. British American Tobacco Company Profile (Free Report) British American Tobacco p.l.c. engages in the provision of tobacco and nicotine products to consumers worldwide. It also offers vapour, heated, and modern oral nicotine products; combustible cigarettes; and traditional oral products, such as snus and moist snuff. The company offers its products under the Vuse, glo, Velo, Grizzly, Kodiak, Dunhill, Kent, Lucky Strike, Pall Mall, Rothmans, Camel, Natural American Spirit, Newport, Vogue, Viceroy, Kool, Peter Stuyvesant, Craven A, State Express 555 and Shuang Xi brands. Featured Stories Receive News & Ratings for British American Tobacco Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for British American Tobacco and related companies with MarketBeat.com's FREE daily email newsletter. Vermilion Energy (NYSE:VET Get Free Report) and New Concept Energy (NYSE:GBR Get Free Report) are both oils/energy companies, but which is the better stock? We will compare the two companies based on the strength of their earnings, risk, valuation, profitability, institutional ownership, dividends and analyst recommendations. Profitability This table compares Vermilion Energy and New Concept Energys net margins, return on equity and return on assets. Get Vermilion Energy alerts: Net Margins Return on Equity Return on Assets Vermilion Energy -41.33% 5.99% 2.94% New Concept Energy -18.62% -0.59% -0.58% Volatility and Risk Vermilion Energy has a beta of 2, indicating that its stock price is 100% more volatile than the S&P 500. Comparatively, New Concept Energy has a beta of 0.3, indicating that its stock price is 70% less volatile than the S&P 500. Analyst Ratings Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Vermilion Energy 0 0 3 0 3.00 New Concept Energy 0 0 0 0 N/A This is a summary of recent ratings and recommmendations for Vermilion Energy and New Concept Energy, as reported by MarketBeat. Valuation and Earnings This table compares Vermilion Energy and New Concept Energys revenue, earnings per share (EPS) and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Vermilion Energy $1.49 billion 0.98 -$176.01 million ($2.78) -3.32 New Concept Energy $150,000.00 N/A -$20,000.00 ($0.03) -40.17 New Concept Energy has lower revenue, but higher earnings than Vermilion Energy. New Concept Energy is trading at a lower price-to-earnings ratio than Vermilion Energy, indicating that it is currently the more affordable of the two stocks. Insider and Institutional Ownership 31.9% of Vermilion Energy shares are owned by institutional investors. 2.6% of Vermilion Energy shares are owned by insiders. Comparatively, 2.1% of New Concept Energy shares are owned by insiders. Strong institutional ownership is an indication that hedge funds, endowments and large money managers believe a company is poised for long-term growth. Summary Vermilion Energy beats New Concept Energy on 8 of the 11 factors compared between the two stocks. About Vermilion Energy (Get Free Report) Vermilion Energy Inc., together with its subsidiaries, engages in the acquisition, exploration, development, and production of petroleum and natural gas. The company has properties in West Central Alberta, southeast Saskatchewan, Manitoba, and West Pembina in Canada; Wyoming in the United States; southwest Bordeaux and Paris Basin in France; the Netherlands; Germany; Ireland; Croatia; Slovakia; and Australia. The company was founded in 1994 and is headquartered in Calgary, Canada. About New Concept Energy (Get Free Report) New Concept Energy, Inc. engages in real estate rental business. The company owns approximately 190 acres of land located in Parkersburg West Virginia. It also provides advisory and management services for an independent oil and gas company. The company was formerly known as CabelTel International Corporation and changed its name to New Concept Energy, Inc. in May 2008. New Concept Energy, Inc. is based in Dallas, Texas. Receive News & Ratings for Vermilion Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Vermilion Energy and related companies with MarketBeat.com's FREE daily email newsletter. GHP Investment Advisors Inc. raised its position in Intercontinental Exchange, Inc. (NYSE:ICE Free Report) by 3.6% during the second quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The institutional investor owned 33,120 shares of the financial services providers stock after purchasing an additional 1,161 shares during the period. GHP Investment Advisors Inc.s holdings in Intercontinental Exchange were worth $4,534,000 at the end of the most recent reporting period. Other institutional investors and hedge funds also recently added to or reduced their stakes in the company. Annapolis Financial Services LLC lifted its holdings in Intercontinental Exchange by 1,018.8% in the 1st quarter. Annapolis Financial Services LLC now owns 179 shares of the financial services providers stock valued at $25,000 after purchasing an additional 163 shares in the last quarter. New Millennium Group LLC purchased a new position in Intercontinental Exchange in the 2nd quarter valued at about $25,000. International Assets Investment Management LLC purchased a new position in Intercontinental Exchange in the 2nd quarter valued at about $25,000. Redmont Wealth Advisors LLC purchased a new position in shares of Intercontinental Exchange during the 1st quarter worth about $26,000. Finally, Scarborough Advisors LLC purchased a new position in shares of Intercontinental Exchange during the 4th quarter worth about $25,000. 89.30% of the stock is currently owned by hedge funds and other institutional investors. Get Intercontinental Exchange alerts: Insider Buying and Selling at Intercontinental Exchange In other Intercontinental Exchange news, CTO Mayur Kapani sold 395 shares of the stock in a transaction that occurred on Monday, July 8th. The shares were sold at an average price of $141.13, for a total value of $55,746.35. Following the completion of the sale, the chief technology officer now owns 64,471 shares of the companys stock, valued at $9,098,792.23. The sale was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this hyperlink. In other news, CTO Mayur Kapani sold 395 shares of the firms stock in a transaction that occurred on Monday, July 8th. The shares were sold at an average price of $141.13, for a total transaction of $55,746.35. Following the completion of the sale, the chief technology officer now owns 64,471 shares of the companys stock, valued at $9,098,792.23. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this link. Also, General Counsel Andrew J. Surdykowski sold 1,898 shares of the firms stock in a transaction that occurred on Wednesday, June 26th. The stock was sold at an average price of $136.66, for a total transaction of $259,380.68. Following the sale, the general counsel now directly owns 46,815 shares of the companys stock, valued at approximately $6,397,737.90. The disclosure for this sale can be found here. Insiders sold 67,096 shares of company stock valued at $9,593,983 over the last 90 days. 1.10% of the stock is owned by corporate insiders. Intercontinental Exchange Trading Up 0.1 % NYSE ICE opened at $161.36 on Friday. Intercontinental Exchange, Inc. has a one year low of $104.49 and a one year high of $163.71. The companys 50 day moving average price is $154.20 and its 200 day moving average price is $141.97. The company has a debt-to-equity ratio of 0.69, a quick ratio of 0.99 and a current ratio of 0.99. The firm has a market capitalization of $92.55 billion, a price-to-earnings ratio of 37.01, a PEG ratio of 2.81 and a beta of 1.08. Intercontinental Exchange (NYSE:ICE Get Free Report) last issued its quarterly earnings data on Thursday, August 1st. The financial services provider reported $1.52 earnings per share (EPS) for the quarter, beating the consensus estimate of $1.49 by $0.03. Intercontinental Exchange had a net margin of 21.43% and a return on equity of 12.70%. The company had revenue of $2.32 billion during the quarter, compared to the consensus estimate of $2.31 billion. During the same quarter last year, the company earned $1.43 earnings per share. Intercontinental Exchanges revenue for the quarter was up 22.7% on a year-over-year basis. On average, sell-side analysts predict that Intercontinental Exchange, Inc. will post 6.04 EPS for the current year. Wall Street Analyst Weigh In Several research analysts have recently weighed in on ICE shares. Keefe, Bruyette & Woods reiterated an outperform rating and set a $168.00 price objective on shares of Intercontinental Exchange in a report on Tuesday, August 6th. Deutsche Bank Aktiengesellschaft increased their price objective on shares of Intercontinental Exchange from $152.00 to $154.00 and gave the stock a hold rating in a report on Thursday, August 15th. Barclays increased their price objective on shares of Intercontinental Exchange from $159.00 to $167.00 and gave the stock an overweight rating in a report on Friday, August 2nd. Citigroup increased their price objective on shares of Intercontinental Exchange from $160.00 to $162.00 and gave the stock a buy rating in a report on Tuesday, July 9th. Finally, Argus reiterated a buy rating and set a $153.00 price objective on shares of Intercontinental Exchange in a report on Tuesday, May 21st. One analyst has rated the stock with a sell rating, two have given a hold rating, eleven have given a buy rating and one has assigned a strong buy rating to the company. According to data from MarketBeat, the company has a consensus rating of Moderate Buy and an average price target of $154.93. Get Our Latest Stock Analysis on Intercontinental Exchange About Intercontinental Exchange (Free Report) Intercontinental Exchange, Inc, together with its subsidiaries, engages in the provision of market infrastructure, data services, and technology solutions for financial institutions, corporations, and government entities in the United States, the United Kingdom, the European Union, Singapore, India, Abu Dhabi, Israel, and Canada. Featured Articles Want to see what other hedge funds are holding ICE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Intercontinental Exchange, Inc. (NYSE:ICE Free Report). Receive News & Ratings for Intercontinental Exchange Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Intercontinental Exchange and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com downgraded shares of Grupo Simec (NYSEAMERICAN:SIM Free Report) from a strong-buy rating to a buy rating in a research report report published on Wednesday morning. Grupo Simec Price Performance Shares of NYSEAMERICAN SIM opened at $27.71 on Wednesday. Grupo Simec has a twelve month low of $26.00 and a twelve month high of $35.81. The company has a market capitalization of $4.27 billion, a P/E ratio of 14.07 and a beta of 0.29. Get Grupo Simec alerts: Grupo Simec (NYSEAMERICAN:SIM Get Free Report) last announced its quarterly earnings data on Monday, July 22nd. The basic materials company reported $1.39 earnings per share for the quarter. Grupo Simec had a net margin of 24.90% and a return on equity of 16.68%. The company had revenue of $487.42 million during the quarter. Grupo Simec Company Profile Grupo Simec, SAB. de C.V. manufactures, processes, and distributes special bar quality (SBQ) steel and steel alloys products in Mexico, the United States, Brazil, Canada, Latin America, and internationally. The company produces I-beams, channels, structural and commercial angles, hot rolled bars, flat bars, rebars, cold-finished bars, electro-welded wire mesh and mesh panels, and wire rods, as well as semi-finished tube rounds and other semi-finished trade products. See Also Receive News & Ratings for Grupo Simec Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Grupo Simec and related companies with MarketBeat.com's FREE daily email newsletter. Grupo Televisa, S.A.B. (NYSE:TV Get Free Report) fell 3.9% on Thursday . The company traded as low as $1.82 and last traded at $1.85. 2,375,097 shares changed hands during mid-day trading, an increase of 68% from the average session volume of 1,417,305 shares. The stock had previously closed at $1.92. Analyst Ratings Changes A number of equities analysts have issued reports on the company. The Goldman Sachs Group lowered their price target on Grupo Televisa, S.A.B. from $3.30 to $2.90 and set a buy rating on the stock in a research report on Monday, August 5th. StockNews.com upgraded Grupo Televisa, S.A.B. from a hold rating to a buy rating in a research report on Wednesday. Four analysts have rated the stock with a hold rating and three have issued a buy rating to the company. Based on data from MarketBeat, the stock has an average rating of Hold and a consensus price target of $5.16. Get Grupo Televisa S.A.B. alerts: View Our Latest Stock Report on Grupo Televisa, S.A.B. Grupo Televisa, S.A.B. Trading Up 1.6 % Institutional Trading of Grupo Televisa, S.A.B. The company has a fifty day moving average of $2.13 and a 200-day moving average of $2.71. The company has a current ratio of 2.31, a quick ratio of 2.28 and a debt-to-equity ratio of 0.04. The company has a market capitalization of $1.03 billion, a price-to-earnings ratio of -2.14, a PEG ratio of 0.86 and a beta of 1.88. Large investors have recently made changes to their positions in the company. Gamco Investors INC. ET AL boosted its position in Grupo Televisa, S.A.B. by 25.7% during the first quarter. Gamco Investors INC. ET AL now owns 14,618,608 shares of the companys stock worth $46,780,000 after acquiring an additional 2,984,705 shares during the last quarter. Healthcare of Ontario Pension Plan Trust Fund boosted its holdings in shares of Grupo Televisa, S.A.B. by 162.4% during the 4th quarter. Healthcare of Ontario Pension Plan Trust Fund now owns 3,093,800 shares of the companys stock worth $10,333,000 after purchasing an additional 1,914,800 shares during the last quarter. GFS Advisors LLC grew its position in shares of Grupo Televisa, S.A.B. by 210.3% in the first quarter. GFS Advisors LLC now owns 1,768,590 shares of the companys stock valued at $5,659,000 after purchasing an additional 1,198,590 shares in the last quarter. Discovery Capital Management LLC CT increased its holdings in shares of Grupo Televisa, S.A.B. by 6.2% in the second quarter. Discovery Capital Management LLC CT now owns 16,944,935 shares of the companys stock valued at $46,937,000 after purchasing an additional 993,295 shares during the last quarter. Finally, Gabelli Funds LLC lifted its position in Grupo Televisa, S.A.B. by 14.9% during the first quarter. Gabelli Funds LLC now owns 7,042,600 shares of the companys stock worth $22,536,000 after buying an additional 914,500 shares in the last quarter. 55.77% of the stock is currently owned by hedge funds and other institutional investors. About Grupo Televisa, S.A.B. (Get Free Report) Grupo Televisa, SAB., together with its subsidiaries, owns and operates cable companies and provides direct-to-home satellite pay television system in Mexico and the United States. It operates through three segments: Cable, Sky, and Other Businesses. The Cable segment operates cable multiple system that provides basic and premium television subscription, pay-per-view, installation, Internet subscription, and telephone and mobile services subscription, as well as local and national advertising services; and telecommunication facilities, which offers data and long-distance services solutions to carriers and other telecommunications service providers through its fiber-optic network. Read More Receive News & Ratings for Grupo Televisa S.A.B. Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Grupo Televisa S.A.B. and related companies with MarketBeat.com's FREE daily email newsletter. Guardian Wealth Management Inc. grew its position in shares of Alpine Income Property Trust, Inc. (NYSE:PINE Free Report) by 4.3% during the second quarter, Holdings Channel reports. The institutional investor owned 45,681 shares of the companys stock after purchasing an additional 1,882 shares during the quarter. Guardian Wealth Management Inc.s holdings in Alpine Income Property Trust were worth $711,000 as of its most recent filing with the Securities and Exchange Commission (SEC). Several other institutional investors and hedge funds also recently made changes to their positions in PINE. Rhumbline Advisers lifted its holdings in shares of Alpine Income Property Trust by 8.0% in the second quarter. Rhumbline Advisers now owns 17,197 shares of the companys stock worth $268,000 after buying an additional 1,272 shares in the last quarter. Tidal Investments LLC lifted its stake in Alpine Income Property Trust by 5.8% in the 1st quarter. Tidal Investments LLC now owns 19,466 shares of the companys stock worth $297,000 after purchasing an additional 1,064 shares in the last quarter. Los Angeles Capital Management LLC purchased a new stake in Alpine Income Property Trust in the 2nd quarter worth approximately $352,000. ClariVest Asset Management LLC lifted its stake in Alpine Income Property Trust by 2.9% in the 2nd quarter. ClariVest Asset Management LLC now owns 54,299 shares of the companys stock worth $845,000 after purchasing an additional 1,516 shares in the last quarter. Finally, Carlson Capital L P purchased a new stake in Alpine Income Property Trust in the 1st quarter worth approximately $1,202,000. Institutional investors own 60.50% of the companys stock. Get Alpine Income Property Trust alerts: Analysts Set New Price Targets Several research firms have issued reports on PINE. Raymond James increased their target price on shares of Alpine Income Property Trust from $18.00 to $19.00 and gave the stock an outperform rating in a research note on Wednesday, August 21st. Truist Financial increased their target price on shares of Alpine Income Property Trust from $16.00 to $18.00 and gave the stock a hold rating in a research note on Tuesday, July 30th. Stifel Nicolaus increased their target price on shares of Alpine Income Property Trust from $18.00 to $19.25 and gave the stock a buy rating in a research note on Friday, July 19th. Finally, B. Riley reiterated a buy rating and issued a $20.00 target price (up from $19.50) on shares of Alpine Income Property Trust in a research note on Wednesday, July 24th. One analyst has rated the stock with a hold rating and six have given a buy rating to the company. According to data from MarketBeat.com, Alpine Income Property Trust has an average rating of Moderate Buy and a consensus target price of $18.89. Alpine Income Property Trust Trading Up 0.2 % Shares of PINE opened at $18.35 on Friday. The firm has a market cap of $249.98 million, a price-to-earnings ratio of -458.75, a PEG ratio of 1.89 and a beta of 0.80. The company has a debt-to-equity ratio of 1.00, a quick ratio of 0.88 and a current ratio of 0.88. Alpine Income Property Trust, Inc. has a fifty-two week low of $14.25 and a fifty-two week high of $19.42. The firm has a 50 day moving average price of $17.44 and a 200-day moving average price of $16.07. Alpine Income Property Trust (NYSE:PINE Get Free Report) last announced its quarterly earnings results on Thursday, July 18th. The company reported $0.01 earnings per share (EPS) for the quarter, missing analysts consensus estimates of $0.39 by ($0.38). The firm had revenue of $12.49 million during the quarter, compared to the consensus estimate of $12.10 million. Alpine Income Property Trust had a negative return on equity of 0.20% and a negative net margin of 1.16%. During the same period last year, the business earned $0.37 EPS. As a group, equities research analysts forecast that Alpine Income Property Trust, Inc. will post 1.64 earnings per share for the current year. Alpine Income Property Trust Increases Dividend The company also recently declared a quarterly dividend, which will be paid on Monday, September 30th. Shareholders of record on Thursday, September 12th will be issued a $0.28 dividend. This represents a $1.12 dividend on an annualized basis and a yield of 6.10%. The ex-dividend date of this dividend is Thursday, September 12th. This is a positive change from Alpine Income Property Trusts previous quarterly dividend of $0.28. Alpine Income Property Trusts dividend payout ratio (DPR) is -2,800.00%. Insider Activity In related news, major shareholder Cto Realty Growth, Inc. bought 7,959 shares of the businesss stock in a transaction dated Thursday, June 27th. The shares were purchased at an average price of $14.97 per share, with a total value of $119,146.23. Following the purchase, the insider now owns 190,094 shares of the companys stock, valued at approximately $2,845,707.18. The acquisition was disclosed in a document filed with the SEC, which is available through the SEC website. In the last 90 days, insiders have purchased 20,783 shares of company stock valued at $311,441. Corporate insiders own 0.74% of the companys stock. About Alpine Income Property Trust (Free Report) Alpine Income Property Trust, Inc (NYSE: PINE) is a publicly traded real estate investment trust that seeks to deliver attractive risk-adjusted returns and dependable cash dividends by investing in, owning and operating a portfolio of single tenant net leased properties that are predominately leased to high-quality publicly traded and credit-rated tenants. Further Reading Want to see what other hedge funds are holding PINE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Alpine Income Property Trust, Inc. (NYSE:PINE Free Report). Receive News & Ratings for Alpine Income Property Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Alpine Income Property Trust and related companies with MarketBeat.com's FREE daily email newsletter. TELUS International (Cda) Inc. (TSE:TIXT Get Free Report) insider TELUS Corporation bought 100,000 shares of the companys stock in a transaction that occurred on Wednesday, September 11th. The stock was purchased at an average price of C$4.98 per share, for a total transaction of C$497,700.00. TELUS Corporation also recently made the following trade(s): Get TELUS International (Cda) alerts: On Monday, September 9th, TELUS Corporation acquired 100,000 shares of TELUS International (Cda) stock. The shares were bought at an average price of C$5.00 per share, with a total value of C$500,230.00. On Thursday, August 29th, TELUS Corporation purchased 100,000 shares of TELUS International (Cda) stock. The shares were bought at an average price of C$4.87 per share, with a total value of C$486,600.00. On Monday, August 26th, TELUS Corporation bought 30,798 shares of TELUS International (Cda) stock. The shares were purchased at an average cost of C$4.96 per share, with a total value of C$152,662.61. On Friday, August 23rd, TELUS Corporation acquired 100,000 shares of TELUS International (Cda) stock. The stock was purchased at an average cost of C$4.82 per share, with a total value of C$481,970.00. On Friday, August 16th, TELUS Corporation acquired 200,000 shares of TELUS International (Cda) stock. The stock was acquired at an average cost of C$4.75 per share, for a total transaction of C$950,240.00. On Friday, August 9th, TELUS Corporation purchased 416,400 shares of TELUS International (Cda) stock. The stock was bought at an average cost of C$4.09 per share, for a total transaction of C$1,703,325.84. TELUS International (Cda) Stock Down 0.6 % Shares of TSE TIXT opened at C$4.95 on Friday. The firm has a market cap of C$549.45 million, a price-to-earnings ratio of 38.08 and a beta of 0.68. The companys 50-day simple moving average is C$6.26 and its 200-day simple moving average is C$8.77. The company has a quick ratio of 0.79, a current ratio of 1.09 and a debt-to-equity ratio of 80.53. TELUS International has a 52-week low of C$3.90 and a 52-week high of C$15.56. TELUS International (Cda) Company Profile TELUS International (Cda) Inc design, builds, and delivers digital solutions for customer experience (CX) in the Asia-Pacific, the Central America, Europe, Africa, North America, and internationally. The company provides digital experience solutions, such as AI and bots, omnichannel CX, enterprise mobility solutions, cloud contact center, big data analytics, platform transformation, and UX/UI design; and customer experience solutions, including work anywhere/work from home, contact center outsourcing, technical support, sales growth and customer retention, healthcare/patient experience, and debt collection. Featured Articles Receive News & Ratings for TELUS International (Cda) Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for TELUS International (Cda) and related companies with MarketBeat.com's FREE daily email newsletter. Perpetua Resources Corp. (TSE:PPTA Get Free Report) Director Chris Dail sold 8,000 shares of the firms stock in a transaction on Tuesday, September 10th. The shares were sold at an average price of C$11.44, for a total transaction of C$91,498.62. Perpetua Resources Stock Performance Shares of PPTA stock opened at C$12.21 on Friday. The companys 50-day moving average is C$9.93 and its 200 day moving average is C$8.23. Perpetua Resources Corp. has a one year low of C$3.65 and a one year high of C$13.10. The company has a debt-to-equity ratio of 0.09, a quick ratio of 3.44 and a current ratio of 1.53. The company has a market capitalization of C$788.77 million, a price-to-earnings ratio of -43.61, a price-to-earnings-growth ratio of -0.66 and a beta of 1.28. Get Perpetua Resources alerts: Analysts Set New Price Targets Separately, National Bankshares set a C$19.00 price objective on Perpetua Resources and gave the company an outperform rating in a research report on Friday, September 6th. About Perpetua Resources (Get Free Report) Perpetua Resources Corp. engages in the exploration and development of mineral properties in the United States. The company primarily explores for gold, silver, and antimony deposits. Its principal asset is the 100% owned Stibnite Gold project, which includes 1,672 unpatented lode claims, mill sites, and patented land holdings covering an area of approximately 11,548 hectares located in Valley County, Idaho. Featured Stories Receive News & Ratings for Perpetua Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Perpetua Resources and related companies with MarketBeat.com's FREE daily email newsletter. U.S. travel agent delegation savors China's rich culture, modern convenience Xinhua) 08:40, September 14, 2024 A member of a delegation of over 10 Los Angeles-based travel agents takes selfies at Tiantan Park (Temple of Heaven) in Beijing, capital of China, Sept. 10, 2024. (Xinhua/Zhao Chenjie) BEIJING, Sept. 13 (Xinhua) -- On her maiden trip to China, Janet Melendez, along with other U.S. travel agents, enjoyed Beijing duck, learned Tai Chi, and visited historical sites and scenic spots in Beijing, capturing photos along the way. From Sept. 10 to 12, a delegation of over 10 Los Angeles-based travel agents, many visiting China for the first time, explored the Chinese capital, immersing themselves in the city's vibrant culture, inclusiveness, warm hospitality and convenient lifestyle. "It is amazing to see the Forbidden City and the Great Wall as if we have gone back to hundreds of years ago," said Melendez, founder of Festival International Travel. "Many American tourists are interested in Chinese culture. I hope to let more people learn and explore China." Gregory Cattoni, manager of Rancho Travel, noted that Beijing is both a city steeped in history and a thriving international metropolis. "We can not only taste Beijing duck in the city but also eat KFC, McDonald's and steakhouses in streets and alleys," said Cattoni, expressing delight at how visitors like him can savor local specialties while also enjoying familiar foods. He added that the United States and China need cooperation and communication, and that tourism can significantly enhance mutual understanding between people from both countries. Recently, "China Travel" has gained massive traction on global social media platforms, fueled by China's expansion of the list of visa-free countries, increased international flights, and a series of measures aimed at facilitating inbound tourism. In the first half of this year, over 5 million inbound travelers used mobile payment, marking a fourfold increase compared with the same period in 2023, while over 90 million transactions were recorded, totaling more than 14 billion yuan (about 2 billion U.S. dollars), with both figures marking a sevenfold increase compared with the same period in the previous year. Joey Sy, manager of Hyssop Travel, who has visited China three times and organized numerous trips for his clients, noted that China is safe as always and has become more convenient and comfortable for foreign tourists. "Before this trip, I already added a U.S. credit card to Alipay and WeChat Pay. I bought clothes through mobile payment in a big shopping mall next to the hotel where I am staying in Beijing. It is convenient," he said. Praising China's 144-hour visa-free transit policy, Sy said that it would be very advantageous for American travelers seeking short visits to China. "The policy can reduce the cost and simplify procedures for visa application. It should be promoted to more travel agents and we will develop corresponding travel routes." In the first seven months of this year, the number of foreign visitors to China soared 129.9 percent year on year to 17.25 million, according to the National Immigration Administration. Bo Lin, a staffer with CTG Travel, said the tour aims to boost travel from the United States to China and reinforce the outcomes of the 14th China-U.S. Tourism Leadership Summit held in Xi'an in May. CTG Travel is one of the organizers of the trip. "We hope to promote people-to-people exchanges between China and the United States, and invite more friends to visit China and experience its culture," Bo said. After concluding their visit to Beijing, the delegation headed to Xi'an and will subsequently travel to the Three Gorges and Shanghai. Their itinerary includes exploring accommodation, dining options, scenic spots, transportation facilities, and inbound tourism facilitation measures in China. A member of a delegation of over 10 Los Angeles-based travel agents takes selfies at the Summer Palace in Beijing, capital of China, Sept. 11, 2024 (Xinhua/Zhao Chenjie) Members of a delegation of over 10 Los Angeles-based travel agents learn Tai Chi in Beijing, capital of China, Sept. 10, 2024. (Xinhua/Zhao Chenjie) (Web editor: Zhang Kaiwei, Liang Jun) Inspire Medical Systems (NYSE:INSP Free Report) had its price objective hoisted by Leerink Partners from $167.00 to $197.00 in a research report sent to investors on Tuesday, Benzinga reports. The brokerage currently has a market perform rating on the stock. A number of other equities research analysts have also commented on INSP. KeyCorp decreased their price objective on shares of Inspire Medical Systems from $278.00 to $236.00 and set an overweight rating on the stock in a report on Wednesday, August 7th. Morgan Stanley decreased their price objective on shares of Inspire Medical Systems from $250.00 to $210.00 and set an overweight rating on the stock in a report on Monday, July 15th. Bank of America decreased their price objective on shares of Inspire Medical Systems from $225.00 to $185.00 and set a neutral rating on the stock in a report on Monday, June 3rd. Truist Financial cut their target price on shares of Inspire Medical Systems from $280.00 to $217.00 and set a buy rating on the stock in a research report on Tuesday, July 16th. Finally, Royal Bank of Canada restated an outperform rating and set a $260.00 target price on shares of Inspire Medical Systems in a research report on Wednesday, August 7th. Five investment analysts have rated the stock with a hold rating and nine have given a buy rating to the company. According to MarketBeat.com, the stock currently has a consensus rating of Moderate Buy and an average price target of $214.85. Get Inspire Medical Systems alerts: Read Our Latest Stock Analysis on INSP Inspire Medical Systems Stock Performance INSP opened at $203.99 on Tuesday. The firms 50-day simple moving average is $168.99 and its 200-day simple moving average is $181.09. Inspire Medical Systems has a twelve month low of $123.00 and a twelve month high of $257.40. The firm has a market cap of $6.08 billion, a price-to-earnings ratio of -370.89 and a beta of 1.33. Inspire Medical Systems (NYSE:INSP Get Free Report) last issued its quarterly earnings data on Tuesday, August 6th. The company reported $0.32 EPS for the quarter, topping analysts consensus estimates of ($0.14) by $0.46. Inspire Medical Systems had a net margin of 0.85% and a return on equity of 1.03%. The firm had revenue of $195.89 million for the quarter, compared to analyst estimates of $189.08 million. During the same quarter in the prior year, the firm earned ($0.41) EPS. The companys revenue was up 29.6% on a year-over-year basis. As a group, sell-side analysts anticipate that Inspire Medical Systems will post 0.75 EPS for the current fiscal year. Insider Activity at Inspire Medical Systems In related news, insider Randy Ban sold 4,861 shares of the stock in a transaction that occurred on Friday, August 16th. The shares were sold at an average price of $188.55, for a total value of $916,541.55. Following the sale, the insider now directly owns 3,056 shares in the company, valued at approximately $576,208.80. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this hyperlink. In other Inspire Medical Systems news, Director Shawn Mccormick sold 800 shares of the firms stock in a transaction that occurred on Monday, September 9th. The shares were sold at an average price of $220.00, for a total transaction of $176,000.00. Following the sale, the director now directly owns 23,140 shares in the company, valued at approximately $5,090,800. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this link. Also, insider Randy Ban sold 4,861 shares of the firms stock in a transaction that occurred on Friday, August 16th. The stock was sold at an average price of $188.55, for a total transaction of $916,541.55. Following the sale, the insider now owns 3,056 shares in the company, valued at $576,208.80. The disclosure for this sale can be found here. Insiders have sold 5,692 shares of company stock worth $1,099,207 in the last ninety days. Company insiders own 4.10% of the companys stock. Institutional Trading of Inspire Medical Systems Hedge funds have recently bought and sold shares of the stock. Van ECK Associates Corp grew its stake in shares of Inspire Medical Systems by 7.5% during the 2nd quarter. Van ECK Associates Corp now owns 1,029 shares of the companys stock worth $138,000 after acquiring an additional 72 shares in the last quarter. Amalgamated Bank grew its stake in shares of Inspire Medical Systems by 4.1% during the 2nd quarter. Amalgamated Bank now owns 2,005 shares of the companys stock worth $268,000 after acquiring an additional 79 shares in the last quarter. The Manufacturers Life Insurance Company grew its stake in shares of Inspire Medical Systems by 0.4% during the 2nd quarter. The Manufacturers Life Insurance Company now owns 21,193 shares of the companys stock worth $2,836,000 after acquiring an additional 92 shares in the last quarter. Bessemer Group Inc. grew its stake in shares of Inspire Medical Systems by 1.2% during the 1st quarter. Bessemer Group Inc. now owns 8,475 shares of the companys stock worth $1,820,000 after acquiring an additional 100 shares in the last quarter. Finally, Treasurer of the State of North Carolina grew its stake in shares of Inspire Medical Systems by 1.0% during the 4th quarter. Treasurer of the State of North Carolina now owns 12,750 shares of the companys stock worth $2,594,000 after acquiring an additional 120 shares in the last quarter. 94.91% of the stock is currently owned by institutional investors and hedge funds. About Inspire Medical Systems (Get Free Report) Inspire Medical Systems, Inc, a medical technology company, focuses on the development and commercialization of minimally invasive solutions for patients with obstructive sleep apnea (OSA) in the United States and internationally. The company offers Inspire system, a neurostimulation technology that provides a safe and effective treatment for moderate to severe OSA. Featured Articles Receive News & Ratings for Inspire Medical Systems Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Inspire Medical Systems and related companies with MarketBeat.com's FREE daily email newsletter. Inspire Advisors LLC reduced its holdings in iShares MSCI USA Min Vol Factor ETF (BATS:USMV Free Report) by 17.8% during the second quarter, according to the company in its most recent filing with the SEC. The fund owned 44,168 shares of the companys stock after selling 9,537 shares during the quarter. iShares MSCI USA Min Vol Factor ETF makes up 0.5% of Inspire Advisors LLCs portfolio, making the stock its 17th biggest position. Inspire Advisors LLCs holdings in iShares MSCI USA Min Vol Factor ETF were worth $3,708,000 as of its most recent SEC filing. Several other hedge funds and other institutional investors have also modified their holdings of the business. Raymond James & Associates grew its position in iShares MSCI USA Min Vol Factor ETF by 0.9% in the 2nd quarter. Raymond James & Associates now owns 16,095,735 shares of the companys stock valued at $1,351,398,000 after buying an additional 146,632 shares in the last quarter. Alaska Permanent Fund Corp lifted its stake in shares of iShares MSCI USA Min Vol Factor ETF by 4.4% in the 1st quarter. Alaska Permanent Fund Corp now owns 3,947,820 shares of the companys stock worth $329,959,000 after acquiring an additional 167,538 shares during the period. Catalyst Capital Advisors LLC boosted its holdings in shares of iShares MSCI USA Min Vol Factor ETF by 5.2% in the second quarter. Catalyst Capital Advisors LLC now owns 3,658,707 shares of the companys stock valued at $307,185,000 after acquiring an additional 182,050 shares in the last quarter. Wealth Enhancement Advisory Services LLC increased its stake in shares of iShares MSCI USA Min Vol Factor ETF by 1.0% during the second quarter. Wealth Enhancement Advisory Services LLC now owns 3,202,043 shares of the companys stock valued at $268,843,000 after acquiring an additional 31,625 shares during the period. Finally, Cetera Investment Advisers raised its holdings in iShares MSCI USA Min Vol Factor ETF by 270.9% during the first quarter. Cetera Investment Advisers now owns 1,895,974 shares of the companys stock worth $158,465,000 after purchasing an additional 1,384,833 shares in the last quarter. Get iShares MSCI USA Min Vol Factor ETF alerts: iShares MSCI USA Min Vol Factor ETF Price Performance USMV opened at $91.34 on Friday. The business has a 50 day moving average price of $87.88 and a two-hundred day moving average price of $84.37. The company has a market capitalization of $26.76 billion, a price-to-earnings ratio of 25.30 and a beta of 0.59. iShares MSCI USA Min Vol Factor ETF has a 1-year low of $47.44 and a 1-year high of $55.45. About iShares MSCI USA Min Vol Factor ETF The iShares MSCI USA Min Vol Factor ETF (USMV) is an exchange-traded fund that is based on the MSCI USA Minimum Volatility (USD) index. The fund tracks an index of US-listed firms selected and weighted to create a low-volatility portfolio subject to various constraints. USMV was launched on Oct 18, 2011 and is managed by BlackRock. See Also Want to see what other hedge funds are holding USMV? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares MSCI USA Min Vol Factor ETF (BATS:USMV Free Report). Receive News & Ratings for iShares MSCI USA Min Vol Factor ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI USA Min Vol Factor ETF and related companies with MarketBeat.com's FREE daily email newsletter. Oppenheimer & Co. Inc. lowered its stake in Jacobs Solutions Inc. (NYSE:J Free Report) by 1.2% during the second quarter, according to its most recent 13F filing with the Securities and Exchange Commission (SEC). The institutional investor owned 45,886 shares of the companys stock after selling 547 shares during the period. Oppenheimer & Co. Inc.s holdings in Jacobs Solutions were worth $6,411,000 as of its most recent filing with the Securities and Exchange Commission (SEC). Other hedge funds also recently bought and sold shares of the company. EdgeRock Capital LLC acquired a new stake in Jacobs Solutions in the 2nd quarter valued at about $26,000. Bruce G. Allen Investments LLC raised its position in Jacobs Solutions by 427.5% in the 2nd quarter. Bruce G. Allen Investments LLC now owns 211 shares of the companys stock valued at $29,000 after purchasing an additional 171 shares in the last quarter. ICA Group Wealth Management LLC purchased a new position in shares of Jacobs Solutions in the 4th quarter valued at about $30,000. Union Savings Bank increased its holdings in shares of Jacobs Solutions by 78.1% in the 4th quarter. Union Savings Bank now owns 285 shares of the companys stock valued at $37,000 after acquiring an additional 125 shares during the last quarter. Finally, Quarry LP increased its holdings in shares of Jacobs Solutions by 108.9% in the 4th quarter. Quarry LP now owns 305 shares of the companys stock valued at $40,000 after acquiring an additional 159 shares during the last quarter. Institutional investors and hedge funds own 85.65% of the companys stock. Get Jacobs Solutions alerts: Jacobs Solutions Trading Up 1.0 % NYSE:J opened at $143.96 on Friday. The stocks 50 day moving average price is $145.42 and its 200 day moving average price is $144.14. The company has a market capitalization of $18.03 billion, a PE ratio of 27.79, a P/E/G ratio of 1.63 and a beta of 0.72. The company has a quick ratio of 1.14, a current ratio of 1.14 and a debt-to-equity ratio of 0.31. Jacobs Solutions Inc. has a 52-week low of $120.71 and a 52-week high of $154.50. Jacobs Solutions Announces Dividend Jacobs Solutions ( NYSE:J Get Free Report ) last released its quarterly earnings results on Tuesday, August 6th. The company reported $1.96 earnings per share (EPS) for the quarter, hitting analysts consensus estimates of $1.96. Jacobs Solutions had a return on equity of 14.76% and a net margin of 3.72%. The firm had revenue of $4.23 billion for the quarter, compared to the consensus estimate of $4.39 billion. During the same quarter last year, the business posted $1.82 EPS. The firms quarterly revenue was up 1.1% compared to the same quarter last year. On average, research analysts anticipate that Jacobs Solutions Inc. will post 7.97 EPS for the current year. The business also recently announced a quarterly dividend, which was paid on Friday, August 23rd. Shareholders of record on Friday, July 26th were paid a $0.29 dividend. The ex-dividend date of this dividend was Friday, July 26th. This represents a $1.16 annualized dividend and a dividend yield of 0.81%. Jacobs Solutionss dividend payout ratio (DPR) is currently 22.39%. Analyst Upgrades and Downgrades A number of research firms have recently commented on J. Truist Financial reiterated a hold rating and issued a $158.00 price objective (up previously from $153.00) on shares of Jacobs Solutions in a report on Wednesday, August 7th. UBS Group increased their price objective on Jacobs Solutions from $161.00 to $165.00 and gave the company a buy rating in a report on Wednesday, August 14th. Royal Bank of Canada increased their price objective on Jacobs Solutions from $161.00 to $167.00 and gave the company an outperform rating in a report on Wednesday, August 7th. Robert W. Baird dropped their price objective on Jacobs Solutions from $163.00 to $160.00 and set an outperform rating on the stock in a report on Wednesday, August 7th. Finally, StockNews.com downgraded Jacobs Solutions from a strong-buy rating to a buy rating in a report on Thursday, August 15th. Six analysts have rated the stock with a hold rating and eight have assigned a buy rating to the company. According to MarketBeat, the stock has a consensus rating of Moderate Buy and a consensus target price of $160.40. Get Our Latest Stock Analysis on Jacobs Solutions Insider Buying and Selling at Jacobs Solutions In other Jacobs Solutions news, insider Steven J. Demetriou sold 7,000 shares of Jacobs Solutions stock in a transaction that occurred on Monday, July 1st. The stock was sold at an average price of $139.94, for a total value of $979,580.00. Following the transaction, the insider now directly owns 534,138 shares in the company, valued at approximately $74,747,271.72. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. In other news, insider Steven J. Demetriou sold 7,000 shares of the firms stock in a transaction that occurred on Monday, July 1st. The stock was sold at an average price of $139.94, for a total value of $979,580.00. Following the completion of the sale, the insider now directly owns 534,138 shares of the companys stock, valued at approximately $74,747,271.72. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this link. Also, SVP William B. Allen, Jr. sold 1,451 shares of the firms stock in a transaction that occurred on Friday, August 16th. The shares were sold at an average price of $146.51, for a total value of $212,586.01. Following the completion of the sale, the senior vice president now directly owns 26,699 shares of the companys stock, valued at $3,911,670.49. The disclosure for this sale can be found here. In the last ninety days, insiders have sold 21,516 shares of company stock worth $3,132,273. 1.00% of the stock is currently owned by insiders. About Jacobs Solutions (Free Report) Jacobs Solutions Inc provides consulting, technical, engineering, scientific, and project delivery services for the government and private sectors in the United States, Europe, Canada, India, Asia, Australia, New Zealand, the Middle East, and Africa. It operates through Critical Mission Solutions, People & Places Solutions, Divergent Solutions, and PA Consulting segments. Recommended Stories Want to see what other hedge funds are holding J? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Jacobs Solutions Inc. (NYSE:J Free Report). Receive News & Ratings for Jacobs Solutions Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Jacobs Solutions and related companies with MarketBeat.com's FREE daily email newsletter. Skeena Resources Limited (TSE:SKE Get Free Report) Senior Officer Justin Reed Himmelright sold 7,500 shares of the businesss stock in a transaction dated Thursday, September 12th. The stock was sold at an average price of C$10.99, for a total transaction of C$82,395.00. Justin Reed Himmelright also recently made the following trade(s): Get Skeena Resources alerts: On Friday, July 19th, Justin Reed Himmelright sold 8,000 shares of Skeena Resources stock. The shares were sold at an average price of C$8.52, for a total value of C$68,136.00. Skeena Resources Stock Performance SKE opened at C$11.95 on Friday. The firm has a market cap of C$1.27 billion, a P/E ratio of -7.81 and a beta of 1.65. The businesss fifty day moving average is C$9.61 and its 200-day moving average is C$7.44. Skeena Resources Limited has a 52-week low of C$4.20 and a 52-week high of C$12.08. The company has a debt-to-equity ratio of 10.23, a quick ratio of 1.55 and a current ratio of 2.88. Wall Street Analysts Forecast Growth Skeena Resources ( TSE:SKE Get Free Report ) last issued its quarterly earnings results on Thursday, August 8th. The company reported C($0.38) earnings per share for the quarter, missing analysts consensus estimates of C($0.08) by C($0.30). Sell-side analysts expect that Skeena Resources Limited will post -0.4862973 EPS for the current fiscal year. SKE has been the topic of a number of analyst reports. Scotiabank upped their price objective on Skeena Resources from C$12.00 to C$13.50 in a research note on Monday, August 19th. Royal Bank of Canada lifted their price objective on shares of Skeena Resources from C$15.00 to C$17.00 and gave the company an outperform rating in a research report on Tuesday. Canaccord Genuity Group boosted their price objective on shares of Skeena Resources from C$17.00 to C$17.25 in a research note on Tuesday, July 23rd. BMO Capital Markets raised their target price on shares of Skeena Resources from C$18.00 to C$20.00 and gave the company an outperform rating in a research note on Thursday, June 27th. Finally, Desjardins boosted their price target on Skeena Resources from C$19.75 to C$20.00 and gave the stock a buy rating in a research report on Wednesday, June 26th. Five investment analysts have rated the stock with a buy rating and one has given a strong buy rating to the stock. According to data from MarketBeat.com, Skeena Resources has an average rating of Buy and an average target price of C$16.96. Read Our Latest Analysis on SKE Skeena Resources Company Profile (Get Free Report) Skeena Resources Limited explores for and develops mineral properties in Canada. The company explores for gold, silver, copper, and other precious metal deposits. It holds 100% interests in the Snip gold mine comprising one mining lease and nine mineral tenures that covers an area of approximately 4,724 hectares; and the Eskay Creek gold mine that consists of eight mineral leases, two surface leases, and various unpatented mining claims comprising 7,666 hectares located in British Columbia, Canada. See Also Receive News & Ratings for Skeena Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Skeena Resources and related companies with MarketBeat.com's FREE daily email newsletter. Kikkoman Co. (OTCMKTS:KIKOY Get Free Report) shares were down 3.5% during trading on Thursday . The company traded as low as $22.00 and last traded at $22.00. Approximately 130 shares were traded during trading, a decline of 91% from the average daily volume of 1,377 shares. The stock had previously closed at $22.80. Kikkoman Stock Performance The business has a fifty day simple moving average of $23.62 and a 200 day simple moving average of $20.09. About Kikkoman (Get Free Report) Kikkoman Corporation, through its subsidiaries, manufactures and sells food products in Japan and internationally. It offers soy sauces, soy sauce soup bases, dipping and marinade sauces, and Del Monte seasonings; soy milk and Del Monte beverages; mirin and wines. The company also manufactures and sells canned fruits, corn products, and tomato ketchup; health foods; and purchases and sells oriental food products, as well as other products. Recommended Stories Receive News & Ratings for Kikkoman Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Kikkoman and related companies with MarketBeat.com's FREE daily email newsletter. Guardian Wealth Advisors LLC decreased its position in shares of Kimberly-Clark Co. (NYSE:KMB Free Report) by 3.6% during the 2nd quarter, according to the company in its most recent Form 13F filing with the Securities and Exchange Commission (SEC). The institutional investor owned 2,757 shares of the companys stock after selling 103 shares during the period. Guardian Wealth Advisors LLCs holdings in Kimberly-Clark were worth $381,000 at the end of the most recent quarter. A number of other institutional investors have also recently added to or reduced their stakes in KMB. CX Institutional boosted its holdings in shares of Kimberly-Clark by 1.2% in the 2nd quarter. CX Institutional now owns 5,968 shares of the companys stock worth $825,000 after acquiring an additional 71 shares in the last quarter. Capital Investment Counsel Inc raised its position in shares of Kimberly-Clark by 0.8% during the first quarter. Capital Investment Counsel Inc now owns 10,019 shares of the companys stock worth $1,296,000 after acquiring an additional 75 shares during the last quarter. Connecticut Wealth Management LLC raised its holdings in Kimberly-Clark by 4.6% during the 1st quarter. Connecticut Wealth Management LLC now owns 1,755 shares of the companys stock worth $227,000 after purchasing an additional 77 shares during the last quarter. Equitable Trust Co. boosted its stake in shares of Kimberly-Clark by 0.9% in the 2nd quarter. Equitable Trust Co. now owns 9,066 shares of the companys stock valued at $1,253,000 after purchasing an additional 80 shares during the last quarter. Finally, Comprehensive Financial Consultants Institutional Inc. grew its position in shares of Kimberly-Clark by 1.6% during the 1st quarter. Comprehensive Financial Consultants Institutional Inc. now owns 5,093 shares of the companys stock worth $659,000 after buying an additional 81 shares during the period. 76.29% of the stock is currently owned by institutional investors and hedge funds. Get Kimberly-Clark alerts: Wall Street Analyst Weigh In Several analysts have commented on the stock. TD Cowen began coverage on shares of Kimberly-Clark in a research report on Tuesday, July 23rd. They set a buy rating and a $161.00 target price for the company. Royal Bank of Canada reissued an outperform rating and issued a $165.00 target price on shares of Kimberly-Clark in a research note on Wednesday, July 24th. BNP Paribas began coverage on Kimberly-Clark in a research note on Monday, June 24th. They issued a neutral rating and a $146.00 price target for the company. Deutsche Bank Aktiengesellschaft boosted their price objective on shares of Kimberly-Clark from $139.00 to $142.00 and gave the company a hold rating in a report on Wednesday, July 24th. Finally, Bank of America upgraded shares of Kimberly-Clark from an underperform rating to a buy rating and increased their target price for the stock from $115.00 to $160.00 in a report on Thursday, June 13th. Two equities research analysts have rated the stock with a sell rating, eight have issued a hold rating and seven have given a buy rating to the company. Based on data from MarketBeat, the company presently has a consensus rating of Hold and a consensus price target of $145.67. Kimberly-Clark Price Performance NYSE KMB opened at $143.52 on Friday. Kimberly-Clark Co. has a 12-month low of $116.32 and a 12-month high of $149.30. The firms 50 day moving average is $142.18 and its 200-day moving average is $135.33. The company has a market capitalization of $48.34 billion, a PE ratio of 26.38, a price-to-earnings-growth ratio of 3.04 and a beta of 0.39. The company has a quick ratio of 0.58, a current ratio of 0.85 and a debt-to-equity ratio of 5.59. Kimberly-Clark (NYSE:KMB Get Free Report) last posted its quarterly earnings data on Tuesday, July 23rd. The company reported $1.96 EPS for the quarter, topping analysts consensus estimates of $1.71 by $0.25. The company had revenue of $5.03 billion during the quarter, compared to the consensus estimate of $5.10 billion. Kimberly-Clark had a net margin of 11.28% and a return on equity of 223.55%. The companys quarterly revenue was down 2.0% on a year-over-year basis. During the same period in the prior year, the business posted $1.65 EPS. Equities research analysts anticipate that Kimberly-Clark Co. will post 7.25 earnings per share for the current fiscal year. Kimberly-Clark Announces Dividend The business also recently disclosed a quarterly dividend, which will be paid on Wednesday, October 2nd. Stockholders of record on Friday, September 6th will be issued a $1.22 dividend. This represents a $4.88 annualized dividend and a dividend yield of 3.40%. The ex-dividend date of this dividend is Friday, September 6th. Kimberly-Clarks payout ratio is presently 89.71%. Insider Activity at Kimberly-Clark In other Kimberly-Clark news, insider Jeffrey P. Melucci sold 37,699 shares of the companys stock in a transaction on Thursday, July 25th. The shares were sold at an average price of $141.42, for a total transaction of $5,331,392.58. Following the sale, the insider now directly owns 19,935 shares of the companys stock, valued at $2,819,207.70. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through this hyperlink. In other news, insider Gonzalo Uribe sold 1,780 shares of the firms stock in a transaction dated Monday, July 29th. The shares were sold at an average price of $140.84, for a total transaction of $250,695.20. Following the completion of the sale, the insider now owns 7,377 shares in the company, valued at approximately $1,038,976.68. The transaction was disclosed in a filing with the SEC, which is accessible through this link. Also, insider Jeffrey P. Melucci sold 37,699 shares of the companys stock in a transaction dated Thursday, July 25th. The shares were sold at an average price of $141.42, for a total transaction of $5,331,392.58. Following the completion of the transaction, the insider now owns 19,935 shares in the company, valued at approximately $2,819,207.70. The disclosure for this sale can be found here. 0.62% of the stock is owned by company insiders. Kimberly-Clark Company Profile (Free Report) Kimberly-Clark Corporation, together with its subsidiaries, manufactures and markets personal care and consumer tissue products in the United States. It operates through three segments: Personal Care, Consumer Tissue, and K-C Professional. The companys Personal Care segment offers disposable diapers, training and youth pants, swimpants, baby wipes, feminine and incontinence care products, reusable underwear, and other related products under the Huggies, Pull-Ups, Little Swimmers, GoodNites, DryNites, Sweety, Kotex, U by Kotex, Intimus, Thinx, Poise, Depend, Plenitud, Softex, and other brand names. Recommended Stories Want to see what other hedge funds are holding KMB? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Kimberly-Clark Co. (NYSE:KMB Free Report). Receive News & Ratings for Kimberly-Clark Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Kimberly-Clark and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Asset Management Inc. trimmed its position in shares of W.W. Grainger, Inc. (NYSE:GWW Free Report) by 70.0% during the 2nd quarter, according to its most recent 13F filing with the Securities and Exchange Commission (SEC). The firm owned 872 shares of the industrial products companys stock after selling 2,039 shares during the quarter. Meeder Asset Management Inc.s holdings in W.W. Grainger were worth $787,000 as of its most recent filing with the Securities and Exchange Commission (SEC). Several other hedge funds and other institutional investors have also recently modified their holdings of the company. Clearbridge Investments LLC lifted its holdings in shares of W.W. Grainger by 17.4% during the 1st quarter. Clearbridge Investments LLC now owns 1,148,051 shares of the industrial products companys stock valued at $1,167,913,000 after buying an additional 169,897 shares during the period. Franklin Resources Inc. lifted its holdings in shares of W.W. Grainger by 1.7% during the 4th quarter. Franklin Resources Inc. now owns 689,090 shares of the industrial products companys stock valued at $571,042,000 after buying an additional 11,460 shares during the period. Norges Bank bought a new position in shares of W.W. Grainger during the 4th quarter valued at $392,470,000. FIL Ltd lifted its holdings in shares of W.W. Grainger by 21.4% during the 4th quarter. FIL Ltd now owns 240,856 shares of the industrial products companys stock valued at $199,595,000 after buying an additional 42,395 shares during the period. Finally, Swedbank AB bought a new position in shares of W.W. Grainger during the 1st quarter valued at $159,365,000. 80.70% of the stock is owned by institutional investors. Get W.W. Grainger alerts: Analyst Ratings Changes Several equities analysts have recently weighed in on GWW shares. Royal Bank of Canada lowered their price target on W.W. Grainger from $978.00 to $972.00 and set a sector perform rating on the stock in a report on Friday, August 2nd. Baird R W cut W.W. Grainger from a strong-buy rating to a hold rating in a report on Wednesday, May 29th. JPMorgan Chase & Co. raised their target price on W.W. Grainger from $1,000.00 to $1,015.00 and gave the stock a neutral rating in a report on Friday, August 2nd. Erste Group Bank reaffirmed a hold rating on shares of W.W. Grainger in a report on Friday, June 14th. Finally, Morgan Stanley began coverage on W.W. Grainger in a report on Friday, September 6th. They issued an equal weight rating and a $990.00 target price on the stock. Ten analysts have rated the stock with a hold rating and two have assigned a buy rating to the company. According to MarketBeat.com, the stock has an average rating of Hold and a consensus target price of $1,014.11. W.W. Grainger Trading Down 0.3 % Shares of GWW stock opened at $993.82 on Friday. W.W. Grainger, Inc. has a 52-week low of $674.41 and a 52-week high of $1,034.18. The company has a debt-to-equity ratio of 0.50, a quick ratio of 1.40 and a current ratio of 2.30. The firm has a market capitalization of $48.53 billion, a PE ratio of 27.44, a PEG ratio of 1.96 and a beta of 1.16. The stock has a fifty day simple moving average of $959.32 and a two-hundred day simple moving average of $954.95. W.W. Grainger (NYSE:GWW Get Free Report) last issued its quarterly earnings results on Thursday, August 1st. The industrial products company reported $9.76 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $9.58 by $0.18. W.W. Grainger had a return on equity of 53.25% and a net margin of 10.86%. The business had revenue of $4.31 billion during the quarter, compared to analyst estimates of $4.35 billion. During the same quarter in the prior year, the business posted $9.28 EPS. W.W. Graingers revenue for the quarter was up 3.1% compared to the same quarter last year. On average, analysts predict that W.W. Grainger, Inc. will post 38.75 EPS for the current fiscal year. W.W. Grainger Announces Dividend The firm also recently declared a quarterly dividend, which was paid on Sunday, September 1st. Shareholders of record on Monday, August 12th were paid a $2.05 dividend. The ex-dividend date of this dividend was Monday, August 12th. This represents a $8.20 dividend on an annualized basis and a yield of 0.83%. W.W. Graingers payout ratio is presently 22.64%. Insider Activity In other news, SVP Matt Fortin sold 511 shares of the firms stock in a transaction dated Thursday, June 20th. The stock was sold at an average price of $915.20, for a total transaction of $467,667.20. Following the completion of the transaction, the senior vice president now owns 1,848 shares in the company, valued at $1,691,289.60. The sale was disclosed in a document filed with the SEC, which is available at the SEC website. Company insiders own 9.50% of the companys stock. About W.W. Grainger (Free Report) W.W. Grainger, Inc, together with its subsidiaries, distributes maintenance, repair, and operating products and services primarily in North America, Japan, the United Kingdom, and internationally. The company operates through two segments, High-Touch Solutions N.A. and Endless Assortment. The company provides safety, security, material handling and storage equipment, pumps and plumbing equipment, cleaning and maintenance, and metalworking and hand tools. Read More Receive News & Ratings for W.W. Grainger Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for W.W. Grainger and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Asset Management Inc. decreased its position in shares of Pfizer Inc. (NYSE:PFE Free Report) by 90.7% during the second quarter, according to the company in its most recent filing with the Securities and Exchange Commission. The firm owned 5,061 shares of the biopharmaceutical companys stock after selling 49,576 shares during the period. Meeder Asset Management Inc.s holdings in Pfizer were worth $142,000 at the end of the most recent quarter. Several other large investors have also added to or reduced their stakes in PFE. Olistico Wealth LLC purchased a new position in shares of Pfizer during the 2nd quarter valued at $25,000. MFA Wealth Advisors LLC bought a new position in shares of Pfizer in the second quarter worth about $29,000. Creekmur Asset Management LLC bought a new stake in Pfizer during the 4th quarter valued at approximately $32,000. Trivant Custom Portfolio Group LLC raised its stake in Pfizer by 100.0% during the 1st quarter. Trivant Custom Portfolio Group LLC now owns 1,200 shares of the biopharmaceutical companys stock valued at $33,000 after purchasing an additional 600 shares during the period. Finally, Financial Connections Group Inc. bought a new position in Pfizer in the 2nd quarter worth approximately $34,000. Hedge funds and other institutional investors own 68.36% of the companys stock. Get Pfizer alerts: Pfizer Stock Up 0.4 % NYSE:PFE opened at $29.27 on Friday. The stock has a fifty day simple moving average of $29.21 and a 200-day simple moving average of $28.11. The company has a current ratio of 0.86, a quick ratio of 0.60 and a debt-to-equity ratio of 0.65. The company has a market cap of $165.86 billion, a price-to-earnings ratio of -487.75, a price-to-earnings-growth ratio of 1.04 and a beta of 0.68. Pfizer Inc. has a twelve month low of $25.20 and a twelve month high of $34.42. Pfizer Dividend Announcement Pfizer ( NYSE:PFE Get Free Report ) last released its quarterly earnings data on Tuesday, July 30th. The biopharmaceutical company reported $0.60 earnings per share (EPS) for the quarter, beating the consensus estimate of $0.46 by $0.14. The company had revenue of $13.28 billion during the quarter, compared to analysts expectations of $12.96 billion. Pfizer had a negative net margin of 4.66% and a positive return on equity of 8.42%. The firms quarterly revenue was up 2.1% on a year-over-year basis. During the same period in the previous year, the company earned $0.67 EPS. Analysts anticipate that Pfizer Inc. will post 2.66 EPS for the current year. The company also recently announced a quarterly dividend, which was paid on Tuesday, September 3rd. Shareholders of record on Friday, July 26th were given a dividend of $0.42 per share. This represents a $1.68 dividend on an annualized basis and a yield of 5.74%. The ex-dividend date of this dividend was Friday, July 26th. Pfizers dividend payout ratio (DPR) is presently -2,799.53%. Wall Street Analyst Weigh In Several research firms have recently issued reports on PFE. Cantor Fitzgerald reiterated an overweight rating and set a $45.00 price objective on shares of Pfizer in a research report on Monday, September 9th. Barclays boosted their target price on Pfizer from $30.00 to $32.00 and gave the stock an equal weight rating in a research report on Wednesday, July 31st. StockNews.com raised Pfizer from a hold rating to a buy rating in a report on Thursday, August 1st. Wells Fargo & Company boosted their price target on shares of Pfizer from $28.00 to $30.00 and gave the stock an equal weight rating in a research report on Wednesday, July 31st. Finally, Daiwa America raised Pfizer from a moderate buy rating to a strong-buy rating in a research note on Wednesday, August 7th. Eight research analysts have rated the stock with a hold rating, seven have issued a buy rating and one has assigned a strong buy rating to the company. Based on data from MarketBeat.com, the stock has an average rating of Moderate Buy and a consensus price target of $34.54. Read Our Latest Report on PFE Pfizer Profile (Free Report) Pfizer Inc discovers, develops, manufactures, markets, distributes, and sells biopharmaceutical products in the United States, Europe, and internationally. The company offers medicines and vaccines in various therapeutic areas, including cardiovascular metabolic, migraine, and women's health under the Eliquis, Nurtec ODT/Vydura, Zavzpret, and the Premarin family brands; infectious diseases with unmet medical needs under the Prevnar family, Abrysvo, Nimenrix, FSME/IMMUN-TicoVac, and Trumenba brands; and COVID-19 prevention and treatment, and potential future mRNA and antiviral products under the Comirnaty and Paxlovid brands. See Also Want to see what other hedge funds are holding PFE? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Pfizer Inc. (NYSE:PFE Free Report). Receive News & Ratings for Pfizer Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pfizer and related companies with MarketBeat.com's FREE daily email newsletter. Royal Bank of Canada reiterated their sector perform rating on shares of M&G (LON:MNG Free Report) in a research note released on Wednesday, Marketbeat Ratings reports. They currently have a GBX 220 ($2.88) target price on the stock. Other research analysts have also issued research reports about the company. Berenberg Bank reiterated a hold rating and set a GBX 225 ($2.94) target price on shares of M&G in a research report on Thursday, September 5th. Deutsche Bank Aktiengesellschaft restated a buy rating and set a GBX 240 ($3.14) price objective on shares of M&G in a research report on Thursday, September 5th. One analyst has rated the stock with a sell rating, three have issued a hold rating and three have assigned a buy rating to the company. Based on data from MarketBeat, M&G currently has a consensus rating of Hold and an average target price of GBX 223.33 ($2.92). Get M&G alerts: Get Our Latest Stock Analysis on M&G M&G Stock Performance M&G Cuts Dividend Shares of MNG stock opened at GBX 206.90 ($2.71) on Wednesday. The company has a current ratio of 1.17, a quick ratio of 0.39 and a debt-to-equity ratio of 207.54. M&G has a 12-month low of GBX 188.85 ($2.47) and a 12-month high of GBX 241.10 ($3.15). The businesss 50 day moving average is GBX 208.14 and its two-hundred day moving average is GBX 209.47. The company has a market capitalization of 4.92 billion, a P/E ratio of 1,724.17, a P/E/G ratio of -2.76 and a beta of 1.47. The firm also recently disclosed a dividend, which will be paid on Friday, October 18th. Investors of record on Thursday, September 12th will be paid a dividend of GBX 6.60 ($0.09) per share. The ex-dividend date is Thursday, September 12th. This represents a yield of 3.05%. M&Gs dividend payout ratio is presently 16,666.67%. Insider Buying and Selling at M&G In related news, insider Edward Braham bought 24,600 shares of the stock in a transaction dated Wednesday, June 19th. The shares were acquired at an average cost of GBX 204 ($2.67) per share, for a total transaction of 50,184 ($65,625.74). 1.74% of the stock is owned by insiders. About M&G (Get Free Report) M&G plc, through its subsidiaries, engages in savings and investment businesses in the United Kingdom and internationally. The company operates through three segments: Asset Management, Life, and Wealth. It offers retirement, savings, and investment management solutions. The company also provides individual and corporate pensions, retirement, annuities, life, savings, and investment products, such as equities, fixed income, multi-asset and real estate. See Also Receive News & Ratings for M&G Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for M&G and related companies with MarketBeat.com's FREE daily email newsletter. Meeder Asset Management Inc. lowered its stake in Norfolk Southern Co. (NYSE:NSC Free Report) by 87.1% in the second quarter, according to the company in its most recent disclosure with the SEC. The institutional investor owned 4,160 shares of the railroad operators stock after selling 28,082 shares during the quarter. Meeder Asset Management Inc.s holdings in Norfolk Southern were worth $893,000 as of its most recent filing with the SEC. A number of other large investors have also modified their holdings of the stock. American Century Companies Inc. grew its stake in Norfolk Southern by 17.3% during the 2nd quarter. American Century Companies Inc. now owns 3,356,590 shares of the railroad operators stock valued at $720,626,000 after purchasing an additional 496,088 shares in the last quarter. Linscomb Wealth Inc. grew its stake in Norfolk Southern by 0.4% during the 2nd quarter. Linscomb Wealth Inc. now owns 40,482 shares of the railroad operators stock valued at $8,691,000 after purchasing an additional 153 shares in the last quarter. Trifecta Capital Advisors LLC grew its stake in Norfolk Southern by 4.6% during the 2nd quarter. Trifecta Capital Advisors LLC now owns 1,056 shares of the railroad operators stock valued at $227,000 after purchasing an additional 46 shares in the last quarter. LPL Financial LLC grew its stake in Norfolk Southern by 17.9% during the 2nd quarter. LPL Financial LLC now owns 244,274 shares of the railroad operators stock valued at $52,443,000 after purchasing an additional 37,146 shares in the last quarter. Finally, Carson Advisory Inc. acquired a new stake in Norfolk Southern during the 2nd quarter valued at approximately $231,000. Institutional investors and hedge funds own 75.10% of the companys stock. Get Norfolk Southern alerts: Norfolk Southern Stock Down 3.5 % Shares of Norfolk Southern stock opened at $245.80 on Friday. The businesss fifty day moving average price is $240.36 and its two-hundred day moving average price is $238.22. The company has a debt-to-equity ratio of 1.30, a current ratio of 0.63 and a quick ratio of 0.54. The company has a market capitalization of $55.58 billion, a P/E ratio of 39.58, a PEG ratio of 2.48 and a beta of 1.31. Norfolk Southern Co. has a 1-year low of $183.09 and a 1-year high of $263.66. Norfolk Southern Announces Dividend Norfolk Southern ( NYSE:NSC Get Free Report ) last announced its earnings results on Thursday, July 25th. The railroad operator reported $3.06 earnings per share for the quarter, beating the consensus estimate of $2.86 by $0.20. The firm had revenue of $3.04 billion for the quarter, compared to the consensus estimate of $3.04 billion. Norfolk Southern had a return on equity of 19.64% and a net margin of 14.85%. The firms revenue for the quarter was up 2.1% on a year-over-year basis. During the same quarter in the previous year, the business earned $2.95 EPS. As a group, research analysts forecast that Norfolk Southern Co. will post 11.8 EPS for the current year. The firm also recently announced a quarterly dividend, which was paid on Tuesday, August 20th. Shareholders of record on Friday, August 2nd were paid a dividend of $1.35 per share. This represents a $5.40 annualized dividend and a yield of 2.20%. The ex-dividend date of this dividend was Friday, August 2nd. Norfolk Southerns payout ratio is currently 86.96%. Wall Street Analyst Weigh In A number of equities research analysts have weighed in on NSC shares. Susquehanna raised their price objective on Norfolk Southern from $245.00 to $255.00 and gave the company a neutral rating in a research note on Friday, July 26th. Wells Fargo & Company lifted their target price on Norfolk Southern from $260.00 to $270.00 and gave the stock an overweight rating in a research report on Friday, July 26th. Royal Bank of Canada decreased their target price on Norfolk Southern from $270.00 to $267.00 and set an outperform rating for the company in a research report on Friday, July 26th. TD Cowen lifted their target price on Norfolk Southern from $251.00 to $253.00 and gave the stock a hold rating in a research report on Friday, July 26th. Finally, Stifel Nicolaus lifted their target price on Norfolk Southern from $239.00 to $241.00 and gave the stock a hold rating in a research report on Friday, July 26th. One investment analyst has rated the stock with a sell rating, five have issued a hold rating and twelve have issued a buy rating to the companys stock. According to MarketBeat.com, Norfolk Southern currently has a consensus rating of Moderate Buy and an average price target of $264.24. Read Our Latest Stock Analysis on NSC Insider Buying and Selling at Norfolk Southern In other news, EVP Nabanita C. Nag sold 355 shares of Norfolk Southern stock in a transaction on Monday, July 29th. The stock was sold at an average price of $249.38, for a total transaction of $88,529.90. Following the transaction, the executive vice president now directly owns 1,488 shares in the company, valued at approximately $371,077.44. The sale was disclosed in a legal filing with the SEC, which can be accessed through the SEC website. In other news, Director Sameh Fahmy purchased 700 shares of the stock in a transaction dated Thursday, August 1st. The shares were bought at an average cost of $245.15 per share, for a total transaction of $171,605.00. Following the transaction, the director now owns 7,700 shares in the company, valued at $1,887,655. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. Also, EVP Nabanita C. Nag sold 355 shares of Norfolk Southern stock in a transaction on Monday, July 29th. The shares were sold at an average price of $249.38, for a total value of $88,529.90. Following the transaction, the executive vice president now owns 1,488 shares in the company, valued at $371,077.44. The disclosure for this sale can be found here. 0.19% of the stock is owned by company insiders. About Norfolk Southern (Free Report) Norfolk Southern Corporation, together with its subsidiaries, engages in the rail transportation of raw materials, intermediate products, and finished goods in the United States. The company transports agriculture, forest, and consumer products comprising soybeans, wheat, corn, fertilizers, livestock and poultry feed, food products, food oils, flour, sweeteners, ethanol, lumber and wood products, pulp board and paper products, wood fibers, wood pulp, beverages, and canned goods; chemicals consist of sulfur and related chemicals, petroleum products comprising crude oil, chlorine and bleaching compounds, plastics, rubber, industrial chemicals, chemical wastes, sand, and natural gas liquids; metals and construction materials, such as steel, aluminum products, machinery, scrap metals, cement, aggregates, minerals, clay, transportation equipment, and military-related products; and automotive, including finished motor vehicles and automotive parts, as well as coal. Featured Articles Receive News & Ratings for Norfolk Southern Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Norfolk Southern and related companies with MarketBeat.com's FREE daily email newsletter. Main Street Financial Solutions LLC increased its holdings in Novo Nordisk A/S (NYSE:NVO Free Report) by 22.7% in the 2nd quarter, according to its most recent 13F filing with the SEC. The firm owned 3,300 shares of the companys stock after buying an additional 611 shares during the period. Main Street Financial Solutions LLCs holdings in Novo Nordisk A/S were worth $471,000 as of its most recent filing with the SEC. Other hedge funds and other institutional investors have also modified their holdings of the company. Charles Schwab Investment Management Inc. boosted its holdings in shares of Novo Nordisk A/S by 38.1% in the 4th quarter. Charles Schwab Investment Management Inc. now owns 94,544 shares of the companys stock valued at $9,781,000 after buying an additional 26,067 shares during the period. Bank of New Hampshire boosted its stake in Novo Nordisk A/S by 1,356.8% during the 1st quarter. Bank of New Hampshire now owns 13,257 shares of the companys stock valued at $1,702,000 after purchasing an additional 12,347 shares during the period. Cim LLC acquired a new position in Novo Nordisk A/S during the 4th quarter valued at about $23,547,000. Burford Brothers Inc. acquired a new position in Novo Nordisk A/S during the 4th quarter valued at about $1,045,000. Finally, Mark Asset Management LP acquired a new position in Novo Nordisk A/S during the 4th quarter valued at about $1,035,000. 11.54% of the stock is owned by institutional investors and hedge funds. Get Novo Nordisk A/S alerts: Novo Nordisk A/S Stock Up 0.2 % NYSE NVO opened at $137.00 on Friday. The company has a debt-to-equity ratio of 0.46, a current ratio of 0.94 and a quick ratio of 0.75. The companys 50 day simple moving average is $134.03 and its 200 day simple moving average is $132.87. Novo Nordisk A/S has a 12 month low of $86.96 and a 12 month high of $148.15. The company has a market capitalization of $614.79 billion, a P/E ratio of 47.24, a PEG ratio of 1.48 and a beta of 0.42. Novo Nordisk A/S Cuts Dividend Novo Nordisk A/S ( NYSE:NVO Get Free Report ) last released its earnings results on Wednesday, August 7th. The company reported $0.65 EPS for the quarter, missing analysts consensus estimates of $0.71 by ($0.06). Novo Nordisk A/S had a return on equity of 87.43% and a net margin of 34.86%. The business had revenue of $9.82 billion during the quarter, compared to the consensus estimate of $9.91 billion. Equities research analysts expect that Novo Nordisk A/S will post 3.11 earnings per share for the current fiscal year. The company also recently declared a semi-annual dividend, which was paid on Monday, August 26th. Stockholders of record on Friday, August 16th were issued a dividend of $0.5126 per share. The ex-dividend date was Friday, August 16th. This represents a dividend yield of 0.7%. Novo Nordisk A/Ss dividend payout ratio is currently 24.83%. Analyst Ratings Changes NVO has been the subject of a number of analyst reports. The Goldman Sachs Group initiated coverage on shares of Novo Nordisk A/S in a research note on Thursday, May 30th. They set a buy rating and a $156.00 target price for the company. Cantor Fitzgerald reissued an overweight rating and set a $160.00 target price on shares of Novo Nordisk A/S in a research note on Monday, September 9th. BMO Capital Markets reduced their target price on shares of Novo Nordisk A/S from $170.00 to $160.00 and set an outperform rating for the company in a research note on Thursday, August 8th. StockNews.com lowered shares of Novo Nordisk A/S from a strong-buy rating to a buy rating in a research note on Monday, August 19th. Finally, Argus upped their price target on shares of Novo Nordisk A/S from $125.00 to $160.00 and gave the stock a buy rating in a research note on Monday, June 10th. One investment analyst has rated the stock with a hold rating and seven have assigned a buy rating to the company. According to data from MarketBeat.com, the company presently has a consensus rating of Moderate Buy and an average price target of $145.17. Read Our Latest Research Report on NVO About Novo Nordisk A/S (Free Report) Novo Nordisk A/S, together with its subsidiaries, engages in the research and development, manufacture, and distribution of pharmaceutical products in Europe, the Middle East, Africa, Mainland China, Hong Kong, Taiwan, North America, and internationally. It operates in two segments, Diabetes and Obesity Care, and Rare Disease. Read More Receive News & Ratings for Novo Nordisk A/S Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Novo Nordisk A/S and related companies with MarketBeat.com's FREE daily email newsletter. Oxford Industries (NYSE:OXM Free Report) had its price target trimmed by Citigroup from $92.00 to $65.00 in a report published on Friday, Benzinga reports. The brokerage currently has a sell rating on the textile makers stock. OXM has been the subject of a number of other research reports. UBS Group reduced their target price on Oxford Industries from $101.00 to $91.00 and set a neutral rating for the company in a report on Thursday, September 5th. Telsey Advisory Group reduced their target price on Oxford Industries from $110.00 to $86.00 and set a market perform rating for the company in a report on Thursday. One investment analyst has rated the stock with a sell rating, five have assigned a hold rating and one has given a buy rating to the companys stock. According to MarketBeat.com, Oxford Industries presently has an average rating of Hold and a consensus price target of $90.40. Get Oxford Industries alerts: View Our Latest Analysis on OXM Oxford Industries Stock Performance NYSE OXM opened at $85.15 on Friday. The stock has a market capitalization of $1.34 billion, a price-to-earnings ratio of 34.47 and a beta of 1.53. Oxford Industries has a twelve month low of $74.95 and a twelve month high of $113.88. The company has a current ratio of 1.32, a quick ratio of 0.68 and a debt-to-equity ratio of 0.03. The stock has a 50-day moving average of $92.74 and a 200 day moving average of $100.84. Oxford Industries (NYSE:OXM Get Free Report) last released its quarterly earnings results on Wednesday, September 11th. The textile maker reported $2.77 earnings per share for the quarter, missing analysts consensus estimates of $3.00 by ($0.23). The business had revenue of $419.89 million during the quarter, compared to analyst estimates of $438.16 million. Oxford Industries had a return on equity of 22.19% and a net margin of 1.92%. The businesss revenue for the quarter was down .1% compared to the same quarter last year. During the same period in the previous year, the company posted $3.45 EPS. Sell-side analysts anticipate that Oxford Industries will post 8.8 EPS for the current fiscal year. Oxford Industries Announces Dividend The business also recently declared a quarterly dividend, which will be paid on Friday, November 1st. Stockholders of record on Friday, October 18th will be given a $0.67 dividend. The ex-dividend date of this dividend is Friday, October 18th. This represents a $2.68 dividend on an annualized basis and a dividend yield of 3.15%. Oxford Industriess dividend payout ratio (DPR) is presently 108.50%. Institutional Investors Weigh In On Oxford Industries A number of large investors have recently made changes to their positions in the stock. Louisiana State Employees Retirement System grew its position in shares of Oxford Industries by 1.4% in the second quarter. Louisiana State Employees Retirement System now owns 7,400 shares of the textile makers stock valued at $741,000 after purchasing an additional 100 shares in the last quarter. SummerHaven Investment Management LLC grew its position in shares of Oxford Industries by 2.0% in the second quarter. SummerHaven Investment Management LLC now owns 7,253 shares of the textile makers stock valued at $726,000 after purchasing an additional 141 shares in the last quarter. Mercer Global Advisors Inc. ADV grew its position in shares of Oxford Industries by 4.7% in the second quarter. Mercer Global Advisors Inc. ADV now owns 3,359 shares of the textile makers stock valued at $336,000 after purchasing an additional 150 shares in the last quarter. Texas Permanent School Fund Corp grew its position in shares of Oxford Industries by 1.3% in the first quarter. Texas Permanent School Fund Corp now owns 12,854 shares of the textile makers stock valued at $1,445,000 after purchasing an additional 170 shares in the last quarter. Finally, ProShare Advisors LLC grew its position in shares of Oxford Industries by 7.4% in the first quarter. ProShare Advisors LLC now owns 3,012 shares of the textile makers stock valued at $339,000 after purchasing an additional 207 shares in the last quarter. 91.16% of the stock is currently owned by hedge funds and other institutional investors. Oxford Industries Company Profile (Get Free Report) Oxford Industries, Inc, an apparel company, designs, sources, markets, and distributes products of lifestyle and other brands worldwide. The company offers men's and women's sportswear and related products under the Tommy Bahama brand; and women's and girl's dresses and sportswear, scarves, bags, jewelry, and belts, as well as children's apparel, swim, footwear, and licensed products under the Lilly Pulitzer brand. Featured Stories Receive News & Ratings for Oxford Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Oxford Industries and related companies with MarketBeat.com's FREE daily email newsletter. The Procter & Gamble Company (NYSE:PG Get Free Report)s stock price traded down 0.8% during mid-day trading on Thursday . The stock traded as low as $172.51 and last traded at $172.59. 795,732 shares changed hands during mid-day trading, a decline of 88% from the average session volume of 6,557,726 shares. The stock had previously closed at $173.92. Wall Street Analysts Forecast Growth A number of equities analysts have recently commented on the company. TD Cowen began coverage on Procter & Gamble in a report on Tuesday, July 23rd. They issued a buy rating and a $189.00 target price for the company. Dbs Bank cut shares of Procter & Gamble from a strong-buy rating to a hold rating in a research report on Thursday, August 1st. Stifel Nicolaus raised their target price on shares of Procter & Gamble from $157.00 to $161.00 and gave the stock a hold rating in a report on Monday, July 22nd. Royal Bank of Canada upped their price target on shares of Procter & Gamble from $157.00 to $164.00 and gave the company a sector perform rating in a report on Wednesday, July 31st. Finally, BNP Paribas assumed coverage on shares of Procter & Gamble in a research note on Monday, June 24th. They issued an outperform rating and a $187.00 price objective for the company. Seven investment analysts have rated the stock with a hold rating, fourteen have assigned a buy rating and one has assigned a strong buy rating to the companys stock. According to data from MarketBeat, the company currently has an average rating of Moderate Buy and an average target price of $175.79. Get Procter & Gamble alerts: View Our Latest Stock Analysis on PG Procter & Gamble Price Performance The company has a current ratio of 0.73, a quick ratio of 0.53 and a debt-to-equity ratio of 0.51. The firms 50-day moving average price is $169.38 and its two-hundred day moving average price is $165.17. The stock has a market cap of $410.85 billion, a PE ratio of 28.44, a PEG ratio of 3.77 and a beta of 0.41. Procter & Gamble (NYSE:PG Get Free Report) last posted its earnings results on Tuesday, July 30th. The company reported $1.40 earnings per share for the quarter, topping analysts consensus estimates of $1.37 by $0.03. The company had revenue of $20.53 billion during the quarter, compared to analyst estimates of $20.69 billion. Procter & Gamble had a return on equity of 33.46% and a net margin of 17.71%. Procter & Gambles revenue was down .1% on a year-over-year basis. During the same quarter in the prior year, the business earned $1.37 earnings per share. On average, equities analysts expect that The Procter & Gamble Company will post 6.97 earnings per share for the current fiscal year. Procter & Gamble Dividend Announcement The company also recently announced a quarterly dividend, which was paid on Thursday, August 15th. Investors of record on Friday, July 19th were given a dividend of $1.0065 per share. The ex-dividend date was Friday, July 19th. This represents a $4.03 dividend on an annualized basis and a yield of 2.31%. Procter & Gambles payout ratio is 65.85%. Insider Transactions at Procter & Gamble In related news, CEO Ma. Fatima Francisco sold 96,000 shares of Procter & Gamble stock in a transaction that occurred on Thursday, August 22nd. The shares were sold at an average price of $170.00, for a total value of $16,320,000.00. Following the completion of the sale, the chief executive officer now directly owns 13,721 shares in the company, valued at approximately $2,332,570. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through the SEC website. In other news, CEO Jon R. Moeller sold 87,979 shares of the stock in a transaction on Monday, August 26th. The shares were sold at an average price of $170.40, for a total value of $14,991,621.60. Following the sale, the chief executive officer now owns 300,777 shares in the company, valued at $51,252,400.80. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this hyperlink. Also, CEO Ma. Fatima Francisco sold 96,000 shares of the businesss stock in a transaction on Thursday, August 22nd. The shares were sold at an average price of $170.00, for a total transaction of $16,320,000.00. Following the transaction, the chief executive officer now owns 13,721 shares of the companys stock, valued at $2,332,570. The disclosure for this sale can be found here. Insiders sold 375,553 shares of company stock valued at $63,829,141 in the last quarter. 0.17% of the stock is currently owned by corporate insiders. Hedge Funds Weigh In On Procter & Gamble Institutional investors have recently modified their holdings of the stock. Itau Unibanco Holding S.A. purchased a new stake in Procter & Gamble during the second quarter worth $28,000. Fairway Wealth LLC purchased a new stake in shares of Procter & Gamble during the 2nd quarter worth about $29,000. Transcendent Capital Group LLC acquired a new stake in shares of Procter & Gamble during the 4th quarter valued at about $31,000. Ruedi Wealth Management Inc. raised its holdings in Procter & Gamble by 64.7% in the 1st quarter. Ruedi Wealth Management Inc. now owns 196 shares of the companys stock worth $32,000 after purchasing an additional 77 shares during the period. Finally, POM Investment Strategies LLC purchased a new position in Procter & Gamble during the second quarter worth approximately $34,000. Hedge funds and other institutional investors own 65.77% of the companys stock. About Procter & Gamble (Get Free Report) The Procter & Gamble Company provides branded consumer packaged goods worldwide. It operates through five segments: Beauty; Grooming; Health Care; Fabric & Home Care; and Baby, Feminine & Family Care. The Beauty segment offers conditioners, shampoos, styling aids, and treatments under the Head & Shoulders, Herbal Essences, Pantene, and Rejoice brands; and antiperspirants and deodorants, personal cleansing, and skin care products under the Olay, Old Spice, Safeguard, Secret, and SK-II brands. Recommended Stories Receive News & Ratings for Procter & Gamble Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Procter & Gamble and related companies with MarketBeat.com's FREE daily email newsletter. Prosperity Consulting Group LLC reduced its position in shares of iShares MSCI USA Quality Factor ETF (BATS:QUAL Free Report) by 30.6% in the second quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The firm owned 12,128 shares of the companys stock after selling 5,345 shares during the period. Prosperity Consulting Group LLCs holdings in iShares MSCI USA Quality Factor ETF were worth $2,071,000 as of its most recent SEC filing. Several other large investors also recently modified their holdings of QUAL. Inspire Advisors LLC raised its holdings in iShares MSCI USA Quality Factor ETF by 61.4% in the 2nd quarter. Inspire Advisors LLC now owns 2,745 shares of the companys stock worth $469,000 after purchasing an additional 1,044 shares in the last quarter. Envestnet Asset Management Inc. raised its stake in iShares MSCI USA Quality Factor ETF by 3.7% in the second quarter. Envestnet Asset Management Inc. now owns 28,038,014 shares of the companys stock worth $4,787,771,000 after buying an additional 999,735 shares in the last quarter. TMD Wealth Management LLC lifted its holdings in iShares MSCI USA Quality Factor ETF by 0.6% in the second quarter. TMD Wealth Management LLC now owns 244,979 shares of the companys stock worth $41,833,000 after buying an additional 1,547 shares during the period. CoreCap Advisors LLC purchased a new position in shares of iShares MSCI USA Quality Factor ETF during the 2nd quarter worth approximately $5,695,000. Finally, SYM FINANCIAL Corp raised its position in shares of iShares MSCI USA Quality Factor ETF by 111.9% in the 2nd quarter. SYM FINANCIAL Corp now owns 2,672 shares of the companys stock worth $456,000 after acquiring an additional 1,411 shares in the last quarter. Get iShares MSCI USA Quality Factor ETF alerts: iShares MSCI USA Quality Factor ETF Stock Up 0.4 % BATS QUAL opened at $176.80 on Friday. The firm has a market capitalization of $46.66 billion, a PE ratio of 23.03 and a beta of 1.03. The stocks 50 day moving average is $172.57 and its 200 day moving average is $167.20. iShares MSCI USA Quality Factor ETF has a twelve month low of $71.96 and a twelve month high of $88.63. iShares MSCI USA Quality Factor ETF Profile The iShares MSCI USA Quality Factor ETF (QUAL) is an exchange-traded fund that is based on the MSCI USA Sector Neutral Quality index. The fund tracks an index of US large- and mid-cap stocks, selected and weighted by high ROE, stable earnings growth and low debt\u002Fequity, relative to peers in each sector. See Also Receive News & Ratings for iShares MSCI USA Quality Factor ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI USA Quality Factor ETF and related companies with MarketBeat.com's FREE daily email newsletter. Prosperity Consulting Group LLC reduced its position in shares of iShares Morningstar Small-Cap Value ETF (NYSEARCA:ISCV Free Report) by 3.1% in the 2nd quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The fund owned 48,297 shares of the companys stock after selling 1,550 shares during the period. Prosperity Consulting Group LLC owned approximately 0.69% of iShares Morningstar Small-Cap Value ETF worth $2,797,000 as of its most recent filing with the Securities and Exchange Commission. Several other hedge funds have also added to or reduced their stakes in the company. Essex Financial Services Inc. lifted its holdings in iShares Morningstar Small-Cap Value ETF by 28.9% during the 2nd quarter. Essex Financial Services Inc. now owns 6,004 shares of the companys stock worth $348,000 after purchasing an additional 1,347 shares during the last quarter. VAUGHAN & Co SECURITIES INC. increased its stake in iShares Morningstar Small-Cap Value ETF by 3.2% during the 2nd quarter. VAUGHAN & Co SECURITIES INC. now owns 262,778 shares of the companys stock worth $15,218,000 after acquiring an additional 8,110 shares during the period. Key Financial Inc increased its stake in iShares Morningstar Small-Cap Value ETF by 243.1% during the 2nd quarter. Key Financial Inc now owns 6,491 shares of the companys stock worth $376,000 after acquiring an additional 4,599 shares during the period. Cambridge Investment Research Advisors Inc. increased its stake in iShares Morningstar Small-Cap Value ETF by 0.6% during the 2nd quarter. Cambridge Investment Research Advisors Inc. now owns 33,412 shares of the companys stock worth $1,935,000 after acquiring an additional 188 shares during the period. Finally, Wealth Enhancement Advisory Services LLC increased its stake in iShares Morningstar Small-Cap Value ETF by 2.1% during the 2nd quarter. Wealth Enhancement Advisory Services LLC now owns 9,447 shares of the companys stock worth $547,000 after acquiring an additional 193 shares during the period. Get iShares Morningstar Small-Cap Value ETF alerts: iShares Morningstar Small-Cap Value ETF Stock Performance Shares of ISCV opened at $62.01 on Friday. iShares Morningstar Small-Cap Value ETF has a 52-week low of $47.64 and a 52-week high of $64.79. The businesss fifty day moving average is $61.09 and its 200-day moving average is $59.48. The firm has a market cap of $430.98 million, a price-to-earnings ratio of 11.10 and a beta of 1.14. iShares Morningstar Small-Cap Value ETF Company Profile The iShares Morningstar Small-Cap Value ETF (ISCV) is an exchange-traded fund that mostly invests in small-cap stocks. The fund tracks a market-cap-weighted index of US small-cap value stocks. The index selects stocks from 90-99.5% of market cap that fall into Morningstars value style categorization. Recommended Stories Want to see what other hedge funds are holding ISCV? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for iShares Morningstar Small-Cap Value ETF (NYSEARCA:ISCV Free Report). Receive News & Ratings for iShares Morningstar Small-Cap Value ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Morningstar Small-Cap Value ETF and related companies with MarketBeat.com's FREE daily email newsletter. Publix Super Markets, Inc (OTCMKTS:PUSH Get Free Report) rose 0% during trading on Thursday . The company traded as high as $50.44 and last traded at $50.44. Approximately 80 shares were traded during trading, a decline of 20% from the average daily volume of 100 shares. The stock had previously closed at $50.42. Publix Super Markets Stock Performance The company has a fifty day moving average of $50.35 and a 200 day moving average of $31.59. Get Publix Super Markets alerts: Publix Super Markets Cuts Dividend The company also recently disclosed a dividend, which was paid on Wednesday, August 7th. Stockholders of record on Thursday, August 1st were paid a $0.1974 dividend. The ex-dividend date of this dividend was Thursday, August 1st. Publix Super Markets Company Profile Publix Super Markets, Inc engages in the operations of retail food supermarkets in Florida, Georgia, Alabama, South Carolina, Tennessee, and North Carolina. It sells grocery products, including dairy, deli, bakery, meat and seafood; health and beauty care; general merchandise; pharmaceutical; and other products. Featured Stories Receive News & Ratings for Publix Super Markets Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Publix Super Markets and related companies with MarketBeat.com's FREE daily email newsletter. Galway Metals Inc. (CVE:GWM Get Free Report) Director Robert Hinchcliffe bought 26,000 shares of the firms stock in a transaction on Wednesday, September 11th. The shares were bought at an average price of C$0.59 per share, for a total transaction of C$15,379.00. Robert Hinchcliffe also recently made the following trade(s): Get Galway Metals alerts: On Wednesday, September 4th, Robert Hinchcliffe purchased 10,000 shares of Galway Metals stock. The stock was purchased at an average price of C$0.55 per share, for a total transaction of C$5,500.00. On Friday, August 30th, Robert Hinchcliffe purchased 45,000 shares of Galway Metals stock. The stock was purchased at an average price of C$0.54 per share, with a total value of C$24,250.50. On Tuesday, August 27th, Robert Hinchcliffe bought 10,000 shares of Galway Metals stock. The shares were acquired at an average price of C$0.60 per share, with a total value of C$6,000.00. On Wednesday, August 21st, Robert Hinchcliffe acquired 40,000 shares of Galway Metals stock. The shares were acquired at an average cost of C$0.61 per share, with a total value of C$24,400.00. On Monday, August 19th, Robert Hinchcliffe purchased 10,000 shares of Galway Metals stock. The stock was bought at an average cost of C$0.67 per share, with a total value of C$6,698.00. On Wednesday, August 14th, Robert Hinchcliffe bought 25,000 shares of Galway Metals stock. The stock was purchased at an average price of C$0.54 per share, for a total transaction of C$13,387.50. On Monday, August 12th, Robert Hinchcliffe acquired 10,000 shares of Galway Metals stock. The shares were purchased at an average cost of C$0.52 per share, for a total transaction of C$5,160.00. On Thursday, August 8th, Robert Hinchcliffe acquired 40,500 shares of Galway Metals stock. The shares were acquired at an average price of C$0.46 per share, for a total transaction of C$18,634.05. On Tuesday, August 6th, Robert Hinchcliffe purchased 30,000 shares of Galway Metals stock. The stock was acquired at an average cost of C$0.43 per share, for a total transaction of C$12,900.00. On Tuesday, July 30th, Robert Hinchcliffe acquired 10,000 shares of Galway Metals stock. The shares were bought at an average cost of C$0.45 per share, with a total value of C$4,500.00. Galway Metals Stock Up 14.5 % Shares of GWM opened at C$0.79 on Friday. Galway Metals Inc. has a fifty-two week low of C$0.23 and a fifty-two week high of C$0.80. The companys fifty day moving average is C$0.50 and its two-hundred day moving average is C$0.41. The firm has a market cap of C$67.72 million, a PE ratio of -15.80 and a beta of 1.76. The company has a quick ratio of 4.81, a current ratio of 3.11 and a debt-to-equity ratio of 1.00. About Galway Metals Galway Metals Inc engages in the acquisition, exploration, and development of mineral resource properties in Canada. The company primarily explores for gold, zinc, copper, silver, and lead deposits. It holds a 100% interest in the Clarence Stream project located in southwest New Brunswick, Canada; and Estrades mine, related Newiska concessions, and adjacent Casa Berardi claims located in western Quebec, Canada. Further Reading Receive News & Ratings for Galway Metals Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Galway Metals and related companies with MarketBeat.com's FREE daily email newsletter. Deutsche Bank Aktiengesellschaft restated their hold rating on shares of SES AI (NYSE:SES Free Report) in a research note published on Tuesday, Marketbeat.com reports. The brokerage currently has a $1.50 price target on the stock. Separately, Wolfe Research initiated coverage on SES AI in a report on Thursday, September 5th. They set an underperform rating and a $1.00 target price for the company. Get SES AI alerts: Read Our Latest Stock Analysis on SES AI SES AI Stock Up 1.0 % Shares of NYSE:SES opened at $1.05 on Tuesday. SES AI has a 52-week low of $0.81 and a 52-week high of $2.66. The stock has a market cap of $375.42 million, a P/E ratio of -6.56 and a beta of 2.43. The businesss 50 day moving average is $1.09 and its 200 day moving average is $1.30. SES AI (NYSE:SES Get Free Report) last announced its quarterly earnings data on Monday, July 29th. The company reported ($0.06) earnings per share (EPS) for the quarter, missing the consensus estimate of ($0.04) by ($0.02). During the same period in the prior year, the company earned ($0.04) earnings per share. On average, sell-side analysts anticipate that SES AI will post -0.23 EPS for the current fiscal year. Insiders Place Their Bets In other SES AI news, CFO Jing Nealis sold 50,000 shares of the companys stock in a transaction on Thursday, August 29th. The stock was sold at an average price of $1.05, for a total transaction of $52,500.00. Following the completion of the sale, the chief financial officer now owns 1,979,422 shares in the company, valued at $2,078,393.10. The transaction was disclosed in a document filed with the SEC, which can be accessed through this link. In other news, insider Hong Gan sold 25,000 shares of the stock in a transaction on Monday, July 1st. The stock was sold at an average price of $1.17, for a total transaction of $29,250.00. Following the completion of the sale, the insider now owns 825,506 shares in the company, valued at approximately $965,842.02. The sale was disclosed in a document filed with the SEC, which is accessible through the SEC website. Also, CFO Jing Nealis sold 50,000 shares of the stock in a transaction on Thursday, August 29th. The shares were sold at an average price of $1.05, for a total value of $52,500.00. Following the sale, the chief financial officer now owns 1,979,422 shares of the companys stock, valued at approximately $2,078,393.10. The disclosure for this sale can be found here. Insiders sold a total of 79,276 shares of company stock worth $85,214 over the last 90 days. Company insiders own 15.07% of the companys stock. Institutional Trading of SES AI Hedge funds and other institutional investors have recently made changes to their positions in the business. Vanguard Group Inc. lifted its holdings in shares of SES AI by 0.7% during the 1st quarter. Vanguard Group Inc. now owns 11,830,407 shares of the companys stock valued at $19,875,000 after purchasing an additional 82,245 shares during the last quarter. EntryPoint Capital LLC purchased a new stake in shares of SES AI during the 1st quarter valued at $88,000. Renaissance Technologies LLC raised its stake in SES AI by 62.8% in the 2nd quarter. Renaissance Technologies LLC now owns 121,300 shares of the companys stock worth $152,000 after acquiring an additional 46,800 shares during the last quarter. Squarepoint Ops LLC raised its stake in SES AI by 1,039.9% in the 2nd quarter. Squarepoint Ops LLC now owns 246,964 shares of the companys stock worth $309,000 after acquiring an additional 225,299 shares during the last quarter. Finally, Bank of New York Mellon Corp raised its stake in SES AI by 20.2% in the 2nd quarter. Bank of New York Mellon Corp now owns 427,944 shares of the companys stock worth $535,000 after acquiring an additional 71,794 shares during the last quarter. Hedge funds and other institutional investors own 29.78% of the companys stock. About SES AI (Get Free Report) SES AI Corporation engages in the development and production of high-performance Lithium-metal rechargeable batteries for electric vehicles, electric vehicle take-off and landing, and other applications. The company was founded in 2012 and is headquartered in Woburn, Massachusetts. See Also Receive News & Ratings for SES AI Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SES AI and related companies with MarketBeat.com's FREE daily email newsletter. SilverCrest Metals Inc. (NYSEAMERICAN:SILV Get Free Report) was up 4.4% during trading on Thursday . The stock traded as high as $8.64 and last traded at $8.52. Approximately 156,732 shares changed hands during trading, a decline of 90% from the average daily volume of 1,502,394 shares. The stock had previously closed at $8.16. SilverCrest Metals Trading Up 6.6 % The stock has a market capitalization of $1.40 billion, a P/E ratio of 12.19 and a beta of 1.22. Get SilverCrest Metals alerts: SilverCrest Metals (NYSEAMERICAN:SILV Get Free Report) last issued its earnings results on Wednesday, August 7th. The company reported $0.04 EPS for the quarter, missing the consensus estimate of $0.17 by ($0.13). SilverCrest Metals had a return on equity of 33.11% and a net margin of 47.62%. The company had revenue of $72.74 million for the quarter. On average, analysts forecast that SilverCrest Metals Inc. will post 0.54 EPS for the current year. Institutional Inflows and Outflows About SilverCrest Metals Several institutional investors have recently added to or reduced their stakes in SILV. Russell Investments Group Ltd. acquired a new position in SilverCrest Metals in the 4th quarter valued at about $86,000. Principal Securities Inc. acquired a new position in shares of SilverCrest Metals during the 4th quarter valued at about $33,000. Hillsdale Investment Management Inc. acquired a new position in shares of SilverCrest Metals during the 4th quarter valued at about $6,799,000. Finally, CIBC Asset Management Inc increased its stake in shares of SilverCrest Metals by 1.7% during the 4th quarter. CIBC Asset Management Inc now owns 189,825 shares of the companys stock valued at $1,249,000 after acquiring an additional 3,186 shares during the last quarter. Institutional investors and hedge funds own 44.75% of the companys stock. (Get Free Report) SilverCrest Metals Inc engages in the acquiring, exploration, and development of precious metal properties in Mexico. The company primarily explores for silver and gold properties. Its focuses on principal property the Las Chispas Mine that consists of 28 concessions totaling of approximately 1,401 hectares located in Sonora, Mexico, as well as operates a portfolio of El Picacho, Cruz de Mayo, and Angel de Plata properties in Sonora Mexico. Further Reading Receive News & Ratings for SilverCrest Metals Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SilverCrest Metals and related companies with MarketBeat.com's FREE daily email newsletter. Scotiabank assumed coverage on shares of SolarWinds (NYSE:SWI Free Report) in a research report released on Friday, Marketbeat Ratings reports. The firm issued a sector perform rating and a $13.00 target price on the software makers stock. Separately, Robert W. Baird reduced their price target on SolarWinds from $15.00 to $14.00 and set a neutral rating for the company in a research report on Friday, August 2nd. Five equities research analysts have rated the stock with a hold rating, According to MarketBeat, SolarWinds presently has a consensus rating of Hold and an average target price of $13.80. Get SolarWinds alerts: View Our Latest Research Report on SolarWinds SolarWinds Stock Performance Shares of SWI opened at $12.49 on Friday. The company has a debt-to-equity ratio of 0.92, a quick ratio of 0.62 and a current ratio of 0.62. SolarWinds has a 1 year low of $8.83 and a 1 year high of $13.43. The firm has a fifty day moving average of $12.18 and a 200-day moving average of $11.91. The company has a market cap of $2.10 billion, a price-to-earnings ratio of 178.36 and a beta of 0.97. SolarWinds (NYSE:SWI Get Free Report) last posted its earnings results on Thursday, August 1st. The software maker reported $0.26 EPS for the quarter, topping the consensus estimate of $0.23 by $0.03. The firm had revenue of $193.30 million during the quarter, compared to analysts expectations of $188.67 million. SolarWinds had a net margin of 2.96% and a return on equity of 7.14%. SolarWindss quarterly revenue was up 4.5% on a year-over-year basis. During the same quarter in the prior year, the firm earned $0.12 EPS. As a group, equities analysts anticipate that SolarWinds will post 0.71 earnings per share for the current year. Hedge Funds Weigh In On SolarWinds Institutional investors and hedge funds have recently added to or reduced their stakes in the company. nVerses Capital LLC bought a new position in SolarWinds during the second quarter valued at about $41,000. Migdal Insurance & Financial Holdings Ltd. bought a new position in shares of SolarWinds during the 2nd quarter valued at about $58,000. Ameritas Investment Partners Inc. acquired a new position in shares of SolarWinds in the 1st quarter worth approximately $62,000. Innealta Capital LLC acquired a new position in SolarWinds in the second quarter worth approximately $79,000. Finally, CWM LLC grew its holdings in shares of SolarWinds by 282.6% in the 2nd quarter. CWM LLC now owns 8,980 shares of the software makers stock worth $108,000 after acquiring an additional 6,633 shares during the last quarter. 93.96% of the stock is owned by institutional investors. SolarWinds Company Profile (Get Free Report) SolarWinds Corporation provides information technology (IT) management software products in the United States and internationally. It provides a suite of network management software that offers real-time visibility into network utilization and bandwidth, as well as the ability to detect, diagnose, and resolve network performance problems; and a suite of infrastructure management products, which monitors and analyzes the performance of applications and their supporting infrastructure, including servers, physical, virtual and cloud infrastructure, storage, and databases. See Also Receive News & Ratings for SolarWinds Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SolarWinds and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com cut shares of STMicroelectronics (NYSE:STM Free Report) from a buy rating to a hold rating in a research report sent to investors on Tuesday morning. Other equities analysts also recently issued reports about the stock. The Goldman Sachs Group upgraded shares of STMicroelectronics from a sell rating to a neutral rating and increased their price objective for the company from $35.50 to $45.80 in a research note on Tuesday, June 18th. Craig Hallum dropped their price objective on shares of STMicroelectronics from $48.00 to $42.00 and set a buy rating on the stock in a research note on Thursday, July 25th. Sanford C. Bernstein dropped their price objective on shares of STMicroelectronics from $54.00 to $41.00 and set an outperform rating on the stock in a research note on Tuesday, July 30th. Morgan Stanley downgraded shares of STMicroelectronics from an overweight rating to an equal weight rating in a research note on Friday, July 26th. Finally, TD Cowen lowered their target price on shares of STMicroelectronics from $50.00 to $40.00 and set a buy rating for the company in a research note on Friday, July 26th. Five research analysts have rated the stock with a hold rating and seven have given a buy rating to the stock. Based on data from MarketBeat, STMicroelectronics currently has a consensus rating of Moderate Buy and a consensus target price of $43.13. Get STMicroelectronics alerts: View Our Latest Report on STM STMicroelectronics Stock Performance Shares of NYSE:STM opened at $28.58 on Tuesday. The firm has a market cap of $25.82 billion, a PE ratio of 7.33, a price-to-earnings-growth ratio of 3.41 and a beta of 1.58. The firms 50 day simple moving average is $33.37 and its 200-day simple moving average is $39.33. STMicroelectronics has a one year low of $27.44 and a one year high of $51.27. The company has a debt-to-equity ratio of 0.17, a quick ratio of 2.56 and a current ratio of 3.35. STMicroelectronics (NYSE:STM Get Free Report) last released its quarterly earnings data on Thursday, July 25th. The semiconductor producer reported $0.38 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.34 by $0.04. STMicroelectronics had a net margin of 19.68% and a return on equity of 18.18%. The firm had revenue of $3.23 billion for the quarter, compared to the consensus estimate of $3.55 billion. During the same period last year, the firm earned $1.06 earnings per share. The companys quarterly revenue was down 25.3% on a year-over-year basis. As a group, equities analysts predict that STMicroelectronics will post 1.66 EPS for the current year. STMicroelectronics Announces Dividend The business also recently disclosed a quarterly dividend, which will be paid on Friday, December 27th. Stockholders of record on Tuesday, December 17th will be paid a dividend of $0.09 per share. The ex-dividend date of this dividend is Tuesday, December 17th. This represents a $0.36 annualized dividend and a dividend yield of 1.26%. STMicroelectronicss payout ratio is currently 7.95%. Institutional Investors Weigh In On STMicroelectronics Several institutional investors and hedge funds have recently made changes to their positions in the stock. Sanctuary Advisors LLC acquired a new stake in shares of STMicroelectronics during the second quarter worth $2,280,000. Creative Planning lifted its stake in shares of STMicroelectronics by 36.6% during the second quarter. Creative Planning now owns 59,604 shares of the semiconductor producers stock worth $2,341,000 after buying an additional 15,985 shares during the period. Scientech Research LLC acquired a new stake in shares of STMicroelectronics during the second quarter worth $381,000. Lighthouse Investment Partners LLC lifted its stake in shares of STMicroelectronics by 25.0% during the second quarter. Lighthouse Investment Partners LLC now owns 50,000 shares of the semiconductor producers stock worth $1,975,000 after buying an additional 10,000 shares during the period. Finally, Bank of Montreal Can raised its holdings in shares of STMicroelectronics by 50.3% during the second quarter. Bank of Montreal Can now owns 47,425 shares of the semiconductor producers stock worth $1,903,000 after purchasing an additional 15,870 shares during the last quarter. 5.05% of the stock is currently owned by institutional investors and hedge funds. About STMicroelectronics (Get Free Report) STMicroelectronics N.V., together with its subsidiaries, designs, develops, manufactures, and sells semiconductor products in Europe, the Middle East, Africa, the Americas, and the Asia Pacific. The company operates through Automotive and Discrete Group; Analog, MEMS and Sensors Group; and Microcontrollers and Digital ICs Group segments. See Also Receive News & Ratings for STMicroelectronics Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for STMicroelectronics and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com started coverage on shares of Phoenix New Media (NYSE:FENG Free Report) in a report published on Wednesday morning. The brokerage issued a hold rating on the information services providers stock. Phoenix New Media Trading Up 1.7 % NYSE:FENG opened at $2.99 on Wednesday. The company has a debt-to-equity ratio of 0.02, a current ratio of 2.86 and a quick ratio of 2.86. The firm has a 50-day moving average of $3.25 and a 200-day moving average of $2.48. The company has a market cap of $36.15 million, a PE ratio of -3.69 and a beta of 0.75. Phoenix New Media has a one year low of $1.10 and a one year high of $4.15. Get Phoenix New Media alerts: Phoenix New Media (NYSE:FENG Get Free Report) last posted its earnings results on Tuesday, August 13th. The information services provider reported ($0.06) EPS for the quarter. The business had revenue of $23.16 million for the quarter. Phoenix New Media had a negative return on equity of 3.95% and a negative net margin of 6.60%. About Phoenix New Media Phoenix New Media Limited provides content on an integrated Internet platform in the People's Republic of China. The company operates through two segments, Net Advertising Services and Paid Services. It offers content and services through PC channel, mobile channel, and telecom operators, as well as transmits content to TV viewers, primarily through Phoenix TV. Featured Articles Receive News & Ratings for Phoenix New Media Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Phoenix New Media and related companies with MarketBeat.com's FREE daily email newsletter. SYM FINANCIAL Corp acquired a new position in Huntington Ingalls Industries, Inc. (NYSE:HII Free Report) in the 2nd quarter, according to its most recent 13F filing with the Securities and Exchange Commission. The institutional investor acquired 871 shares of the aerospace companys stock, valued at approximately $215,000. Several other institutional investors and hedge funds have also recently modified their holdings of HII. Thurston Springer Miller Herd & Titak Inc. bought a new position in shares of Huntington Ingalls Industries in the 2nd quarter worth approximately $26,000. American National Bank bought a new position in shares of Huntington Ingalls Industries in the 1st quarter worth about $30,000. Riverview Trust Co purchased a new position in Huntington Ingalls Industries during the 1st quarter valued at about $30,000. Fidelis Capital Partners LLC bought a new stake in Huntington Ingalls Industries during the first quarter worth about $31,000. Finally, Larson Financial Group LLC grew its holdings in Huntington Ingalls Industries by 158.5% in the first quarter. Larson Financial Group LLC now owns 106 shares of the aerospace companys stock worth $31,000 after purchasing an additional 65 shares during the period. 90.46% of the stock is owned by hedge funds and other institutional investors. Get Huntington Ingalls Industries alerts: Huntington Ingalls Industries Trading Up 1.3 % NYSE:HII opened at $261.55 on Friday. The stock has a market cap of $10.26 billion, a price-to-earnings ratio of 14.74, a PEG ratio of 2.24 and a beta of 0.52. The company has a quick ratio of 0.76, a current ratio of 0.82 and a debt-to-equity ratio of 0.41. The companys 50 day moving average price is $266.56 and its 200-day moving average price is $266.84. Huntington Ingalls Industries, Inc. has a 52 week low of $199.11 and a 52 week high of $299.50. Huntington Ingalls Industries Announces Dividend Huntington Ingalls Industries ( NYSE:HII Get Free Report ) last posted its earnings results on Thursday, August 1st. The aerospace company reported $4.38 EPS for the quarter, topping analysts consensus estimates of $3.61 by $0.77. Huntington Ingalls Industries had a return on equity of 18.55% and a net margin of 6.35%. The business had revenue of $2.98 billion during the quarter, compared to analyst estimates of $2.84 billion. During the same quarter last year, the company earned $3.27 EPS. The companys quarterly revenue was up 6.8% compared to the same quarter last year. On average, equities research analysts forecast that Huntington Ingalls Industries, Inc. will post 16.57 earnings per share for the current fiscal year. The business also recently announced a quarterly dividend, which was paid on Friday, September 13th. Shareholders of record on Friday, August 30th were paid a dividend of $1.30 per share. This represents a $5.20 annualized dividend and a yield of 1.99%. The ex-dividend date of this dividend was Friday, August 30th. Huntington Ingalls Industriess dividend payout ratio is currently 29.31%. Wall Street Analysts Forecast Growth Several equities analysts have recently issued reports on the stock. JPMorgan Chase & Co. lowered shares of Huntington Ingalls Industries from an overweight rating to a neutral rating and lifted their target price for the company from $280.00 to $285.00 in a report on Monday, September 9th. Citigroup initiated coverage on Huntington Ingalls Industries in a research note on Monday, May 20th. They set a buy rating and a $310.00 price objective for the company. Three equities research analysts have rated the stock with a hold rating and two have assigned a buy rating to the stock. According to MarketBeat, the stock has a consensus rating of Hold and a consensus target price of $275.50. View Our Latest Stock Report on HII Huntington Ingalls Industries Profile (Free Report) Huntington Ingalls Industries, Inc designs, builds, overhauls, and repairs military ships in the United States. It operates through three segments: Ingalls, Newport News, and Mission Technologies. The company is involved in the design and construction of non-nuclear ships comprising amphibious assault ships; expeditionary warfare ships; surface combatants; and national security cutters for the U.S. Read More Want to see what other hedge funds are holding HII? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Huntington Ingalls Industries, Inc. (NYSE:HII Free Report). Receive News & Ratings for Huntington Ingalls Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Huntington Ingalls Industries and related companies with MarketBeat.com's FREE daily email newsletter. AirBoss of America (TSE:BOS Free Report) had its price target boosted by TD Securities from C$7.00 to C$8.00 in a research report sent to investors on Wednesday morning, BayStreet.CA reports. They currently have a buy rating on the stock. BOS has been the subject of several other reports. National Bankshares reduced their target price on AirBoss of America from C$6.25 to C$5.75 and set a sector perform rating for the company in a report on Tuesday, July 16th. CIBC reduced their price objective on AirBoss of America from C$5.75 to C$4.00 in a research note on Thursday, August 15th. One research analyst has rated the stock with a sell rating, two have issued a hold rating and one has issued a buy rating to the companys stock. According to MarketBeat, AirBoss of America presently has an average rating of Hold and an average price target of C$5.75. Get AirBoss of America alerts: View Our Latest Analysis on AirBoss of America AirBoss of America Trading Up 2.7 % Shares of TSE BOS opened at C$5.52 on Wednesday. AirBoss of America has a twelve month low of C$3.65 and a twelve month high of C$6.31. The company has a current ratio of 2.17, a quick ratio of 1.07 and a debt-to-equity ratio of 92.36. The stock has a fifty day simple moving average of C$4.75 and a 200-day simple moving average of C$5.36. The stock has a market capitalization of C$149.62 million, a PE ratio of -2.00, a PEG ratio of -550.53 and a beta of 2.03. AirBoss of America (TSE:BOS Get Free Report) last released its earnings results on Tuesday, August 13th. The company reported C($0.14) EPS for the quarter, missing the consensus estimate of C($0.06) by C($0.08). AirBoss of America had a negative return on equity of 33.87% and a negative net margin of 13.99%. The company had revenue of C$130.48 million for the quarter, compared to analyst estimates of C$142.42 million. On average, equities analysts forecast that AirBoss of America will post 0.1014931 earnings per share for the current year. AirBoss of America Dividend Announcement The business also recently announced a quarterly dividend, which will be paid on Tuesday, October 15th. Shareholders of record on Monday, September 30th will be issued a dividend of $0.035 per share. This represents a $0.14 dividend on an annualized basis and a yield of 2.54%. The ex-dividend date of this dividend is Monday, September 30th. AirBoss of Americas dividend payout ratio (DPR) is presently -5.07%. AirBoss of America Company Profile (Get Free Report) AirBoss of America Corp., together with its subsidiaries, manufactures and sells rubber-based products in Canada, the United States, and internationally. It operates through AirBoss Rubber Solutions and AirBoss Manufactured Products segments. The AirBoss Rubber Solutions segment involves in the manufacture and distribution of rubber compounds and rubber compounding related chemicals for various application in automotive, heavy industry, rollers, conveyor belting, defense, construction and infrastructure, mining, transportation, and oil and gas industries. Further Reading Receive News & Ratings for AirBoss of America Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for AirBoss of America and related companies with MarketBeat.com's FREE daily email newsletter. Telsey Advisory Group reissued their outperform rating on shares of Abercrombie & Fitch (NYSE:ANF Free Report) in a research note issued to investors on Wednesday morning, Benzinga reports. They currently have a $190.00 price target on the apparel retailers stock. Several other analysts have also issued reports on ANF. JPMorgan Chase & Co. upgraded Abercrombie & Fitch from a neutral rating to an overweight rating and increased their price objective for the stock from $167.00 to $194.00 in a report on Monday, July 22nd. Citigroup upgraded Abercrombie & Fitch from a neutral rating to a buy rating and set a $190.00 price objective for the company in a report on Friday, August 30th. UBS Group cut their price objective on Abercrombie & Fitch from $193.00 to $165.00 and set a neutral rating for the company in a report on Thursday, August 29th. Argus lowered Abercrombie & Fitch from a buy rating to a hold rating in a report on Thursday, July 11th. Finally, Morgan Stanley cut their price objective on Abercrombie & Fitch from $155.00 to $147.00 and set an equal weight rating for the company in a report on Thursday, August 29th. Three equities research analysts have rated the stock with a hold rating and five have assigned a buy rating to the stock. According to MarketBeat.com, the stock presently has a consensus rating of Moderate Buy and an average target price of $176.57. Get Abercrombie & Fitch alerts: Check Out Our Latest Report on Abercrombie & Fitch Abercrombie & Fitch Stock Up 3.3 % Insiders Place Their Bets Shares of NYSE:ANF opened at $142.03 on Wednesday. The companys fifty day moving average is $152.73 and its two-hundred day moving average is $146.35. Abercrombie & Fitch has a 12-month low of $49.24 and a 12-month high of $196.99. The company has a market cap of $7.25 billion, a P/E ratio of 17.67 and a beta of 1.50. In related news, Director Helen Mccluskey sold 3,500 shares of the businesss stock in a transaction dated Thursday, September 5th. The shares were sold at an average price of $139.38, for a total transaction of $487,830.00. Following the transaction, the director now directly owns 37,470 shares in the company, valued at $5,222,568.60. The transaction was disclosed in a filing with the SEC, which can be accessed through the SEC website. In other Abercrombie & Fitch news, EVP Samir Desai sold 19,041 shares of the stock in a transaction on Friday, September 6th. The stock was sold at an average price of $131.36, for a total value of $2,501,225.76. Following the completion of the transaction, the executive vice president now owns 27,985 shares in the company, valued at $3,676,109.60. The transaction was disclosed in a filing with the SEC, which is available through the SEC website. Also, Director Helen Mccluskey sold 3,500 shares of the stock in a transaction on Thursday, September 5th. The shares were sold at an average price of $139.38, for a total value of $487,830.00. Following the sale, the director now directly owns 37,470 shares in the company, valued at $5,222,568.60. The disclosure for this sale can be found here. In the last ninety days, insiders sold 31,541 shares of company stock worth $4,310,256. Company insiders own 3.77% of the companys stock. Institutional Trading of Abercrombie & Fitch A number of large investors have recently added to or reduced their stakes in ANF. Teachers Retirement System of The State of Kentucky increased its position in shares of Abercrombie & Fitch by 9.6% during the 2nd quarter. Teachers Retirement System of The State of Kentucky now owns 29,523 shares of the apparel retailers stock valued at $5,250,000 after purchasing an additional 2,579 shares during the last quarter. B. Riley Wealth Advisors Inc. bought a new position in shares of Abercrombie & Fitch during the 2nd quarter valued at approximately $908,000. Quarry LP increased its position in shares of Abercrombie & Fitch by 156.0% during the 2nd quarter. Quarry LP now owns 279 shares of the apparel retailers stock valued at $50,000 after purchasing an additional 170 shares during the last quarter. Invst LLC bought a new position in shares of Abercrombie & Fitch during the 2nd quarter valued at approximately $523,000. Finally, Northwestern Mutual Wealth Management Co. grew its position in Abercrombie & Fitch by 8,914.3% in the 2nd quarter. Northwestern Mutual Wealth Management Co. now owns 631 shares of the apparel retailers stock valued at $112,000 after acquiring an additional 624 shares during the last quarter. About Abercrombie & Fitch (Get Free Report) Abercrombie & Fitch Co, through its subsidiaries, operates as an omnichannel retailer in the United States, Europe, the Middle East, Asia, the Asia-Pacific, Canada, and internationally. The company offers an assortment of apparel, personal care products, and accessories for men, women, and kids under the Abercrombie & Fitch, abercrombie kids, Hollister, and Gilly Hicks brands. Featured Articles Receive News & Ratings for Abercrombie & Fitch Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Abercrombie & Fitch and related companies with MarketBeat.com's FREE daily email newsletter. Dai ichi Life Insurance Company Ltd lowered its holdings in shares of The Goldman Sachs Group, Inc. (NYSE:GS Free Report) by 4.5% during the second quarter, according to its most recent disclosure with the Securities and Exchange Commission. The institutional investor owned 30,478 shares of the investment management companys stock after selling 1,446 shares during the quarter. Dai ichi Life Insurance Company Ltds holdings in The Goldman Sachs Group were worth $13,786,000 at the end of the most recent reporting period. Several other large investors have also made changes to their positions in GS. First Personal Financial Services purchased a new stake in The Goldman Sachs Group during the 1st quarter worth approximately $25,000. POM Investment Strategies LLC acquired a new position in The Goldman Sachs Group in the 2nd quarter valued at $26,000. Headlands Technologies LLC acquired a new stake in The Goldman Sachs Group during the 2nd quarter worth $27,000. Versant Capital Management Inc grew its holdings in shares of The Goldman Sachs Group by 106.3% during the first quarter. Versant Capital Management Inc now owns 66 shares of the investment management companys stock worth $28,000 after buying an additional 34 shares in the last quarter. Finally, SJS Investment Consulting Inc. raised its position in shares of The Goldman Sachs Group by 103.3% in the second quarter. SJS Investment Consulting Inc. now owns 61 shares of the investment management companys stock valued at $28,000 after buying an additional 31 shares during the last quarter. 71.21% of the stock is owned by institutional investors and hedge funds. Get The Goldman Sachs Group alerts: The Goldman Sachs Group Trading Up 1.0 % NYSE GS opened at $478.99 on Friday. The Goldman Sachs Group, Inc. has a 52 week low of $289.36 and a 52 week high of $517.26. The firm has a 50 day moving average of $490.33 and a two-hundred day moving average of $450.78. The company has a quick ratio of 0.72, a current ratio of 0.72 and a debt-to-equity ratio of 2.20. The stock has a market capitalization of $154.46 billion, a PE ratio of 18.70, a price-to-earnings-growth ratio of 0.73 and a beta of 1.36. The Goldman Sachs Group Increases Dividend The Goldman Sachs Group ( NYSE:GS Get Free Report ) last issued its earnings results on Monday, July 15th. The investment management company reported $8.62 EPS for the quarter, topping analysts consensus estimates of $8.52 by $0.10. The Goldman Sachs Group had a net margin of 9.36% and a return on equity of 10.56%. The firm had revenue of $12.73 billion during the quarter, compared to the consensus estimate of $12.60 billion. As a group, research analysts expect that The Goldman Sachs Group, Inc. will post 35.5 EPS for the current fiscal year. The business also recently declared a quarterly dividend, which will be paid on Friday, September 27th. Shareholders of record on Friday, August 30th will be paid a $3.00 dividend. This is a positive change from The Goldman Sachs Groups previous quarterly dividend of $2.75. This represents a $12.00 annualized dividend and a yield of 2.51%. The ex-dividend date is Friday, August 30th. The Goldman Sachs Groups dividend payout ratio is currently 46.86%. Analyst Ratings Changes Several analysts recently issued reports on GS shares. UBS Group upped their price objective on shares of The Goldman Sachs Group from $490.00 to $535.00 and gave the company a buy rating in a research note on Tuesday, July 9th. Royal Bank of Canada reiterated a sector perform rating and set a $500.00 price objective on shares of The Goldman Sachs Group in a research report on Tuesday, July 30th. Oppenheimer raised their target price on The Goldman Sachs Group from $504.00 to $559.00 and gave the stock an outperform rating in a research note on Tuesday, July 16th. BMO Capital Markets raised their price objective on shares of The Goldman Sachs Group from $360.00 to $373.00 and gave the stock a market perform rating in a research report on Wednesday, July 17th. Finally, Evercore ISI increased their price target on shares of The Goldman Sachs Group from $475.00 to $520.00 and gave the company an outperform rating in a research note on Tuesday, July 16th. Five investment analysts have rated the stock with a hold rating and fourteen have assigned a buy rating to the company. According to MarketBeat, the company presently has a consensus rating of Moderate Buy and a consensus price target of $496.44. Get Our Latest Report on The Goldman Sachs Group Insiders Place Their Bets In related news, Director Thomas K. Montag sold 10,000 shares of The Goldman Sachs Group stock in a transaction on Tuesday, July 16th. The shares were sold at an average price of $505.04, for a total transaction of $5,050,400.00. Following the sale, the director now directly owns 12,450 shares in the company, valued at approximately $6,287,748. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available through this hyperlink. In other news, Director Thomas K. Montag sold 10,000 shares of the stock in a transaction that occurred on Tuesday, July 16th. The stock was sold at an average price of $505.04, for a total value of $5,050,400.00. Following the completion of the sale, the director now directly owns 12,450 shares of the companys stock, valued at $6,287,748. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available through this hyperlink. Also, insider Brian J. Lee sold 3,500 shares of the businesss stock in a transaction dated Tuesday, July 16th. The shares were sold at an average price of $505.99, for a total value of $1,770,965.00. Following the completion of the transaction, the insider now owns 12,095 shares in the company, valued at approximately $6,119,949.05. The disclosure for this sale can be found here. 0.54% of the stock is owned by corporate insiders. About The Goldman Sachs Group (Free Report) The Goldman Sachs Group, Inc, a financial institution, provides a range of financial services for corporations, financial institutions, governments, and individuals worldwide. It operates through Global Banking & Markets, Asset & Wealth Management, and Platform Solutions segments. The Global Banking & Markets segment provides financial advisory services, including strategic advisory assignments related to mergers and acquisitions, divestitures, corporate defense activities, restructurings, and spin-offs; and relationship lending, and acquisition financing, as well as secured lending, through structured credit and asset-backed lending and involved in financing under securities to resale agreements. See Also Receive News & Ratings for The Goldman Sachs Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for The Goldman Sachs Group and related companies with MarketBeat.com's FREE daily email newsletter. Third Point Investors Limited (LON:TPOU Get Free Report)s share price rose 0.7% during mid-day trading on Friday . The stock traded as high as GBX 22.10 ($0.29) and last traded at GBX 22 ($0.29). Approximately 24,334 shares were traded during trading, a decline of 8% from the average daily volume of 26,538 shares. The stock had previously closed at GBX 21.85 ($0.29). Third Point Investors Stock Up 0.7 % The stocks 50-day moving average price is GBX 21.76 and its 200-day moving average price is GBX 22.02. The company has a current ratio of 13.38, a quick ratio of 20.13 and a debt-to-equity ratio of 22.39. The stock has a market cap of 5.32 million, a price-to-earnings ratio of 41.51 and a beta of 0.55. Third Point Investors Company Profile (Get Free Report) Third Point Offshore Investors Limited is a close ended feeder fund launched and managed by Third Point LLC. The fund invests its entire corpus in Third Point Offshore Fund Ltd. It seeks to benchmark the performance of its portfolio against the S&P 500 TR USD. The fund was formed on July 20, 2007 and is domiciled in Guernsey, Channel Islands. Featured Stories Receive News & Ratings for Third Point Investors Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Third Point Investors and related companies with MarketBeat.com's FREE daily email newsletter. Thoroughbred Financial Services LLC lifted its stake in Salesforce, Inc. (NYSE:CRM Free Report) by 32.4% during the 2nd quarter, according to its most recent disclosure with the Securities & Exchange Commission. The firm owned 24,432 shares of the CRM providers stock after buying an additional 5,981 shares during the quarter. Thoroughbred Financial Services LLCs holdings in Salesforce were worth $6,281,000 as of its most recent filing with the Securities & Exchange Commission. Several other institutional investors have also recently made changes to their positions in the stock. Spartan Planning & Wealth Management raised its stake in Salesforce by 66.3% during the 4th quarter. Spartan Planning & Wealth Management now owns 1,567 shares of the CRM providers stock valued at $412,000 after purchasing an additional 625 shares during the period. Parnassus Investments LLC grew its holdings in Salesforce by 0.3% during the 4th quarter. Parnassus Investments LLC now owns 5,951,415 shares of the CRM providers stock valued at $1,566,055,000 after buying an additional 18,397 shares in the last quarter. TD Asset Management Inc grew its holdings in Salesforce by 32.6% during the 4th quarter. TD Asset Management Inc now owns 789,525 shares of the CRM providers stock valued at $207,756,000 after buying an additional 194,224 shares in the last quarter. Chicago Partners Investment Group LLC boosted its holdings in shares of Salesforce by 1.3% in the 4th quarter. Chicago Partners Investment Group LLC now owns 7,160 shares of the CRM providers stock worth $1,983,000 after purchasing an additional 95 shares during the period. Finally, M&G Plc purchased a new position in shares of Salesforce in the 1st quarter worth approximately $2,178,000. Institutional investors own 80.43% of the companys stock. Get Salesforce alerts: Insider Buying and Selling In related news, CAO Sundeep G. Reddy sold 413 shares of Salesforce stock in a transaction that occurred on Tuesday, July 23rd. The stock was sold at an average price of $255.78, for a total transaction of $105,637.14. Following the transaction, the chief accounting officer now owns 6,431 shares in the company, valued at $1,644,921.18. The sale was disclosed in a document filed with the SEC, which is accessible through this link. In other Salesforce news, CAO Sundeep G. Reddy sold 413 shares of the firms stock in a transaction on Tuesday, July 23rd. The stock was sold at an average price of $255.78, for a total transaction of $105,637.14. Following the completion of the sale, the chief accounting officer now owns 6,431 shares of the companys stock, valued at $1,644,921.18. The transaction was disclosed in a legal filing with the SEC, which is available through this hyperlink. Also, insider Parker Harris sold 4,200 shares of the firms stock in a transaction on Tuesday, August 20th. The stock was sold at an average price of $262.97, for a total value of $1,104,474.00. Following the sale, the insider now directly owns 117,581 shares of the companys stock, valued at approximately $30,920,275.57. The disclosure for this sale can be found here. Over the last 90 days, insiders have sold 62,376 shares of company stock worth $15,878,005. 3.20% of the stock is owned by corporate insiders. Salesforce Stock Performance Shares of CRM stock opened at $254.57 on Friday. The company has a market capitalization of $246.68 billion, a P/E ratio of 45.79, a P/E/G ratio of 2.26 and a beta of 1.29. The businesss 50 day moving average is $253.56 and its two-hundred day moving average is $268.35. The company has a current ratio of 1.04, a quick ratio of 1.04 and a debt-to-equity ratio of 0.15. Salesforce, Inc. has a 12-month low of $193.68 and a 12-month high of $318.71. Salesforce (NYSE:CRM Get Free Report) last released its earnings results on Wednesday, August 28th. The CRM provider reported $2.56 earnings per share for the quarter, beating the consensus estimate of $2.36 by $0.20. The business had revenue of $9.33 billion for the quarter, compared to the consensus estimate of $9.22 billion. Salesforce had a return on equity of 12.01% and a net margin of 15.44%. The businesss quarterly revenue was up 8.5% compared to the same quarter last year. During the same quarter last year, the business posted $1.63 earnings per share. Analysts expect that Salesforce, Inc. will post 7.55 EPS for the current fiscal year. Salesforce Dividend Announcement The business also recently disclosed a quarterly dividend, which will be paid on Tuesday, October 8th. Investors of record on Wednesday, September 18th will be given a dividend of $0.40 per share. The ex-dividend date is Wednesday, September 18th. This represents a $1.60 dividend on an annualized basis and a yield of 0.63%. Salesforces payout ratio is 28.78%. Analyst Upgrades and Downgrades A number of research firms recently issued reports on CRM. Needham & Company LLC restated a buy rating and set a $345.00 price objective on shares of Salesforce in a report on Friday, September 6th. Loop Capital lifted their target price on Salesforce from $240.00 to $270.00 and gave the company a hold rating in a research report on Thursday, August 29th. Erste Group Bank restated a hold rating on shares of Salesforce in a research note on Wednesday. UBS Group upped their price objective on Salesforce from $250.00 to $275.00 and gave the stock a neutral rating in a research note on Thursday, August 29th. Finally, Mizuho decreased their price target on Salesforce from $345.00 to $300.00 and set a buy rating for the company in a research note on Thursday, May 30th. Nine investment analysts have rated the stock with a hold rating, twenty-seven have given a buy rating and three have assigned a strong buy rating to the stock. According to data from MarketBeat.com, the stock has an average rating of Moderate Buy and an average price target of $304.41. Check Out Our Latest Stock Analysis on Salesforce Salesforce Company Profile (Free Report) Salesforce, Inc provides Customer Relationship Management (CRM) technology that brings companies and customers together worldwide. The company's service includes sales to store data, monitor leads and progress, forecast opportunities, gain insights through analytics and artificial intelligence, and deliver quotes, contracts, and invoices; and service that enables companies to deliver trusted and highly personalized customer support at scale. Read More Want to see what other hedge funds are holding CRM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Salesforce, Inc. (NYSE:CRM Free Report). Receive News & Ratings for Salesforce Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Salesforce and related companies with MarketBeat.com's FREE daily email newsletter. Vest Financial LLC decreased its holdings in shares of Uber Technologies, Inc. (NYSE:UBER Free Report) by 4.1% during the 2nd quarter, according to its most recent disclosure with the Securities & Exchange Commission. The fund owned 3,651 shares of the ride-sharing companys stock after selling 155 shares during the quarter. Vest Financial LLCs holdings in Uber Technologies were worth $265,000 as of its most recent SEC filing. A number of other hedge funds and other institutional investors have also made changes to their positions in the business. Vanguard Capital Wealth Advisors boosted its position in shares of Uber Technologies by 16.1% in the second quarter. Vanguard Capital Wealth Advisors now owns 11,200 shares of the ride-sharing companys stock worth $814,000 after acquiring an additional 1,550 shares during the last quarter. Hazlett Burt & Watson Inc. raised its stake in Uber Technologies by 27.8% during the second quarter. Hazlett Burt & Watson Inc. now owns 2,851 shares of the ride-sharing companys stock worth $208,000 after purchasing an additional 620 shares during the period. Trifecta Capital Advisors LLC boosted its holdings in shares of Uber Technologies by 4.2% in the 2nd quarter. Trifecta Capital Advisors LLC now owns 79,520 shares of the ride-sharing companys stock valued at $5,780,000 after purchasing an additional 3,190 shares during the last quarter. LPL Financial LLC grew its stake in shares of Uber Technologies by 14.8% during the 2nd quarter. LPL Financial LLC now owns 1,564,380 shares of the ride-sharing companys stock valued at $113,699,000 after buying an additional 201,331 shares during the period. Finally, HHM Wealth Advisors LLC increased its holdings in shares of Uber Technologies by 7.9% during the 2nd quarter. HHM Wealth Advisors LLC now owns 22,616 shares of the ride-sharing companys stock worth $1,644,000 after buying an additional 1,661 shares during the last quarter. Hedge funds and other institutional investors own 80.24% of the companys stock. Get Uber Technologies alerts: Insiders Place Their Bets In other news, CEO Dara Khosrowshahi sold 500,000 shares of Uber Technologies stock in a transaction on Monday, July 15th. The stock was sold at an average price of $71.91, for a total transaction of $35,955,000.00. Following the transaction, the chief executive officer now owns 1,266,114 shares in the company, valued at $91,046,257.74. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this link. Corporate insiders own 3.84% of the companys stock. Uber Technologies Trading Up 6.4 % Shares of NYSE:UBER opened at $72.48 on Friday. Uber Technologies, Inc. has a twelve month low of $40.09 and a twelve month high of $82.14. The company has a current ratio of 1.21, a quick ratio of 1.21 and a debt-to-equity ratio of 0.72. The firm has a market cap of $152.28 billion, a P/E ratio of 116.90, a P/E/G ratio of 1.25 and a beta of 1.33. The company has a 50 day moving average of $69.41 and a 200-day moving average of $70.99. Uber Technologies (NYSE:UBER Get Free Report) last issued its quarterly earnings results on Tuesday, August 6th. The ride-sharing company reported $0.47 EPS for the quarter, topping the consensus estimate of $0.31 by $0.16. The firm had revenue of $10.70 billion for the quarter, compared to analysts expectations of $10.57 billion. Uber Technologies had a net margin of 5.02% and a return on equity of 17.06%. Uber Technologiess revenue for the quarter was up 15.9% compared to the same quarter last year. During the same period in the previous year, the business earned $0.18 earnings per share. As a group, analysts anticipate that Uber Technologies, Inc. will post 1.06 earnings per share for the current fiscal year. Wall Street Analyst Weigh In A number of analysts have recently issued reports on UBER shares. Wells Fargo & Company reiterated an overweight rating and set a $97.00 target price on shares of Uber Technologies in a report on Friday. Piper Sandler raised their target price on shares of Uber Technologies from $86.00 to $88.00 and gave the company an overweight rating in a research note on Tuesday, June 25th. JMP Securities upped their price target on shares of Uber Technologies from $75.00 to $80.00 and gave the stock a market outperform rating in a research report on Wednesday, August 7th. Wolfe Research initiated coverage on Uber Technologies in a report on Tuesday, July 16th. They issued an outperform rating and a $90.00 price target for the company. Finally, Cantor Fitzgerald began coverage on Uber Technologies in a research note on Thursday, September 5th. They set an overweight rating and a $90.00 price objective on the stock. Four research analysts have rated the stock with a hold rating and thirty-one have assigned a buy rating to the stock. According to data from MarketBeat.com, Uber Technologies presently has a consensus rating of Moderate Buy and an average price target of $87.60. Read Our Latest Stock Report on UBER Uber Technologies Company Profile (Free Report) Uber Technologies, Inc develops and operates proprietary technology applications in the United States, Canada, Latin America, Europe, the Middle East, Africa, and Asia excluding China and Southeast Asia. It operates through three segments: Mobility, Delivery, and Freight. The Mobility segment connects consumers with a range of transportation modalities, such as ridesharing, carsharing, micromobility, rentals, public transit, taxis, and other modalities; and offers riders in a variety of vehicle types, as well as financial partnerships products and advertising services. Featured Stories Receive News & Ratings for Uber Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Uber Technologies and related companies with MarketBeat.com's FREE daily email newsletter. Dai ichi Life Insurance Company Ltd increased its holdings in Union Pacific Co. (NYSE:UNP Free Report) by 44.3% in the 2nd quarter, according to its most recent 13F filing with the SEC. The firm owned 39,378 shares of the railroad operators stock after buying an additional 12,091 shares during the period. Dai ichi Life Insurance Company Ltds holdings in Union Pacific were worth $8,910,000 as of its most recent filing with the SEC. A number of other institutional investors have also modified their holdings of UNP. 1ST Source Bank raised its position in shares of Union Pacific by 6.7% during the 2nd quarter. 1ST Source Bank now owns 8,017 shares of the railroad operators stock worth $1,814,000 after buying an additional 504 shares in the last quarter. SYM FINANCIAL Corp bought a new stake in Union Pacific during the second quarter worth approximately $218,000. Federated Hermes Inc. lifted its position in Union Pacific by 3,524.7% in the second quarter. Federated Hermes Inc. now owns 112,292 shares of the railroad operators stock worth $25,407,000 after purchasing an additional 109,194 shares during the period. GHP Investment Advisors Inc. boosted its stake in Union Pacific by 4.6% during the 2nd quarter. GHP Investment Advisors Inc. now owns 1,206 shares of the railroad operators stock valued at $273,000 after purchasing an additional 53 shares in the last quarter. Finally, Meeder Advisory Services Inc. increased its position in shares of Union Pacific by 31.2% during the 2nd quarter. Meeder Advisory Services Inc. now owns 10,968 shares of the railroad operators stock valued at $2,482,000 after purchasing an additional 2,609 shares during the period. Institutional investors own 80.38% of the companys stock. Get Union Pacific alerts: Wall Street Analyst Weigh In A number of analysts recently commented on UNP shares. Royal Bank of Canada reiterated an outperform rating and issued a $275.00 target price on shares of Union Pacific in a report on Thursday. Stifel Nicolaus reduced their price objective on Union Pacific from $267.00 to $265.00 and set a buy rating for the company in a research note on Wednesday, July 17th. Sanford C. Bernstein cut their target price on Union Pacific from $290.00 to $273.00 and set an outperform rating on the stock in a research report on Monday, July 8th. Benchmark restated a buy rating and set a $266.00 price objective on shares of Union Pacific in a report on Monday, July 29th. Finally, Barclays decreased their target price on shares of Union Pacific from $290.00 to $280.00 and set an overweight rating for the company in a report on Friday, July 26th. Eight investment analysts have rated the stock with a hold rating, twelve have given a buy rating and one has given a strong buy rating to the companys stock. Based on data from MarketBeat, Union Pacific has an average rating of Moderate Buy and an average price target of $258.79. Union Pacific Trading Up 0.1 % UNP opened at $249.12 on Friday. Union Pacific Co. has a 12 month low of $199.33 and a 12 month high of $258.66. The company has a current ratio of 1.05, a quick ratio of 0.86 and a debt-to-equity ratio of 1.89. The firms fifty day simple moving average is $243.00 and its 200 day simple moving average is $239.29. The stock has a market capitalization of $151.76 billion, a P/E ratio of 23.77, a P/E/G ratio of 2.19 and a beta of 1.05. Union Pacific (NYSE:UNP Get Free Report) last released its quarterly earnings data on Thursday, July 25th. The railroad operator reported $2.74 EPS for the quarter, beating the consensus estimate of $2.71 by $0.03. The company had revenue of $6.01 billion during the quarter, compared to the consensus estimate of $6.05 billion. Union Pacific had a return on equity of 42.62% and a net margin of 26.90%. The firms revenue for the quarter was up .7% compared to the same quarter last year. During the same quarter in the prior year, the firm posted $2.57 EPS. As a group, research analysts anticipate that Union Pacific Co. will post 11.11 EPS for the current fiscal year. Union Pacific Increases Dividend The company also recently declared a quarterly dividend, which will be paid on Monday, September 30th. Investors of record on Friday, August 30th will be paid a $1.34 dividend. The ex-dividend date is Friday, August 30th. This is an increase from Union Pacifics previous quarterly dividend of $1.30. This represents a $5.36 annualized dividend and a yield of 2.15%. Union Pacifics payout ratio is 51.15%. Insider Buying and Selling at Union Pacific In related news, President Elizabeth F. Whited sold 3,552 shares of the companys stock in a transaction on Tuesday, July 30th. The stock was sold at an average price of $246.59, for a total transaction of $875,887.68. Following the completion of the sale, the president now directly owns 64,945 shares in the company, valued at approximately $16,014,787.55. The sale was disclosed in a filing with the SEC, which is available through this link. Insiders own 0.28% of the companys stock. About Union Pacific (Free Report) Union Pacific Corporation, through its subsidiary, Union Pacific Railroad Company, operates in the railroad business in the United States. The company offers transportation services for grain and grain products, fertilizers, food and refrigerated products, and coal and renewables to grain processors, animal feeders, ethanol producers, renewable biofuel producers, and other agricultural users; and construction products, industrial chemicals, plastics, forest products, specialized products, metals and ores, petroleum, liquid petroleum gases, soda ash, and sand, as well as finished automobiles, automotive parts, and merchandise in intermodal containers. Read More Receive News & Ratings for Union Pacific Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Union Pacific and related companies with MarketBeat.com's FREE daily email newsletter. Valley Wealth Managers Inc. bought a new stake in shares of Lennar Co. (NYSE:LEN Free Report) in the second quarter, according to the company in its most recent Form 13F filing with the Securities and Exchange Commission (SEC). The institutional investor bought 250 shares of the construction companys stock, valued at approximately $37,000. A number of other hedge funds and other institutional investors have also modified their holdings of LEN. Nordea Investment Management AB lifted its holdings in Lennar by 3.6% during the 1st quarter. Nordea Investment Management AB now owns 1,760,729 shares of the construction companys stock worth $303,585,000 after buying an additional 60,713 shares in the last quarter. Bamco Inc. NY boosted its holdings in shares of Lennar by 3.8% in the first quarter. Bamco Inc. NY now owns 648,867 shares of the construction companys stock valued at $111,592,000 after acquiring an additional 23,483 shares during the period. TCW Group Inc. increased its holdings in shares of Lennar by 15.9% in the 2nd quarter. TCW Group Inc. now owns 610,549 shares of the construction companys stock valued at $91,503,000 after acquiring an additional 83,896 shares during the period. Jacobs Levy Equity Management Inc. raised its position in Lennar by 157.5% in the 1st quarter. Jacobs Levy Equity Management Inc. now owns 575,003 shares of the construction companys stock valued at $98,889,000 after purchasing an additional 351,698 shares during the last quarter. Finally, Zurich Insurance Group Ltd FI acquired a new stake in shares of Lennar during the first quarter worth $61,807,000. 81.10% of the stock is owned by institutional investors and hedge funds. Get Lennar alerts: Lennar Trading Up 2.5 % NYSE:LEN opened at $185.91 on Friday. The company has a debt-to-equity ratio of 0.08, a current ratio of 4.93 and a quick ratio of 0.91. The business has a 50 day simple moving average of $172.66 and a 200 day simple moving average of $162.90. The firm has a market capitalization of $51.05 billion, a P/E ratio of 12.64, a P/E/G ratio of 1.61 and a beta of 1.61. Lennar Co. has a 12-month low of $102.90 and a 12-month high of $186.60. Lennar Announces Dividend Lennar ( NYSE:LEN Get Free Report ) last posted its quarterly earnings data on Monday, June 17th. The construction company reported $3.38 EPS for the quarter, beating analysts consensus estimates of $3.20 by $0.18. Lennar had a net margin of 11.58% and a return on equity of 15.93%. The business had revenue of $8.77 billion for the quarter, compared to analyst estimates of $8.57 billion. Equities research analysts predict that Lennar Co. will post 14.16 EPS for the current year. The business also recently announced a quarterly dividend, which was paid on Friday, July 19th. Investors of record on Friday, July 5th were issued a $0.50 dividend. This represents a $2.00 dividend on an annualized basis and a dividend yield of 1.08%. The ex-dividend date of this dividend was Friday, July 5th. Lennars payout ratio is 13.60%. Wall Street Analyst Weigh In A number of equities analysts have commented on LEN shares. The Goldman Sachs Group downgraded shares of Lennar from a buy rating to a neutral rating and cut their price objective for the stock from $180.00 to $174.00 in a research report on Monday, July 22nd. BTIG Research cut their price objective on Lennar from $177.00 to $175.00 and set a buy rating for the company in a research report on Thursday, June 20th. Argus increased their price target on Lennar from $175.00 to $180.00 and gave the stock a buy rating in a research note on Thursday, June 27th. Wells Fargo & Company upped their price objective on Lennar from $195.00 to $205.00 and gave the stock an overweight rating in a report on Monday, September 9th. Finally, JMP Securities reissued a market outperform rating and issued a $170.00 price objective on shares of Lennar in a research report on Thursday, June 20th. Two equities research analysts have rated the stock with a sell rating, eight have given a hold rating and eight have assigned a buy rating to the stock. According to data from MarketBeat, the stock has an average rating of Hold and an average target price of $172.69. Get Our Latest Research Report on Lennar Lennar Profile (Free Report) Lennar Corporation, together with its subsidiaries, operates as a homebuilder primarily under the Lennar brand in the United States. It operates through Homebuilding East, Homebuilding Central, Homebuilding Texas, Homebuilding West, Financial Services, Multifamily, and Lennar Other segments. The company's homebuilding operations include the construction and sale of single-family attached and detached homes, as well as the purchase, development, and sale of residential land; and development, construction, and management of multifamily rental properties. Read More Receive News & Ratings for Lennar Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Lennar and related companies with MarketBeat.com's FREE daily email newsletter. Westinghouse Air Brake Technologies Co. (NYSE:WAB Get Free Report)s share price crossed above its 200-day moving average during trading on Thursday . The stock has a 200-day moving average of $157.27 and traded as high as $167.82. Westinghouse Air Brake Technologies shares last traded at $167.73, with a volume of 847,878 shares. Analysts Set New Price Targets WAB has been the subject of several recent analyst reports. Bank of America boosted their target price on Westinghouse Air Brake Technologies from $183.00 to $184.00 and gave the stock a buy rating in a research report on Monday, July 22nd. The Goldman Sachs Group boosted their target price on Westinghouse Air Brake Technologies from $160.00 to $181.00 and gave the stock a neutral rating in a research report on Tuesday, June 4th. Three investment analysts have rated the stock with a hold rating and eight have given a buy rating to the companys stock. Based on data from MarketBeat, the stock has an average rating of Moderate Buy and a consensus target price of $163.90. Get Westinghouse Air Brake Technologies alerts: Check Out Our Latest Research Report on WAB Westinghouse Air Brake Technologies Price Performance The firm has a fifty day moving average price of $161.62 and a two-hundred day moving average price of $157.49. The stock has a market capitalization of $29.60 billion, a P/E ratio of 33.00, a PEG ratio of 1.39 and a beta of 1.27. The company has a debt-to-equity ratio of 0.33, a quick ratio of 0.73 and a current ratio of 1.38. Westinghouse Air Brake Technologies (NYSE:WAB Get Free Report) last announced its earnings results on Wednesday, July 24th. The transportation company reported $1.96 EPS for the quarter, topping analysts consensus estimates of $1.88 by $0.08. Westinghouse Air Brake Technologies had a return on equity of 12.05% and a net margin of 9.94%. The company had revenue of $2.64 billion for the quarter, compared to analysts expectations of $2.64 billion. During the same period last year, the firm earned $1.41 EPS. Westinghouse Air Brake Technologiess revenue for the quarter was up 9.8% compared to the same quarter last year. As a group, analysts expect that Westinghouse Air Brake Technologies Co. will post 7.46 EPS for the current fiscal year. Westinghouse Air Brake Technologies Announces Dividend The firm also recently declared a quarterly dividend, which was paid on Wednesday, August 28th. Shareholders of record on Wednesday, August 14th were paid a $0.20 dividend. The ex-dividend date of this dividend was Wednesday, August 14th. This represents a $0.80 dividend on an annualized basis and a yield of 0.47%. Westinghouse Air Brake Technologiess payout ratio is presently 15.63%. Insiders Place Their Bets In other Westinghouse Air Brake Technologies news, insider Alicia Hammersmith sold 4,169 shares of the stock in a transaction on Monday, August 26th. The stock was sold at an average price of $165.54, for a total value of $690,136.26. Following the completion of the sale, the insider now owns 5,606 shares in the company, valued at approximately $928,017.24. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available at this link. In other news, CTO Eric Gebhardt sold 7,422 shares of the firms stock in a transaction dated Wednesday, August 14th. The stock was sold at an average price of $156.32, for a total transaction of $1,160,207.04. Following the completion of the transaction, the chief technology officer now owns 22,411 shares in the company, valued at $3,503,287.52. The sale was disclosed in a document filed with the SEC, which is available at the SEC website. Also, insider Alicia Hammersmith sold 4,169 shares of Westinghouse Air Brake Technologies stock in a transaction dated Monday, August 26th. The stock was sold at an average price of $165.54, for a total value of $690,136.26. Following the completion of the transaction, the insider now owns 5,606 shares of the companys stock, valued at approximately $928,017.24. The disclosure for this sale can be found here. In the last quarter, insiders sold 17,392 shares of company stock worth $2,754,390. Corporate insiders own 1.10% of the companys stock. Institutional Inflows and Outflows Several institutional investors and hedge funds have recently added to or reduced their stakes in the stock. Massmutual Trust Co. FSB ADV lifted its stake in Westinghouse Air Brake Technologies by 26.2% in the second quarter. Massmutual Trust Co. FSB ADV now owns 568 shares of the transportation companys stock worth $90,000 after purchasing an additional 118 shares during the last quarter. Greenleaf Trust lifted its stake in Westinghouse Air Brake Technologies by 4.3% in the first quarter. Greenleaf Trust now owns 3,201 shares of the transportation companys stock worth $466,000 after purchasing an additional 133 shares during the last quarter. UMB Bank n.a. lifted its stake in Westinghouse Air Brake Technologies by 3.4% in the second quarter. UMB Bank n.a. now owns 4,701 shares of the transportation companys stock worth $743,000 after purchasing an additional 156 shares during the last quarter. First Hawaiian Bank lifted its stake in Westinghouse Air Brake Technologies by 4.4% in the first quarter. First Hawaiian Bank now owns 3,699 shares of the transportation companys stock worth $539,000 after purchasing an additional 157 shares during the last quarter. Finally, Plato Investment Management Ltd lifted its stake in Westinghouse Air Brake Technologies by 2.3% in the second quarter. Plato Investment Management Ltd now owns 11,522 shares of the transportation companys stock worth $1,821,000 after purchasing an additional 261 shares during the last quarter. Hedge funds and other institutional investors own 91.69% of the companys stock. Westinghouse Air Brake Technologies Company Profile (Get Free Report) Westinghouse Air Brake Technologies Corporation, together with its subsidiaries, provides technology-based locomotives, equipment, systems, and services for the freight rail and passenger transit industries worldwide. It offers diesel-electric, battery, and liquid natural gas-powered locomotives; engines, electric motors, and propulsion systems; and marine and mining products. Recommended Stories Receive News & Ratings for Westinghouse Air Brake Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Westinghouse Air Brake Technologies and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Whitecap Resources Inc. (OTCMKTS:SPGYF Get Free Report) passed below its fifty day moving average during trading on Thursday . The stock has a fifty day moving average of $7.44 and traded as low as $7.10. Whitecap Resources shares last traded at $7.31, with a volume of 57,513 shares. Whitecap Resources Stock Performance The company has a fifty day simple moving average of $7.44 and a two-hundred day simple moving average of $7.45. Get Whitecap Resources alerts: Whitecap Resources Cuts Dividend The company also recently declared a dividend, which will be paid on Monday, September 16th. Stockholders of record on Monday, September 2nd will be issued a $0.0444 dividend. The ex-dividend date of this dividend is Friday, August 30th. This represents a dividend yield of 7.1%. Whitecap Resourcess dividend payout ratio (DPR) is 47.33%. Whitecap Resources Company Profile Whitecap Resources Inc, an oil and gas company, focuses on the acquisition, development, and production of oil and gas assets in Western Canada. The company's primary areas of focus of its development programs are in Northern Alberta and British Columbia, Central Alberta, and Saskatchewan. The company was founded in 2009 and is headquartered in Calgary, Canada. Further Reading Receive News & Ratings for Whitecap Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Whitecap Resources and related companies with MarketBeat.com's FREE daily email newsletter. Nearly 20% of Malaysias population classified as obese By Vietnam News Agency Sat, September 14, 2024 | 10:38 am GMT+7 Obesity is one of the most pressing health concerns in Malaysia, with nearly 20% of its population classified as obese. An obese person in Malaysia. Photo courtesy of nst.com.my. The World Obesity Atlas projects that this number could increase to 41% by 2035, stressing the urgent need for comprehensive prevention and treatment strategies. This concerning trend comes alongside the already alarming increase in chronic diseases, rooted from whats commonly known as the "Three Highs" high blood sugar, high blood pressure, and high cholesterol. Over half a million Malaysian adults are currently living with all four conditions. According to the countrys Ministry of Health, Malaysia has one of the highest rates of diabetes globally, with over 3.6 million people diagnosed with diabetes in 2019. This figure is projected to double by 2025. Even more concerning, many Malaysians are unaware that they have diabetes statistics reveal that 40% of adults and 84% of young adults aged 18-29 in the country are unaware they have the disease. According to doctors, obesity leads to insulin resistance, causing the pancreas to work harder to produce more insulin to overcome the resistance, which results in hyperinsulinemia. This compensatory mechanism can eventually progress to prediabetes and type 2 diabetes. Doctors at MUSC Health Columbia Medical Center Downtown have implanted their 800th WATCHMAN, a device that can prevent strokes in patients with an irregular heart rhythm known as atrial fibrillation. WATCHMAN device Doctors can implant WATCHMAN devices in people with atrial fibrillation in about 15 minutes. The milestone is cause for celebration, said L. Garrison Morgan, M.D. He directs the Structural Heart Disease program and serves as associate director of the Claude W. Smith School of Cardiovascular Technology at MUSC Health in Columbia. Get The Times and Democrat app today Local news has never been this personal. Free to download. Subscribers enjoy unlimited access. We are a high-volume center that does exceptionally good work, and we have very good outcomes. The more of these procedures that you do, the better you are. We're to the point now where we can put the entire device in in about 15 minutes. And patients only have to be on bed rest for about two hours, and they can go home, Morgan said. Dr. Garrison Morgan Dr. Garrison Morgan points at an implanted WATCHMAN device in Columbia. He explained what happens in people with atrial fibrillation, or A-fib. The problem is the underlying rhythm in the patient's heart called atrial fibrillation. It makes the top chambers of the heart quiver instead of contracting to push the blood around in that top chamber. It just so happens that this top chamber on the left side of the heart, the side that pumps to the brain, has a small pocket called the left atrial appendage. It forms an eddy where the fluid kind of just swirls around, and it can make a blood clot. And 90% of all strokes that happen in patients that have atrial fibrillation originate from a blood clot in that little appendage. For years, blood thinners were the go-to to prevent those clots. Blood thinners are really good at preventing clots that cause strokes, but they're also really good at preventing clots that stop bleeding. And so they make patients bleed easily, which can be a problem for patients who are unsteady and frequently fall or have a history of bleeding problems, Morgan explained. The WATCHMAN solves that issue, he said. Its basically a small plug. It sits in the opening of that little pocket on that top chamber of the heart, and it seals off that pocket forever. So there's no pocket. There's nowhere for a blood clot to form. And if there's nowhere for a blood clot to form, there's no reason for them to be on a blood thinner. So that little device gives them stroke prevention, just like their blood thinner would, for the rest of their lives, and they never take another blood thinner ever again. He said the WATCHMAN is going from being a mainstream procedure to becoming, hopefully, the gold standard for atrial fibrillation. There are clinical trials that we were part of that are finishing up right now that are essentially giving patients the option of having a WATCHMAN device as first-line therapy. It's very safe. It's effective. It's quick. And it gives the patient a lifetime worth of stroke prevention from one simple procedure. Morgan said there are risks associated with any heart procedure, and patients should speak with their doctors about those risks and compare them with the benefits of coming off blood thinners. But he also said the risk of a complication from an appendage close procedure is exceedingly rare. In his experience, procedures to implant Watchmen usually go extremely smoothly. In fact, over the last six years, weve had a 99.5% success rate for implants and no major complications at all. His team has a new goal with a deadline of next summer. We want to be the first program in South Carolina to do 1,000 implants. Defendants in a defamation case brought by Buster Murdaugh against national media companies that allegedly accused him of killing a gay teen have removed the case from Murdaughs home county of Hampton to federal court in Charleston. APTOPIX Murdaugh Killings Buster Murdaugh, the son of Alex Murdaugh, listens as Alex Murdaugh's verdict is read at the Colleton County Courthouse in Walterboro on March Buster Murdaugh is the son of ex-lawyer and convicted killer Alex Murdaugh. The case is now before U.S. Judge Richard Gergel in the Charleston Division in South Carolina federal courts. Get The Times and Democrat app today Local news has never been this personal. Free to download. Subscribers enjoy unlimited access. The removal, unless reversed by Judge Gergel, by Netflix, Warner Brothers Discovery Inc. and others, means that Buster Murdaugh wont have a presumably friendly hometown jury at the Hampton County Courthouse hearing his case. Instead, jurors if the case were to go to trial in Charleston federal court would be chosen from a multi-county Lowcountry region. Buster Murdaugh sues Netflix, others over reporting on Stephen Smiths death Buster Murdaugh files libel lawsuit against documentary producers and media company, claiming false implications of killing gay teen in 2015. Shaun Kent, Buster Murdaughs lawyer, was not immediately available for comment. He has the right to object to the companies position and ask Judge Gergel to transfer the case back to Hampton County. Alex Murdaugh appeals 40-year financial fraud sentence, saying it is cruel and unusual Convicted murderer and fraudster Alex Murdaugh is challenging the 40-year sentence for financial fraud that he received in April from a federal judge, calling it cruel and unusual punishment. In a brief filed Thursday with the U.S. Fourth Circuit Court of Appeals, Murdaughs attorneys wrote that the 40-year financial fraud sentence handed down by U.S. Judge Richard Gergel in April is grossly ... For years, the Murdaughs a four-generation family of lawyers based in Hampton County have had the reputation of enjoying friendly juries when their cases came to trial on their home turf. In June, Buster Murdaugh filed suit in Hampton County, alleging three separate documentaries by well-known media companies had defamed him by falsely suggesting that he murdered, or helped murder, a local gay man, Stephen Smith, 19, nine years ago. Smiths body was found with fatal head injuries on a rural Hampton County road in July 2015. Baseless rumors began to swirl on social media that the Murdaugh family and Buster, in particular, had a hand in Smiths death. For years, the State Law Enforcement Division and numerous journalists have investigated the case, but no one ever found any evidence that Buster Murdaugh was involved in Smiths death. Buster Murdaugh issued a statement denying involvement. The death remains unsolved and no suspects have been named by law enforcement. Despite the fact no evidence is known to exist to link Buster Murdaugh to Smiths death, various media companies broadcast documentaries in which they falsely, to one degree or another, suggested that Buster was involved in Smiths death, according to the lawsuit filed in Hampton County state court. The documentaries were Murdaugh Murders: Deadly Dynasty, Low Country: The Murdaugh Dynasty, and Murdaugh Murders: A Southern Scandal. They were viewed by millions on such platforms as HBO Max, Netflix and Discovery+, Buster Murdaughs lawsuit said. Local newspaper editor also sued The only non-documentary defendant in Buster Murdaughs lawsuit was Michael DeWitt, the longtime editor of the Hampton County Guardian and author of the book, The Fall of the House of Murdaugh. The lawsuit alleged that DeWitt had made alleged defamatory statements when he appeared on a Netflix documentary about Buster Murdaughs alleged involvement in Smiths death. It is clear that Mr. DeWitt is falsely accusing [Buster] of being involved in the murder of Stephen Smith, Buster Murdaugh said in his lawsuit. Mr. DeWitts false statements defamed the plaintiff and damaged his reputation. But in their notice of removal to federal court, the defendants asserted that DeWitts statements were not defamatory, were in fact true and were within the bounds of free speech. It is clear from the context that DeWitt was not stating any facts of which he had personal knowledge, but rather, speaking as a local expert about what he had learned about the law enforcement investigation [into Smiths death] and heard from others in the community. Both DeWitts comments and the Series as a whole are focused on the power and influence of the Murdaugh family and how that may have impacted the course of justice in multiple situations, not making factual claims about who actually killed Stephen Smith, the companies said in their federal filing. The defendants asked that DeWitt be dropped as a defendant since everything he said was true and protected by the First Amendment. DeWitt has declined to comment. The defendants also said the only reason that DeWitt was included in Buster Murdaughs lawsuit was to make sure the lawsuit would be tried in Hampton County. Since all the defendants except DeWitt are out-of-state, the proper and lawful place for a legal action against them by a South Carolinian such as Buster Murdaugh is in the federal courts, Netflix and the other companies said in their notice of removal to federal court. This case arises from three documentary series that reported on historical events and official investigations involving a well-known and influential family, the Murdaughs, that for years have been the subject of discussion, debate, and speculation by the populace of Hampton County, South Carolina, and that have been extensively covered in the local, state, and national news media, the documentary companies said in their notice of removal. Busters father, Alex Murdaugh, is serving two consecutive life sentences in state prison for the 2021 murders of his wife, Maggie, and his younger son, Paul, Busters brother. Alex Murdaugh has also been convicted in state and federal court of massive fraud involving millions of dollars against his clients, his former law firm and others. The Murdaugh saga has for several years attracted swarms of media, documentary companies and journalists. At least nine books have been written about it, and documentaries are still in production. Lawyers representing the documentary companies include John T. Lay, Marguerite Willis, Cheryl Shoun, Jessica Laffitte, David Dukes and Merritt Abney, according to federal court records. (TBTCO) - Tong cuc Thue cho biet, tinh tu khi trien khai en het ngay 17/9/2024, so luong hoa on ien tu co quan thue a tiep nhan va xu ly khoang 10,1 ty hoa on, trong o hoa on co ma la 2,5 ty hoa on; hoa on khong ma la 6,7 ty hoa on; hoa on tung lan phat sinh va hoa on khoi tao tu may tinh tien la 0,9 ty hoa on. ac biet, sau hon 2 nam trien khai, Chuong trinh Hoa on may man a thu uoc ket qua tich cuc, mang lai loi ich kep cho ca nguoi nop thue va co quan quan ly thue. Net international reserves of the UAEs banking sector increased by 8.1% during the first half of the year , reaching AED1.238 trillion ($337 billion) at the end of June, compared to AED1.145 trillion at the end of the same period last year. The Central Bank accounted for AED769.7 billion of the sectors net international reserves at the end of June, marking a 14.3% increase compared to its balance of AED673.42 billion at the end of last year, while the net international reserves of banks operating in the country reached AED468.13 billion by the end of June, said CBUAE in its June statistical bulletin. According to the bulletin, the Central Banks gold reserves grew by 22.1% year-on-year, reaching AED20.467 billion by the end of June, compared to AED16.768 billion in June 2023. During the first half of this year, its gold reserves grew by 12.8%, compared to AED18.147 billion at the end of last year. Gold is included among the Central Banks diversified assets, which also encompass liquid funds, certificates of deposit, and securities held to maturity. The Central Banks gold reserves have grown significantly in recent years, rising to AED12.862 billion at the end of 2020, compared to AED4.04 billion at the end of 2019, and AED1.134 billion at the end of 2018. The bulletin also highlighted that the number of employees in the UAEs banking sector rose to 37,811 by the end of June, compared to 36,737 employees in June 2023, an increase of 1,074 new employees over the course of 12 months. The distribution of banking employees showed that national banks employed 31,628 people at the end of June, an increase of 2,051 employees compared to 29,577 in June 2023. Foreign banks operating in the UAE employed 6,183 people during the same period. The number of employees in the UAEs banking sector has seen a notable rise in recent years, growing from 33,444 at the end of 2020 to 33,491 at the end of 2021, and reaching 35,830 by the end of 2022. In a related context, the Central Bank noted that the number of licensed commercial banks in the UAE reached 61 in the first half of this year, comprising 23 national banks and 38 foreign banks. The number of bank branches stood at 554, with national banks accounting for 482 branches, and foreign banks for 72 branches. The number of e-banking service units affiliated with national banks reached 46 units by the end of the first half of this year, while the number of exchange offices reached 21. Meanwhile, the number of e-banking service units of foreign banks remained at 21. Banking operations statistics released by the Central Bank today showed that the value of transactions processed through the UAE Funds Transfer System (UAEFTS) exceeded AED9.32 trillion during the first half of this year, reflecting a year-on-year increase of 16%, compared to transfers amounting to AED8.01 trillion during the same period in 2023. According to the statistics, transfers during the first half were distributed as AED5.829 trillion in interbank transfers and AED3.49 trillion in customer transfers. The value of transfers reached AED1.512 trillion in January, AED1.449 trillion in February, AED1.565 trillion in March, AED1.592 trillion in April, AED1.78 trillion in May, and AED1.42 trillion in June 2024. It is worth noting that the UAEFTS, which has been operated by the Central Bank since August 2001, facilitates real-time money transfers among entities registered in the system. The Central Banks statistics also revealed that the value of cleared cheques using their images amounted to AED646.6 billion, distributed across 11.03 million cheques during the first half of this year. Cheque clearances, using their images, were distributed as follows: AED109.4 billion for approximately 1.965 million cheques in January, AED106.7 billion for 1.837 million cheques in February, AED112.6 billion for 1.855 million cheques in March, AED92.9 billion for 1.66 million cheques in April, AED122.7 billion for 2.01 million cheques in May, and AED102.17 billion for 1.689 million cheques in June. The Kingdom of Saudi Arabia has called for a concerted global effort to achieve sustainable food security through long-term strategic shifts and enhanced international cooperation. In a speech at the Group of Twenty (G20) agriculture ministers' meeting in Brazil, Saudi Environment, Water, and Agriculture Minister Abdulrahman AlFadley emphasized the need for innovative solutions and practical measures to address growing food needs. AlFadley acknowledged the progress made in technology and innovation but stressed the continued need for investment in agriculture to increase productivity and build resilience in food systems, reported SPA. He also highlighted the importance of improving access to sustainable financing for agricultural development. According to him, Saudi Arabia has actively encouraged domestic and foreign investments in its agricultural sector, resulting in a significant increase in agricultural loans and a 35% growth in the sector's contribution to gross domestic product (GDP). AlFadley called for addressing supply-chain and input issues to stabilize prices and maintain efficient food markets, urging countries to avoid trade restrictions that can negatively impact global food security. The minister emphasized the importance of stakeholder engagement, including the private sector and civil society, to achieve common goals for sustainable food systems. He also commended Brazil's Global Alliance against Hunger and Poverty initiative and highlighted the King Salman Humanitarian Aid & Relief Center's (KSrelief's) efforts to support food-security projects in 78 countries, said the SPA report. The minister also addressed the One Health approach, emphasizing the need to address antimicrobial resistance to protect food systems. He later invited participants to the fourth Global High-Level Ministerial Meeting on Antimicrobial Resistance in Riyadh, Saudi Arabia, in November. The Kingdom of Saudi Arabia has launched the One Million Saudi in AI initiative, aimed at empowering one million citizens in artificial intelligence, reported Saudi Press Agency (SPA). The national initiative, a largest of its kind in the kingdom, was launched by Minister of Education Yousef bin Abdullah Al Benyan and Saudi Data and AI Authority (SDAIA) President Abdullah bin Sharaf Alghamdi in collaboration with the Ministry of Human Resources and Social Development during the third Global AI Summit (GAIN Summit 2024), held at the King Abdulaziz International Convention Centre in Riyadh. It is aimed at empowering a million Saudis to interact with a world led by AI, ensuring the building of a better future that enhances human capabilities, stated the report. It will allow a million male and female citizens to understand AI, its uses, ethics, and to train on the skills and tools necessary to integrate AI into business and daily life effectively and safely, it added. THE topic of Prime Minister Dr Keith Rowley being replaced as political leader of the Peoples National Movement (PNM) is not an issue currently up for consideration, said Foster Cummings, Member of Parliament (MP) for La Horquetta/Talparo yesterday. There are strong processes in the PNM. There is a leader in office in the PNM. That is the leader that we support and who will take us into the next general election, he said. Before her fifth birthday, Rainy had experienced a lifetime of trauma. As an infant, she witnessed violence at home before child welfare authorities intervened and her parents were incarcerated. Night terrors followed. Then, she endured the death of her great uncle who had taken on the role of dad. She didnt speak until she was nearly 5. Any separation from her great aunt-turned-adoptive mother, Lisa Enas, triggered panic attacks, and reminders of her great uncles death left her nearly inconsolable. With counseling, however, Rainy, now age 7, with a long, thick braid and a bright smile, grew more joyful and independent. She could hold conversations and spend time away from Enas without panicking. She was selected for her schools gifted and talented program. Home life on the Gila River Indian Community in Arizona, where her bedroom walls were lined with stuffed animals and family photos, steadied. But that progress came to a halt last October, after a spiraling Medicaid scandal that targeted thousands of Native Americans exploded into public view. Arizona officials announced they were investigating a massive fraud scheme in which people had been lured into fake substance abuse treatment programs, where providers exorbitantly billed Medicaid for treatments they did not deliver. Some were alleged to have kidnapped patients and held them against their will. The fraud has cost the state as much as $2.5 billion since 2019, state officials said. In response, the Arizona Health Care Cost Containment System, or AHCCCS, terminated contracts with scores of facilities as authorities investigated them. The agency also swiftly suspended Medicaid reimbursements to hundreds of other providers that it accused mostly of overbilling or paperwork errors. Among those suspended was Desert Rain Behavioral Health Services, the Tempe provider that was treating Rainy and 260 other patients, all insured by the state Medicaid agencys American Indian Health Program. AHCCCS accused Desert Rain of overbilling and failing to have the license needed to treat children allegations that the clinic would eventually resolve, but not before its ability to care for patients was disrupted. When AHCCCS launched its investigation, officials said their top priority was the safety of patients like Rainy. Yet even as the agency says it considered whether people would lose behavioral health services before it took action, its efforts left hundreds without treatment or counseling, the Arizona Center for Investigative Reporting and ProPublica have found. The agency told the very behavioral health providers it accused of fraud that it was their responsibility to ensure patients continued to receive treatment despite halting their reimbursements. Some closed. Others scaled back services or paid out of their own pocket while they challenged the allegations against them. For patients, the state established a hotline to connect them to treatment, housing or transportation back to their communities. But it too has fallen short in addressing the fallout from the crisis. AHCCCS said it had no record of what happened to the majority of the hotlines 11,400 callers, largely because after six months, it stopped tracking outcomes for people who did not stay in a hotel at the states expense. Of 4,100 people who received temporary lodging after calling the hotline, the state said only about 150 requested referrals to behavioral health centers. According to call data obtained by the news organizations, more than 575 ended up unsheltered, increasing their chances of relapse or even death. In an interview, Marcus Johnson, AHCCCS deputy director of community engagement and regulatory affairs, said AHCCCS conducted outreach to make sure patients knew about the hotline. Yet advocates say far more people were unaware of the hotline or could not call it because they did not have phones. Theres always opportunity for us as an agency to improve, Johnson said. But like I said, weve done a great amount of outreach to try to get the word out as much as possible, not only to victims and our members, but also to all of the providers. Enas, Rainys adoptive mother, said no one ever told her about it as she struggled to find counseling for her daughter. (AZCIR and ProPublica are identifying Rainy, who does not share a last name with Enas, by her nickname to protect her privacy.) Thirty behavioral health providers that AHCCCS has accused of fraud since the spring of 2023 have been cleared to again receive Medicaid reimbursements, though the agency cautioned providers that it could pursue further actions against them amid ongoing investigations. Most reached settlement agreements or proposed corrective action plans, according to records provided to the news organizations by AHCCCS. Desert Rain, however, was among a handful of providers that did not have to compensate the state or rectify their practice, according to documents. After a four-month suspension, Desert Rain was informed in a February letter that it could resume receiving payments from the state because it had addressed the accusations. AZCIR and ProPublica spoke to six of the 30 facilities that had their suspensions lifted. The suspensions, delayed payments and enhanced billing requirements resulting from the state crackdown have jeopardized their ability to stay in business, they said. Almost everyone who operated behavioral health facilities and spoke to the news organizations asked to remain anonymous out of concern they would be targeted by AHCCCS for criticizing the agency. AHCCCS has maintained that its actions were necessary and appropriate to ensure bad actors could no longer exploit Medicaid. It also told the news organizations that it is always willing to help patients find providers. Desert Rain owner Alexis James said that since the clinic was cleared, the state has largely denied or not processed its claims for patients insured by the American Indian Health Program. As a result, she is unable to serve her former patients. She said she is concerned many people from the Gila River Indian Community and other Indigenous communities have gone months without treatment because so many facilities have shut down or are not accepting new American Indian Health Program patients due to financial uncertainty. There are no providers available to see these clients who are higher risk, who are suicidal, who are high trauma, James said. What makes me so angry is its not anyone but the Indigenous population. Enas said she recognizes the state had to stem the widespread fraud but regrets it came at such a high cost. Rainy regressed without counseling, while Enas unsuccessfully sought help from AHCCCS and the local hospital. The grief Rainy was learning to manage now overwhelms her more frequently. On a recent afternoon, within a matter of minutes, Rainy turned from chattering happily about her school day to sobbing as she looked over a favorite photo collage of her late adoptive father. I miss him so much, Rainy cried. Why did he have to die when I was 3? Enas held Rainy until the wave of sadness eventually passed. When they sat down at the dinner table, where Rainy announced she was joining the school color guard, Enas looked on with a mixture of pride, exhaustion and worry. I need to know, who is gonna actually help me? Enas said. Whos going to actually listen to me? Whos going to help my child? Because Im fighting for her. A crisis goes undetected As early as 2020, state data showed a spike in billings for behavioral health care covered by the American Indian Health Program. AHCCCS contracts with managed care organizations, like Mercy Care and UnitedHealthcare, use fixed rates for Medicaid reimbursement. But the American Indian Health Program available only to American Indians and Alaska Natives was different. Federal requirements led AHCCCS to structure the program under a fee-for-service model, which allowed health clinics and other providers to set their own rates and directly bill the agency. It also broadened access in areas not served by the network of insurance companies for a population that has historically faced significant barriers to health care. But it left the program vulnerable to fraud, experts say, much like other fee-for-service plans offered at the federal level. It was a claims shop, AHCCCS Johnson said, noting the plan lacked safeguards used by managed care organizations to prevent waste, fraud and abuse. One behavioral health clinic collected more than $200,000 a day on average through the American Indian Health Program, according to an audit of AHCCCS. The flood of cash spurred predatory recruitment of new Native American patients from across the country just as the federal governments COVID-19 public health emergency allowed Medicaid programs to relax enrollment and screening requirements. Will Humble, a former director of the Arizona Department of Health Services, said AHCCCS failure to monitor its management of Medicaid billing and reimbursements allowed the American Indian Health Program to completely detonate. Reva Stewart, a community advocate in Phoenix who is Navajo, was, in the fall of 2022, among the first to sound the alarm on social media about providers recruitment efforts in the city and on reservations. For months, she had observed white vans pull up to city parks in search of new patients. She learned fraudulent providers were also sending vans to reservations across Arizona, New Mexico and Montana in search of patients. Newly elected Gov. Katie Hobbs announced an initial wave of provider suspensions in May 2023. As the agency continued reviewing billing records for irregularities, more followed. Community members, patients and employees of licensed behavioral health providers had alerted authorities to the suspected fraud, said AHCCCS Director Carmen Heredia. When suspended providers ignored the agencys calls to ensure ongoing care, the agency said it sent demand letters and threatened legal action. AHCCCS has not pursued any provider for failing to transition patients care, saying it hasnt needed to take that step. When our legal office has reached out to providers in this situation, they have complied, Johnson said. They have worked with us to transition care for their members. Thousands call asking for help State housing officials warned AHCCCS leadership nearly a year before it began suspending providers that reforms could trigger a surge in homelessness, according to emails reviewed by AZCIR and ProPublica. Indeed, many people faced homelessness as the state suspended behavioral health payments because some unscrupulous providers had housed patients just so they could bill for them, advocates say. Patients in the roughly 25 suspended facilities outside the Phoenix area had few options for assistance once AHCCCS took action; the state hotlines offer of temporary housing was limited to three hotels in the metro area. Stewart said the states response has been inadequate for such a massive crisis that has rendered people homeless. She and other advocates, organized under the name Stolen People, Stolen Benefits, regularly traverse the Phoenix metro area with meals and sanitary kits to assist unhoused people who havent been helped. Many contact her directly. Raquel Moody, who is from the Fort Apache Reservation in northeastern Arizona, recounted how at the height of the crisis she bounced from one fraudulent treatment home to the next. She had achieved sobriety in the past, before relapsing, and such treatment programs had helped her, including Another Level of Community Service, which served people just released from prison. (Another Level of Community Service is one of the 30 behavioral health providers that had its suspension lifted by AHCCCS after a monthslong investigation.) From December 2022 to the end of 2023, Moody spent time in more than a half-dozen programs in the Phoenix area that promised, but never provided, treatment. Soon after arriving at each new facility, she realized legitimate treatment classes would not be offered. When she spoke up about it, the operators would kick her out. Not only was there no treatment, she said, but lax operators made it more challenging to get sober. The owners of one facility downplayed her complaint that alcohol was being consumed in the house, claiming the drinking wasnt harming other residents. They asked her to leave. Once, providers left her for days in an unfurnished home with nothing to do, which she described as a nightmare scenario for someone trying to overcome addiction. Some of us, we were looking for the right programs, she said. But during this whole scheme and everything, it was really hard. It was really hard to get sober. After the final home she was in was suspended in December 2023, no one from the state stepped in to help, she said. Shes now in recovery and conducts homeless outreach with Stewart. Following Desert Rains suspension in September 2023, James, the clinics owner, said she continued serving patients for as long as she could. The clinic was roughly two years into treating Rainy, who had been diagnosed with prolonged grief, anxiety, attention-deficit/hyperactivity disorder and obsessive-compulsive disorder. It was a two-hour round trip to each appointment, but her progress made the drives worth it, Enas said. Desert Rain, which opened 13 years ago, was one of several clinics that AHCCCS accused of treating children without the necessary state health department license. The Medicaid agency also said the treatment center had billed for some patients after their deaths and overbilled for certain mental health assessments and rehabilitation services. As she fought the allegations, James laid off all but three of her 35 employees and coordinated with Gila River case managers to transfer most of the facilitys 260 patients to other providers. Many of the patients found that nearby facilities were also facing fraud allegations from the state and couldnt treat them. James offered limited services at no cost to roughly half a dozen high-need clients, including Rainy. Nearly every provider who spoke with AZCIR and ProPublica and had resolved their fraud allegations said they tried to serve clients for as long as they could without Medicaid reimbursements. James said she almost went bankrupt. She drew on personal funds to cover Medicaid patients treatment and took out high-interest loans that left her in financial peril. State records show James cleared the allegations by providing evidence of an active license to work with kids and documentation explaining the handful of claims that were inadvertently submitted after a patients death during the height of the COVID-19 pandemic, when it often took days for word of a patients passing to reach outside the reservations hospital. The agency also imposed a moratorium on new provider enrollments and enacted administrative reforms that included capping reimbursement rates for intensive outpatient treatment, and fingerprinting and background checks for more behavioral health providers under contract with AHCCCS. The agency also adopted more stringent billing procedures and revamped its process for reviewing claims. Since the agency implemented the reforms, spending on American Indian Health Program services has declined by two-thirds, according to data released by AHCCCS in July. While there is still work to be done, this data reflects that our efforts to combat fraud are working, Heredia said in a news release. We have transformed AHCCCS into a new agency that puts our members first, and always strives to get them the help they need. This abrupt decrease in payments to providers also reflects the inability of patients like Rainy to get treatment. In February, AHCCCS paid Desert Rain more than $140,000 for care provided prior to the suspension. But the agency has not reimbursed the clinic for any services billed under the American Indian Health Program since its reinstatement, according to James. Im still being punished and not paid, James said. Essentially, were still suspended. Records reviewed by AZCIR and ProPublica showed that AHCCCS repeatedly pressed the facility to submit additional documentation required for claims to be approved. The agency also arranged a meeting to discuss the billing process. AHCCCS did not respond to questions about the agencys billing decisions. In a survey of 229 providers by the Arizona Behavioral Health Providers Association, an industry trade group, half of respondents reported anonymously this spring that they were close to shutting down due to issues with AHCCCS since the spring of 2023, including delayed reimbursements. Another 20% reported they had either already closed or were filing for bankruptcy. The data was presented to AHCCCS earlier this year. Lynn Janson, a co-founder and CEO of the treatment center Milestone Recovery, described to lawmakers this year how a suspension had threatened the business she and her husband opened in 2021 with help from their daughter, a licensed clinician. Jansons son had struggled with a methamphetamine addiction, she said, and it had been difficult to find a treatment program that would help him address childhood trauma that fueled his drug use. She opened the business to fill that void for others. My husband and I decided to move forward by creating a space focused on treating the trauma that is the root cause of addiction, she said. Fraud was never a motivating factor for us to enter this field. This spring, the state lifted Milestones suspension. Twenty providers, not including Milestone, have filed notices of claim precursors to lawsuits against AHCCCS and state officials for wrongful suspension or termination. Four families have sued the Medicaid agency since April over the deaths of their loved ones while they were in the care of treatment centers. The state has denied culpability, saying state agencies, including AHCCCS, responded appropriately to past concerns about patient safety based on the information they had. AHCCCS declined to comment about the lawsuits. In April, James paused Rainys therapy altogether. She could no longer afford to provide counseling without reimbursement. When AHCCCS learned that James was no longer providing care to Rainy, the agency sent a message reminding her that agency policy prohibits providers from turning away patients based on their enrollment in the American Indian Health Program. James replied, saying that she and her staff wanted to accept new patient referrals but couldnt without payment. She never heard back. To stay in business, James began accepting patients insured by plans other than the American Indian Health Program. Claims were promptly reviewed and reimbursed, James said, including by other Medicaid plans. Only AHCCCS American Indian Health Program has not reimbursed her claims. The transition to working with patients outside of the Gila River community was bittersweet, James said, especially knowing that many of her former patients like Rainy were still searching for reliable treatment. When I hear about the constant need that is still going on out there, its just really frustrating, she said. Enas said it has been painful to watch Rainys grief and trauma resurface over the past 10 months. She has tried her best to help Rainy process her emotions but said she isnt equipped to address her daughters behavioral health challenges on her own. Its like shes never even been to counseling, she said. Rainys night terrors returned, with recurring dreams of her adoptive father dying. She continued to excel at school, but her teachers noticed worsening mood swings. On a visit to her adoptive fathers grave to bring him offerings of flowers and home-cooked food, Rainy lay by his headstone for hours, until dark. Unwilling to leave, Rainy cried and asked Enas how she could die so she could be with him again. Enas tried everything she could think of to find care for her daughter. She contacted lawmakers, AHCCCS officials, health care administrators, school caseworkers and providers. At one point, a patient advocate with the Gila River hospital in Sacaton, on the reservation, encouraged Enas to disenroll Rainy from the American Indian Health Program. The idea was that by switching to insurance provided by managed care organizations, Enas and Rainy would avoid issues related to AHCCCS handling of the insurance plan. But changing her daughters insurance would be tedious and have broader repercussions. Enas would have to find a new allergist and primary care doctor because those providers, based on the reservation, accept only the American Indian Health Program. Switching back and forth also was not feasible when a single afternoon could involve juggling appointments or calls with multiple health care providers. We shouldnt have to switch our plans so that way our kids can get the service that they need. Thats not right, she said. Enas and Rainys search has led back to where it began: Desert Rain. Recent income from privately insured patients has given James enough cushion to resume providing some services for free. In mid-August, Rainy returned for grief counseling sessions with James. Rainys other mental health disorders remain largely untreated. Desert Rain is the best place for Rainy, Enas said, but she doesnt know how long the treatment will last. Alexis is going to carry her for a little bit, and then shes going to have to drop her again, because shes not getting paid, said Enas. Digital connectivity and green economy emerged as the focus of this years Belt and Road Summit, which was held in Hong Kong on September 11-12, with delegates at the event highlighting the importance of innovation and sustainability in fostering cooperation among partners. The summit, themed Building a Connected, Innovative and Green Belt and Road and co-organized by the Hong Kong Special Administrative Region Government (HKSAR) and the Hong Kong Trade Development Council, took place as global tensions from Ukraine to the Middle East are causing major supply chain disruptions. Tech, green growth solutions for supply chain The summit, bringing together over 90 policymakers and business leaders from Belt and Road Initiative (BRI) countries and regions, aligns with the eight major steps Chinese President Xi Jinping announced in 2023 to support the development of the initiative. These wide-ranging steps span from supporting an open world economy and enhancing infrastructural connectivity networks, to promoting green development and advancing scientific and technological innovation, Hong Kong Chief Executive John Lee (Lee Ka-chiu) said in the opening remarks. This years summit also introduced a new Green Chapter, which is in line with the theme, featuring breakout sessions on green infrastructure, innovation and finance. According to Hong Kong Chief Executive Lee, BRI economies accounted for more than 43 percent of Hong Kong's external trade with the rest of the world other than mainland China, compared with less than one-third in 2013. However, there have been worries that global conflicts, such as in Ukraine and the Middle East, would disrupt global supply chains including that of the BRI, forcing Hong Kong and the mainland to find solutions. Chinas strategy prioritizes not only the expansion of physical infrastructure but also the strengthening of digital connectivity to mitigate future risks, according to Prof. Julien Chaisse, an award-winning expert in international economic law at the City University of Hong Kong School of Law. Digital trade and e-commerce are increasingly viewed as essential tools to offset physical supply chain disruptions. In addition, the growing focus on green finance and renewable energy infrastructure within the BRI offers a method to reduce reliance on unstable regions and resources, he told Tuoi Tre News. Bolstering cooperation with Vietnam, ASEAN, and beyond Among BRI countries, Southeast Asia emerged as a focus in the summits discussions. As the Association of Southeast Asian Nations (ASEAN) becomes more attractive to investment flows, new technology initiatives, and sustainable development, Southeast Asian countries are also important partners for Hong Kong. Prior to the summit, the HKTDC delegation led by Lee visited Laos, Cambodia and Vietnam. Participants talk on the sidelines of the 9th Belt and Road Summit, which took place on September 11, 2024 in Hong Kong. Photo: Nhat Dang / Tuoi Tre News The visit yielded 55 MoUs with the three ASEAN states, covering a wide range of areas, from business and investment to culture, education and more, the Chief Executive of Hong Kong said. Speaking to Tuoi Tre News, Commissioner for Belt and Road in Hong Kong Nicholas Ho said that Hong Kong is currently making efforts to foster comprehensive cooperation with ASEAN instead of focusing only on trade - an area where there is already a Hong Kong - ASEAN free trade agreement. He noted that Vietnam - Hong Kong trade and connectivity have been growing in the past decades, and Vietnam now ranks second in Hong Kong's trade with ASEAN economies And coming in the next 12 months, more and more flights will be opened between Vietnam and Hong Kong and multiple cities, he said. Expanding trade routes and partnerships, particularly through increased engagement with ASEAN, is seen as crucial for strengthening supply chain resilience. Vietnams expanding role in global supply chains and its strategic importance within the BRI demonstrate how countries with advantageous geographic and economic positions can attract investment and emerge as key points in this evolving network. "The development of green infrastructure and a focus on innovation will further reinforce these supply chains, providing more sustainable and resilient solutions to global partners, said Prof. Chaisse. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! Nguyen Van Nen, Politburo member and secretary of the Ho Chi Minh City Party Committee, had a meeting with General Secretary and President of Laos Thongloun Sisoulith on Thursday in the southern Vietnamese city. Nen extended congratulations to the Party, state, and people of Laos on their remarkable achievements over the past time, including political stability, economic development, strengthened national defense, and expansion in international relations, which have enhanced the countrys global standing. The Vietnamese official expressed his confidence that under the leadership of the Lao People's Revolutionary Party led by General Secretary and President Thongloun Sisoulith, Laos will achieve further success. Nen also briefed the top Lao leader on the citys recent accomplishments in socioeconomic development; shared the citys experience in socioeconomic growth and Party building; as well as thanked Laos for its support in the development journey of the southern hub. The citys Party chief affirmed that Ho Chi Minh City is committed to advancing the high-level agreements between the leaders of both nations, promoting cooperation between Vietnam and Laos, and tightening ties between the city and Lao localities, especially in the fields of trade, tourism, investment, agriculture, human resource development, and cultural exchange. He also expressed his hope that General Secretary and President Thongloun Sisoulith would continue to encourage Lao ministries, agencies, and localities to bolster cooperation with the city. Nguyen Van Nen (R), Politburo member and secretary of the Ho Chi Minh City Party Committee, shakes hands with General Secretary and President of Laos Thongloun Sisoulith in Ho Chi Minh City, September 12, 2024. Photo: Quang Dinh / Tuoi Tre The top Lao official congratulated the city on its major milestones in economic growth and social security, voicing his belief that the city would reap more fruitful results in the upcoming period. He emphasized that the Lao Party and state attach significance to the consolidation and enhancement of the great friendship, special solidarity, and comprehensive cooperation with Vietnam. He commended the growing relationship between Ho Chi Minh City and Lao localities. Party leader and President Thongloun encouraged Ho Chi Minh City to keep promoting cooperation with Lao ministries and localities, and offering support to Lao provinces. He added that Lao ministries and local administration would work closely with Ho Chi Minh City to effectively implement the agreements between the two nations' high-ranking leaders, making contributions to the Vietnam - Laos partnership. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! The U.S. expresses sincere condolences to the people of Vietnam suffering the devastating consequences of typhoon Yagi, while affirming U.S. support for the Southeast Asian country, U.S. Secretary of State Antony J. Blinken said in a press statement posted on the U.S. Department of States official website on Thursday (U.S. time). Blinken referred to an announcement previously made by the U.S. Mission in Vietnam, which stated that the U.S. Agency for International Development (USAID) is providing humanitarian aid to support Vietnams efforts to respond to Yagis impacts. This aid will include financial support, shelter, water, sanitation, hygiene assistance, and other essential items. With more heavy rain forecast in the coming days, he emphasized that USAIDs disaster experts will continue close coordination with local emergency authorities and partners on the ground. The U.S. will continue to work with partners, including through the Quad, to support the people of Vietnam at this challenging time. The Quad (Quadrilateral Security Dialogue) is a strategic partnership between four countries: the U.S., India, Japan, and Australia. The top U.S. diplomat also expressed condolences over the tragic loss of life and damage in other typhoon-stricken countries, including China, Thailand, Laos, Burma, and the Philippines, affirming the U.S. readiness to provide assistance to those in need. On Wednesday, U.S. Ambassador to Vietnam Marc Knapper announced that the U.S. Mission to Vietnam, through the USAID, will provide US$1 million in immediate humanitarian aid to support Vietnams efforts to respond to the devastating impacts of typhoon Yagi. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! Vietnamese and Indonesian top leaders have agreed to raise two-way trade to US$18 billion by 2028 while expanding cooperation in all fields to further bring into play the strategic partnership between the two countries. The agreement was reached on Friday between Vietnamese Party General Secretary and State President To Lam and Indonesian President-elect Prabowo Subianto, who arrived in Hanoi on the same day for a two-day working visit. Highly appreciating Subiantos visit, Lam congratulated Indonesia on its 79th National Day (August 17) and praised the countrys recent achievements in socio-economic development as well as its contributions to peace and cooperation in the region and the world. President-elect Prabowo Subianto thanked the warm welcome and congratulated Vietnam on its significant accomplishments in economic development and enhancing its position in the international arena. He also shared his deep sympathy for the great losses and damages caused by Typhoon Yagi in Vietnam. Subianto affirmed that Indonesia always attaches importance to the traditional friendship and cooperation with Vietnam the only strategic partner of Indonesia in ASEAN, and wished to take stronger measures to deepen and substantiate the relationship. Currently, Indonesia is Vietnam's third largest trade partner and Vietnam is Indonesia's fourth largest trade partner in ASEAN, with trade between the two countries increasing by nearly four times over the past 10 years. The two leaders affirmed their determination to bring two-way trade to $18 billion by 2028 through trade promotion activities, support for trade between businesses of both countries, and remove barriers to the bilateral trade. Lam and Subianto agreed to continue to encourage and facilitate both countries' businesses to invest in each other's markets, especially in new areas including digital economy, green economy, energy transition, and electric vehicle ecosystem development. Lam affirmed that Vietnam is ready to join hands with Indonesia to ensure food security and called on Indonesia to facilitate Vietnam's farm produce and Halal products to further penetrate the Indonesian market. They discussed a number of directions to boost cooperation in other fields besides trade to bring the bilateral relationship to a new height. Both sides expressed pleasure that the Vietnam - Indonesia strategic partnership has been relentlessly developed in recent times through exchanges of delegations and high-level contacts, including the state visit of President Joko Widodo to Vietnam in January this year. Cooperation in security and defense, maritime, culture, tourism, and transportation, have been effectively promoted, while people-to-people exchange and local connections have been strengthened, the host and guest commented. The two sides agreed to continue strengthening cooperation in defense and security and coordination in preventing transnational crimes and illegal, unreported and unregulated (IUU) fishing. Regarding regional and international issues of mutual concern, the two sides agreed to continue to coordinate and support each other at regional and international forums and organizations, including ASEAN, the United Nations, and the Non-Aligned Movement. The two leaders reaffirmed the importance of peace, stability, safety, security, and freedom of navigation and aviation in the East Vietnam Sea; maintain ASEAN's solidarity and common stance on the East Vietnam Sea issue; and promote substantive and effective negotiations on a Code of Conduct in the waters in line with international law, especially the 1982 United Nations Convention on the Law of the Sea (UNCLOS). Lam asked Indonesia to support and send high-level representatives to the ASEAN Future Forum 2025 and the ASEAN Future Forum 2025 and the Partnering for Green Growth and the Global Goals 2030 (P4G) Summit to be held in Vietnam. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! The Ho Chi Minh City Forest Protection Department confirmed on Friday that it had released 27 wild animals, including a Burmese python weighing approximately 50 kilograms, back into the wild in Dong Nai Province, southern Vietnam. These animals, mostly voluntarily handed over by local residents to the department, belong to 10 different species such as Burmese python, leopard cat, pig-tailed macaque, clouded monitor, water monitor, yellow-headed temple turtle, snail-eating turtle, elongated tortoise, Asian box turtle, and giant Asian pond turtle. After receiving care at a wildlife rescue station in the citys Cu Chi District for a while, they were relocated to Dong Nai for release into the Dong Nai Nature and Cultural Reserve. Among them, the 50kg Burmese python, known scientifically as Python bivittatus, is classified as a rare and endangered animal. The python had been voluntarily handed over to the department by a local resident in June this year. A screenshot from a video shows a forest ranger releasing turtles into their natural habitat at the Dong Nai Nature and Cultural Reserve in Dong Nai Province, southern Vietnam A clouded monitor, whose scientific name is Varanus nebulosus and weight is about seven kilograms, is also on the list of rare and endangered species. Truong Van Thuong, hailing from Binh Chanh District, and his family members spotted the clouded monitor in their home. After learning that it is a rare species, Thuong gave it to the citys forest rangers in June for further care, rehabilitation, and release back into nature. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! Check out the latest news in Vietnam today: Politics -- Indonesia's President-elect Prabowo Subianto is scheduled to pay a working visit to Vietnam from Friday to Saturday, according to an announcement from the Vietnamese Ministry of Foreign Affairs. -- The U.S. expresses sincere condolences to the people of Vietnam suffering the devastating consequences of typhoon Yagi, adding that the U.S. Agency for International Development is providing humanitarian aid to support Vietnams efforts to respond to Yagis impacts, U.S. Secretary of State Antony J. Blinken said in a press statement posted on the U.S. Department of States official website on Thursday (U.S. time). Business -- The management authority of Ha Long Bay in Quang Ninh Province, northern Vietnam reopened tourist boat services in the bay from Friday after suspending the services to cope with the devastating typhoon Yagi, the third storm to strike Vietnam this year. Society -- The World Health Organization (WHO) has donated one million water purification tablets to protect the health of hundreds of thousands of people across northern Vietnam following the tragic devastation of typhoon Yagi, WHO said in a press release issued on Friday. -- Le Thi Thu Hang, Deputy Minister of Foreign Affairs and chairwoman of the State Committee for Overseas Vietnamese Affairs, handed over more than VND600 million (US$24,400) to Do Van Chien, chairman of the Central Committee of the Vietnam Fatherland Front on Friday. This contribution came from Vietnamese communities in Laos, Japan, and Russia to support those impacted by Typhoon Yagi. -- The Ho Chi Minh City Forest Protection Department confirmed on Friday that it had released 27 wild animals, including a Burmese python weighing approximately 50 kilograms, into the Dong Nai Nature and Cultural Reserve, located in Dong Nai Province, southern Vietnam. -- Two-thirds of the relief supplies from the ASEAN Coordinating Center for Humanitarian Assistance on Disaster Management to support Vietnamese citizens affected by typhoon Yagi landed at Noi Bai International Airport in Hanoi on Friday evening, the Vietnam Disaster and Dyke Management Authority, under the Ministry of Agriculture and Rural Development, confirmed the same day. World News -- Water shortages in Cuba are increasingly flaring tempers, including in capital Havana, as problems mount for hundreds of thousands of residents already ragged from shortfalls in food, fuel and electricity, Reuters reported. -- South America is being ravaged by fire from Brazil's Amazon rainforest through the world's largest wetlands to dry forests in Bolivia, breaking a previous record for the number of blazes seen in a year up to September 11, according to Reuters. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! From September 12, donations for those affected by typhoon Yagi, the third storm to hit Vietnam this year, can be made at nearly 2,000 FPT Long Chau drugstores nationwide. To make donations, FPT Long Chau customers scan QR codes at these stores to transfer funds to an account run by Tuoi Tre (Youth) newspaper at the Vietnam Joint Stock Commercial Bank for Industry and Trade (VietinBank) branch 3, Ho Chi Minh City under account number 113000006100. Those who wish to donate should note Cung Tuoi Tre va FPT Long Chau se chia voi nguoi dan bao so 3 (Joining hands with Tuoi Tre and FPT Long Chau to support people affected by storm No. 3). Nguyen Do Quyen, COO of FPT Long Chau, told Tuoi Tre that the pharmacy chain is doing its best to help victims of the typhoon in northern Vietnam, particularly as flooding and downpours over the past several days continue to inflict damage across the region. With nearly 2,000 drugstores in 63 localities across the country, FPT Long Chau is in a strong position to serve as a bridge between those in need and those who can help. This current campaign is the result of a partnership between Tuoi Tre and FPT Long Chau. Banners are currently placed at the chains 2,000 drugstores informing customers of the fundraising campaign. The chain has also sent a message to customers via Zalo, a notification via the FPT Long Chau app, and announced the fundraising campaign on its Facebook page. A QR code for customers to scan to make donations for victims of typhoon Yagi in northern Vietnam. Photo: FPT Long Chau Contributions can also be made in person at the Tuoi Tre newspaper headquarters at 60A Hoang Van Thu Street, Ward 9, Phu Nhuan District, Ho Chi Minh City, or at Tuoi Tre representative offices nationwide. For domestic money transfers: Please send donations in Vietnamese dong to the Tuoi Tre newspaper account at VietinBank branch 3, Ho Chi Minh City. Account number: 113000006100. Please specify: Ung ho dong bao bi anh huong boi con bao so 3 (Support for people affected by storm No. 3) For international donations: Please transfer funds to Tuoi Tres USD account: 007.137.0195.845 at the Joint Stock Commercial Bank for Foreign Trade of Vietnam (Vietcombank) in Ho Chi Minh City, or EUR account: 007.114.0373.054 at the same bank. SWIFT code: BFTVVNVX007. Please specify: Ung ho dong bao bi anh huong boi con bao so 3 (Support for people affected by storm No. 3) Tuoi Tre will work with local authorities in affected areas to ensure that all contributions reach those who have suffered significant damage from the storm and subsequent floods. Typhoon Yagi, the strongest to have struck the East Vietnam Sea in 30 years, made landfall in Vietnam on Saturday last week. The death toll in Vietnam from typhoon Yagi and the landslides and flash floods it triggered rose to 233 as of 7:00 am on Friday, according to the Vietnam Disaster and Dyke Management Authority under the Ministry of Agriculture and Rural Development. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! Two-thirds of the relief supplies from the ASEAN Coordinating Center for Humanitarian Assistance on Disaster Management (AHA Center), intended to support Vietnamese citizens affected by Typhoon Yagi, landed at Noi Bai International Airport in Hanoi on Friday evening. The Vietnam Disaster and Dyke Management Authority, under the Ministry of Agriculture and Rural Development, confirmed the arrival of the relief supplies on the same day. The first and second flights carrying the supplies landed at Noi Bai International Airport at 4:45 am and 2:15 pm on Friday, respectively. Representatives from the Vietnam Disaster and Dyke Management Authority received the supplies at Noi Bai. The third flight is scheduled to arrive at 1:50 pm on Saturday. The AHA Centers cargoes includes household tool kits, home repair tool kits, kitchen tool kits, and personal hygiene tool kits, with a total value of approximately US$254,091. This aid is intended for delivery to the landslide-and-flood-stricken Yen Bai and Lao Cai Provinces. The relief supplies from the ASEAN Coordinating Center for Humanitarian Assistance on Disaster Management are loaded onto trucks at Noi Bai International Airport in Hanoi for transport to the landslide-and-flood-stricken Yen Bai and Lao Cai Provinces, September 13, 2024. Photo: Vietnam Disaster and Dyke Management Authority Earlier, on Wednesday, Australia transported the first batch of emergency relief goods to Hanoi. This included 264 personal hygiene items, 120 kitchen utensils, 600 blankets, 264 home repair tools, 600 sleeping mats, 522 tarpaulins, and 360 mosquito nets. Upon receiving the Australian aid at Noi Bai, the Vietnam Disaster and Dyke Management Authority coordinated the transportation and distribution of these items to Yen Bai Province for distribution to people in need. In addition to the support from Australia and the AHA Center, the Japan International Cooperation Agency (JICA) has pledged to provide 40 portable water purifiers, which include pump filters and ceramic filters with a filtration speed of four liters per minute and a maximum capacity of 100,000 liters. JICA will also contribute 200 multi-purpose HDPE plastic tarpaulins measuring 50x4 meters. JICAs aid is expected to arrive at Noi Bai International Airport around Monday and Tuesday. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! The World Health Organization (WHO) has delivered urgent relief supplies, including one million water purification tablets and 500 water containers, to northern Vietnam that has been severely impacted by landslides, flash floods, and heavy inundation induced by typhoon Yagi. The donation is aimed at protecting the health of hundreds of thousands of people across northern Vietnam following the tragic devastation of typhoon Yagi, WHO said in its news release on Friday. We are deeply saddened that the death toll continues to rise and that many people remain at risk, said WHO Representative in Vietnam Dr Angela Pratt. In support of the Vietnamese governments response to the destructive typhoon, WHO on Thursday swiftly handed over the supplies to the Ministry of Health, which will oversee their distribution to the hardest-hit areas. The assistance will provide 15 million liters of purified water for safe household drinking and use in health care facilities in the eight most affected provinces such as Bac Giang, Cao Bang, Dien Bien, Lai Chau, Lao Cai, Phu Tho, Thai Nguyen, and Yen Bai. Clean water is critical to help prevent food and waterborne disease, and for maintaining safe care and operations at health care facilities, whether it is for people injured in the typhoon and or those needing urgent routine health care, Dr Pratt said. WHO is working closely with the government and committed to providing ongoing support for the response and recovery in any way we can, she added. The World Health Organizations assistance will provide 15 million liters of clean water for households and healthcare facilities in the eight most affected provinces in northern Vietnam. Photo: World Health Organization Duong Duc Thien, chief of Cabinet Office of the Steering Committee on Disaster Prevention and Control and Rescue at the Ministry of Health, said that the devastation of typhoon Yagi prompted the health ministry to request for international assistance. We are grateful to have the support of trusted partners like WHO to aid our immediate response and longer-term recovery work, Thien said. WHO has joined other United Nations agencies on joint assessment teams deployed in coordination with the Vietnamese government to understand the extent of damage, assess needs and ensure targeted assistance is made available. WHO is also working closely with local and national authorities to monitor and make best efforts to prevent food and waterborne diseases, and other infectious diseases, over the coming weeks and months, and will continue to support the government of Vietnam in mobilizing any additional resources needed. In addition, with support from USAID, WHO is working with government partners to broadcast safety messages in several ethnic minority languages through local radio and community loudspeakers to help ensure ethnic minority communities, especially in more remote areas, receive important health safety information. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! More detail on Blueys commission at ABC was revealed at Australian Content in the Streaming Era Symposium this week. Mary-Ellen Mullane Acting Head of Childrens and Family Content recalled how ABC was instrumental in the projects life, but only with the minimum input required. That was a tiny little project that was pitched into the ABC. At the time it was a very unknown team, she explained. The person who was in the commissioning job at the time said, Why dont we give it a licence fee only? which is just the smallest amount of money you can put into a project. Because, we wanted to help the filmmakers, but you know, we (didnt) see its huge potential that it turned out to be. So there you go. This is a risky business. So that project got going. It was actually kind of a quiet little project, and it got picked up by the BBC commercial arm, called BBC Studios. They picked it up as the distributor, and they sold it to Disney internationally. So everywhere else in the world, Disneys got the footprint. Ludio Studios producer Daley Pearson previously told TV Tonight, At that time, there was a real hero with ABC called Michael Carrington. He was running Kids at the time and gave us the licence fee, to then unlock Screen Australia finance, which hadnt been done for a long time in Kids. Welcome Guest! You are here: Home Arrogance, Disrespect: LoP Rahul joins anger over TN hoteliers apology to Sitharaman Leader of Opposition (LoP) Rahul Gandhi called arrogance and disrespect the alleged forced apology of Srinivasan, owner of the popular hotel chain Annapurna, to Finance Minister Nirmala Sitharaman Sunday September 15, 2024 10:43 AM , ummid.com News Network New Delhi: Leader of Opposition (LoP) Rahul Gandhi called arrogance and disrespect the alleged forced apology of Srinivasan, owner of the popular hotel chain Annapurna, to Finance Minister Nirmala Sitharaman. When the owner of a small business, like Annapoorna restaurant in Coimbatore, asks our public servants for a simplified GST regime, his request is met with arrogance and outright disrespect, Rahul Gandhi wrote on X. Annapoorna hotel owner, Srinivasan, had requested Union Finance Minister Nirmala Sitharaman to make Goods and Service Tax (GST) simplified. The varying GST on different items not only upsets customers but sometimes even fails computers, he said at an event. I just request you to make it simpler, Srinivasan said. He is Coimbatores pride Annapurna Group Head Srinivasan. Yesterday he was forced to apologise to FM Nirmala Sitharaman on camera. His crime? To ask a genuine question on GST from FM during an event. This not only exposes BJP leaders arrogance but is also an insult of the pic.twitter.com/MJ6sRj6wyD Ankit Mayank (@mr_mayank) September 13, 2024 However, what sparked outrage is a video, interestingly released by Tamil Nadu Unit of BJP, which shows Srinivasan seeking apology from Union Minister Sitharaman. It is alleged that Srinivasan was forced to apologize to the Union Finance Minister. While slamming the treatment meted to Annapoorna restaurant owner, Rahul Gandhi brought to the fore the soft corner PM Modi show towards his corporate friends. When a billionaire friend seeks to bend the rules, change the laws, or acquire national assets, Modi Ji rolls out the red carpet, he said. Rahul Gandhi, a known critic of GST who used to call it Gabbar Singh Tax, reiterated his demand to make single and uniform tax across the country. When the owner of a small business, like Annapoorna restaurant in Coimbatore, asks our public servants for a simplified GST regime, his request is met with arrogance and outright disrespect. Yet, when a billionaire friend seeks to bend the rules, change the laws, or acquire Rahul Gandhi (@RahulGandhi) September 13, 2024 Our small business owners have already endured the blows of demonetisation, an inaccessible banking system, tax extortion and a disastrous GST. The last thing they deserve is further humiliation. But when the fragile egos of those in power are hurt, it seems humiliation is exactly what theyll deliver. MSMEs have been asking for relief for years. If this arrogant government would listen to the people they would understand that a simplified GST with a single tax rate would solve the problems of lakhs of businesses, Rahul said. TN BJP Chief apologises Following the uproar, Tamil Nadu BJP Chief apologized for what he called the inadvertent release of the video wherein Srinivasan is seen with Nirmala Sitharaman in a "private conversation". On behalf of Tamil Nadu BJP, I sincerely apologise for the actions of our functionaries who shared a private conversation between a respected business owner and the Finance Minister. I spoke with Thiru Srinivasan Avl, the esteemed owner of the Annapoorna chain of restaurants, to express regret for this unintended breach of privacy. Annapoorna Srinivasan Anna is a pillar of Tamil Nadus business community, contributing significantly to the states and nations economic growth. I request everyone to lay the matter to rest with due respect, TN BJP Chief K.Annamalai said. Follow ummid.com WhatsApp Channel for all the latest updates. Select Language To Read in Urdu, Hindi, Marathi or Arabic. Welcome Guest! You are here: Home Watch: Fintech billionaire leads1st ever private spacewalk Fintech billionaire Jared Isaacman Thursday Septemebr 12, 2024 succesfully led the first-ever walk of non-professional private astronauts to space. Saturday September 14, 2024 12:21 PM , ummid.com Science Desk Fintech billionaire Jared Isaacman Thursday Septemebr 12, 2024 succesfully led the first-ever walk of non-professional private astronauts to space. The Polaris Dawn crew succesfully attempted the world's first commercial spacewalk from the SpaceX Dragon capsule Resilience. Jared Isaacman climbed partway out of a SpaceX Dragon capsule located nearly 740 kilometers above Earth early Thursday morning, making a giant leap into spaceflight history. "Early Thursday morning at 7:58 a.m. ET, the Polaris Dawn crew successfully completed the worlds first spacewalk also known as an extravehicular activity (EVA) from Dragon at 732.2 km above Earth", the mission said in a statement. Polaris Dawn Crew The crew in the Polaris Dawn consisted of four people including Shift4 Payments CEO Jared Isaacman, US Air Force Pilot Scott Poteet and Engineers from SpaceX, Anna Menon and Sarah Gillis. Jared Isaacman, the 41-year-old billionaire with a net worth of $1.9bn, bankrolled the Polaris Dawn mission and is also the mission commander, according to BBC. In 1999, he founded the payment processing company Shift4 Payments, which according to Forbes handles payments for a third of America's restaurants and hotels. While in space, the Polaris Dawn crew will conduct as many as 40 science and research experiments that will provide valuable data regarding human health in space ahead of future long-duration space missions. Join the Polaris Dawn crew to learn details behind some of the ~40 science and research experiments being conducted during the mission. Many of these experiments will provide valuable data regarding human health in space ahead of future long-duration space missions pic.twitter.com/yw89eGf11U Polaris (@PolarisProgram) September 13, 2024 First capsule spacewalk in 59 Years According to CNN, the crew completed a 5-day journey around the orbit of earth and they were depressurized when exposed to the vacuum of space. Shortly after arriving in space on Tuesday, the crew began a two-day pre-breathe process, designed to prevent decompression sickness while also preparing the crew for the environment inside the EVA suits by gradually lowering Dragons cabin pressure and increasing the oxygen concentration. SpaceX and the Polaris Dawn crew have completed the first commercial spacewalk! SpaceX, back at home we all have a lot of work to do, but from here, Earth sure looks like a perfect world. Mission Commander @rookisaacman during Dragon egress and seeing our planet from ~738 km pic.twitter.com/lRczSv5i4k Polaris (@PolarisProgram) September 12, 2024 Then on Thursday, the crew donned their suits and initiated Dragon seat rotation, suit tare, and the heads-up display and helmet camera checkouts. "The 106-minute spacewalk officially began at 6:12 a.m. ET when suit pressurization started, the nitrogen purge was initiated, and pure oxygen (O2) was flowing into the suits. A secondary flow of oxygen primarily helped provide cooling to the suits, which would come in handy during the spacewalk", Polaris Dawn mission said. This is the first capsule spacewalk in 59 Years. The last time a US spacewalk was conducted from a capsule, before the Polaris Dawn mission, was during NASA's Gemini 4 mission in 1965, when astronaut Ed White perform the first American spacewalk. Polaris Dawn mission however had a distinction of being the first live-streamed, first-person view of Earth from space, made possible with the help of the cameras in the astronauts' helmets. The Polaris Dawn crew are wearing new EVA suits designed for comfort, flexibility, and theyll be the first to test Starlink's laser-based communications in space. Polaris Dawn Return Jared Isaacman will return to Earth in two days' time, either splashing down off Floridas west coast in the Gulf of Mexico, or east coast in the Atlantic Ocean. One of two recovery vessels, either Shannon or Megan (as they are known), will recover the capsule. From there, the crew will breathe fresh air for the first time since leaving Earth on Tuesday, according to BBC. On Wednesday just hours after launch, Polaris Dawn also marked its first historic milestone when it fired Dragons thrusters to raise the spacecrafts swooping elliptical orbit and reached more than 1,400 kilometers in altitude at its peak. Thats the farthest from Earth any human has ventured since the 1970s, when the last of the Apollo lunar missions left the entire planet in the rearview on the way to the moon. "Polaris Dawn and Dragon at 1,400 km above Earth the farthest humans have traveled since the Apollo program over 50 years ago", SapceX said. After the success of the first ever privately-funded spacewalk, Polaris Dawn is now planning Mission II and Mission III. "Mission II will build upon it to expand the boundaries of future human spaceflight missions, in-space communications, and scientific research", SpaceX said. "The final mission, Mission III, will be "the first-human spaceflight on Starship the worlds first fully reusable transportation system designed to carry both crew and cargo to Earth orbit, the Moon, Mars, and beyond," Elon Musk owned space giant said. Follow ummid.com WhatsApp Channel for all the latest updates. Select Language To Read in Urdu, Hindi, Marathi or Arabic. Viet Nam is discussing the establishment of a centre for raw materials to support the textile, leather and footwear industries, signalling a bold effort for the billion-US-dollar sectors to enhance sustainability and climb higher up the global value chain. According to the latest updates from the General Statistics Office, leather and footwear exports totalled US$17.67 billion in the first eight months of this year, or a rise of around 10 per cent over the same period last year. The Viet Nam Leather, Footwear and Handbag Association (Lefaso) reported an export value of $15.2 billion from January to July. Of this, footwear exports reached $12.8 billion, up 10.1 per cent, while handbag exports rose to $2.35 billion, up 7.9 per cent year-on-year. The leather footwear industry has been gradually recovering since the end of 2023, with clear improvements in recent months, Phan Thi Thanh Xuan, deputy president and general secretary of Lefaso, said. Many enterprises in the industry have secured contracts until the end of 2024, and the sector is expected to reach a total export value target of $27 billion for the year. However, the Ministry of Industry and Trade (MoIT) said that despite the sector's significant contribution to Viet Nams total export earnings, the added value of domestic producers remained limited. This was primarily because Viet Nam mainly engaged in contract manufacturing and relied heavily on raw materials imported from China, South Korea, and ASEAN countries. Statistics from the General Department of Customs show that Viet Nam spent $13.42 billion importing raw materials for the garment and footwear industries in the first half of this year, up 14.11 per cent year-on-year. The heavy dependence on imported raw materials could negatively affect the overall development of the industry, especially as many countries push for net zero emissions by 2050 and impose stricter import requirements, Xuan said. This emphasised the need for a domestic raw materials centre to boost the local procurement rate, she added. Xuan further highlighted the industry's challenge in meeting new demands from importing countries, focusing on sustainability in production and social responsibility. Previously, sustainability was encouraged by customers, but now it is being enforced by policies in major importing countries, Xuan said. For example, since March, the EU Viet Nam's second-largest importer of leather and footwear products had introduced policies related to ecological requirements, traceability, supply chain transparency, and carbon emissions. "While these policies aim to improve quality and promote circular economy principles, they pose significant challenges for producers throughout the supply chain," Xuan said. As the worlds second-largest exporter of leather and footwear, Viet Nam must urgently enhance its supply chain, from raw materials to sustainable production, with a focus on circular economy practices and social and environmental responsibility. Gerwin Leppink from the Worldwide Responsible Accredited Production (WRAP) programme noted that expanding export to markets like the US and EU required compliance with regulations, particularly those focused on sustainability, which are impacting both importers and producers. Viet Nams leather and footwear industry is identified as a key export sector, with a goal of reaching an export value of $38-40 billion by 2030, according to the industrys development strategy approved in late 2022. The strategy also aims to develop the industry sustainably following the circular economy model by 2035, improving the domestic value chain, and integrating further into the global value chain. However, the global trend toward greening industries is putting pressure on leather and footwear production, which is still regarded as a polluting sector, according to Lefasos President Nguyen uc Thuan. For Viet Nam, which accounts for 7.3 per cent of the global market, second only to China, this presents both significant opportunities and challenges. "The application of automation, artificial intelligence, and green transition is essential for companies that want to stay competitive and climb higher in the global value chain," Thuan said. How to ensure success The proposal to establish a raw materials centre for the garment and footwear industries was a hot topic at a recent meeting of MoIT. Truong Van Cam, deputy president and general secretary of the Viet Nam Textile and Apparel Association, said that the idea had been raised several times before, but successful models had yet to be realised. Past attempts to develop such centres in Ha Noi and HCM City in 2004 failed due to various reasons, including lack of support and coordination among relevant stakeholders. Cam emphasised that the key to success lay in mechanisms and support from the government, as well as close coordination between ministries and local authorities. Truong Thi Thuy Lien, deputy president of the Binh Duong Province Leather, Footwear and Handbag Association, pointed out that contract manufacturing, which dominates the industry, made it difficult for suppliers to engage in domestic raw material centres. Pham Tuan Anh, deputy director of the Industry Department at MoIT, said the ministry was working with industry associations to finalise plans for the centre, learning from the experiences of other countries to ensure success. We should have built suchlike centre long ago, but for various reasons, it hasnt happened. We need to act immediately so that the centre can be operational by 2025, Deputy Minister of Industry and Trade Phan Thi Thang said. The centre would not only support the domestic industry but also help Viet Nam reduce its dependence on imported materials, ensuring compliance with stricter environmental regulations, she added. The centre marks a bold step towards sustainability and enhancing the competitiveness of Viet Nams leather and footwear industry. It is expected to be funded by the private sector and will serve as a hub for both domestic and foreign suppliers, ensuring traceability, quality, and the latest developments in the fashion industry. VNS HA NOI Prime Minister Pham Minh Chinh has asked authorities at all levels to ensure supplies of food and essential goods for households affected by super Typhoon Yagi. On late Friday he issued an official document, detailing measures to be taken by chairs of provinces and market management authorities in the northern region to support people in need, especially those that have been isolated by floods and landslides. He asked for the prices of food, petrol and essential items to be monitored carefully to avoid goods hoarding, which might lead to a decrease in supplies and price hikes. The essential items include medicines, hygiene items, textbooks, school supplies, plant seeds, animal feed, and biological products used in farming. The PM also asked local authorities to repair houses, schools, and hospitals, remove uprooted trees from roads, ensure electricity and water supplies, and maintain telecommunication services for the people. He asked the Minister of Industry and Trade to collaborate with the military, transport, and health forces to deliver essential goods to isolated areas. The ministry is also tasked with stabilising prices in the market, identifying those who take advantage of the situation to make illegal profit, and working with Vietnam Electricity (EVN) to make sure pumping stations work properly. PM Chinh asked the Minister of Agricultural and Rural Development to guide and help farmers to resume agricultural production. They need to drain floodwater out of the fields as quickly as possible to minimise damage to rice and fruit crops, and switch to other production plans if needed. He also asked the Minister of Finance to work with the General Department of State Reserves to review and identify the needs of affected localities, and supply food, essential items, and disinfectants to support them. Deputy Ministers Bui Thanh Son, Le Thanh Long, Ho uc Phoc are tasked with directing and monitoring the relief efforts. VNS QUANG NINH - Ha Long Bay in the northern province of Quang Ninh, one of the localities hardest hit by Typhoon Yagi, officially resumed normal operation of tourist boats on September 13, nearly a week after being ravaged by the typhoon. Tourism activities have gradually returned to normal in Ha Long thanks to efforts by the local authorities and residents during a 7-day campaign to address the aftermaths of the typhoon. With the spirit of cooperation and unity, local residents and functional forces have proactively cleaned up the environment and beautified the urban area. Tourist boats have been maintained and repaired to ensure safety standards for restoring operations as soon as possible. Nearly all the 80 vessels of this kind are ready to welcome guests again starting September 13. Tourism service businesses in Ha Long city also focus on preparing infrastructure and personnel conditions to welcome tourists back. After the super storm, many groups have chosen Ha Long as their destination, especially international tourists. The Ha Long international passenger port reopened to welcome international visitors to the bay from September 10. It received over 70 vessels with more than 1,500 tourists from China and the Republic of Korea (RoK). However, to ensure absolute safety for tourism activities on the bay, tourist pick-up activities at the port were temporarily suspended to conduct safety inspections. Nguyen Thai Hung, General Director of the Saigon Ha Long Hotel, said the establishment has promptly implemented all necessary measures to meet safety requirements for tourists. Ha Long aims to attract over 9.5 million visitors in 2024. - VNA/VNS HA NOI National Assembly (NA) Chairman Tran Thanh Man on September 14 hosted a reception for Indonesian President-elect Prabowo Subianto, who is on a working visit to Viet Nam from September 13-14. The top legislator congratulated Subianto on his election and said he believes that the Indonesian guest will lead the nation to carve out further achievements, develop prosperously, rise in international stature, and realise its set target of becoming a developed country by 2045 its 100th independence anniversary. Man stressed that Viet Nam always wants to deepen its strategic partnership with Indonesia towards elevating the ties to a comprehensive strategic one in 2025 when they will celebrate the 70th anniversary of diplomatic relations. He also spoke highly of the outcomes of the extensive and substantive talks between Party General Secretary and State President To Lam and the Indonesian President-elect, which, he said, outlined major orientations for the bilateral relations. Subianto, for his part, offered his sympathy with Viet Nam over the severe human and asset loss caused by Typhoon Yagi. Laying stress on the similarities in history between the two nations, he expressed his confidence that the Viet Nam Indonesia cooperation will continue developing in all areas for the best benefits of the people of both sides. He committed to consolidating the win-win collaboration between the two countries, and took the occasion to thank Viet Nam for supporting Indonesia during its ASEAN chairmanship last year, underlining that the bilateral cooperation will result in a more effective voice for peace in the region and the world. At the event, the two leaders concurred that it is necessary to step up cooperation in the domains of defence, security, prevention of transnational crimes to protect the citizens and national integrity of each nation, and in the fight against Illegal, Unreported and Unregulated (IUU) fishing. They reaffirmed the significance of peace, stability, safety, security, and freedom of navigation and overflight in the East Sea (internatioonally known as South China Sea), and agreed to maintain solidarity, common stance, and the results that ASEAN has attained on the East Sea issue, while promoting negotiations for a substantive and effective Code of Conduct in the waters in accordance with international law, particularly the UN Convention on the Law of the Sea 1982. Hailing the cooperation between the two legislative bodies over the past time, especially after the signing of the cooperation agreement between the Vietnamese NA and Indonesian House of Representatives in August last year, Man said both sides have exchanged delegations, and shared law building experience to facilitate cooperation in areas with global significance such as green, circular and digital economies, energy transition, and semiconductor. He said he hopes that Subianto will support collaboration between the two legislative bodies regarding delegation exchanges and experience sharing. He suggested the two sides should join hands to supervise the implementation of cooperation agreements between the two countries, create favourable conditions for comprehensive collaboration, including connection of economies, localities and enterprises, and people-to-people exchange, while working closely with each other at multilateral forums like the ASEAN Inter-Parliamentary Assembly, Inter-Parliamentary Union, and Asia-Pacific Parliamentary Forum. VNS GENEVA Ambassador Mai Phan Dung, Permanent Representative of Viet Nam to the United Nations (UN), World Trade Organisation, and other international organisations in Geneva, has recently delivered a speech at a general debate on UN High Commissioner for Human Rights Volker Turks Global Update on the human rights situation, held within the framework of the 57th session of the UN Human Rights Council. Dung highly valued the active role and efforts of the UN High Commissioner in advancing the work of the UNHRC, while affirming Viet Nam's support for the activities of the Office of the High Commissioner in promoting and protecting human rights amidst ongoing conflicts and crises around the world. He highlighted Viet Nam's development policy, which places people at the centre, and views them as not only the subject but also the goal and the driving force of development towards achieving sustainable development goals. The ambassador stressed the need to promote initiatives and actions at national, regional, and international levels to address the negative impacts of global challenges such as climate change and food insecurity, which are threatening the enjoyment of human rights for people worldwide, especially vulnerable groups, as well as the ability to achieve sustainable development goals. He reaffirmed Viet Nam's commitment to fostering practical dialogue and effective cooperation with all UN member states and human rights mechanisms. Dung also expressed regret over the fact that the UN High Commissioner for Human Rights and some countries have mentioned Viet Nam based on one-sided, inaccurate, and unverified information. He clarified that Viet Nam supports fundamental principles of universality, fairness, objectivity, non-selectivity, and non-interference in the internal affairs of nations. On September 11, Ambassador Dung attended and delivered a speech at a panel discussion on promoting and protecting economic, social and cultural rights within the context of addressing inequalities. He highlighted the importance of timely international cooperation, given that many countries are facing significant challenges in advancing and protecting economic, social, and cultural rights, which have been exacerbated by the COVID-19 pandemic, climate change, and economic instability; and many are struggling to ensure that vulnerable and disadvantaged groups have access to basic services such as healthcare, education, and social protection. As for Viet Nam, the diplomat emphasised significant progress in poverty reduction, expanding universal healthcare, and improving access to education through comprehensive development policies and international cooperation. He also noted challenges, particularly in ensuring that rapid economic growth does not leave anyone behind, especially in rural and remote areas. At the UNHRCs 57th session, held in Geneva from September 9 to October 11, Viet Nam continues to work actively as a member of the Council for the 2023-25 term. During this session, the Council will adopt Viet Nams report of the fourth-cycle Universal Periodic Review (UPR) Working Group. VNS HAVANA Cuban Foreign Minister Bruno Rodriguez Parrilla has reasserted the solidarity, special friendship, and fraternal bond between the peoples of Cuba and Viet Nam while receiving Vietnamese Ambassador to Cuba Le Quang Long. At their meeting on September 13, Bruno Rodriguez highlighted the strong bilateral political exchange at the highest leadership level. He once again extended his condolences to the Vietnamese people on the passing of late Party General Secretary Nguyen Phu Trong, who made significant contributions to the bilateral relations. The minister also expressed his sympathies to the Vietnamese Government and people for human and asset losses caused by Typhoon Yagi. Long, on behalf of the Vietnamese Party and Government, thanked Cuban leaders for their sympathy as well as for Cubas timely assistance during natural disasters. He affirmed that this noble gesture demonstrates the special Viet Nam-Cuba relationship. The diplomat reiterated Viet Nam's steadfast position in calling on the US to lift its embargo against Cuba, affirming that the Vietnamese Government remains ready to promote investment and trade with, and support Cuba in food production. He also pledged to do his utmost to nurture the friendship and cooperation between the two countries. VNS HA NOI The United Nations Development Programme (UNDP) on September 13 handed 700 gender- and disability-sensitive household kits to the Ministry of Agriculture and Rural Development (MARD) to support communities in the northern mountainous province of Yen Bai, which has been severely affected by Typhoon Yagi. This initial donation is part of UNDPs ongoing efforts to assist the Vietnamese Government and people to recover from the most powerful typhoon hitting the nation in three decades. The kits contain items thoroughly selected to alleviate the hardships faced by the most vulnerable population in Yen Bai, responding to the specific needs of affected communities who lost their belongings and providing them with immediate relief in the early stages of response. These kits include water buckets, rain boots for adults and children, blankets, medicine, sanitary pads, whistles, and other essential supplies. UNDP Resident Representative Ramla Khalidi extended her deepest condolences to the Government of Viet Nam and all those affected by the devastation caused by Typhoon Yagi. She acknowledged the profound loss and suffering that the typhoon has inflicted upon communities across the northern mountainous region and the Red River Delta, praising the spirit of unity and solidarity displayed by the nation as it faced the typhoon. She said UNDP stands ready to support Viet Nam in undertaking intersectoral needs assessment, emergency relief, as well as early recovery planning, and long-term resilience building. Meanwhile, Swiss Ambassador to Viet Nam Thomas Gass extended his sympathy to Viet Nam over the damage caused by the typhoon, while committing to assisting the nations recovery efforts at a meeting with the MARDs Viet Nam Disaster and Dyke Management Authority in Ha Noi on September 14. Accordingly, eight Swiss experts in the areas of clean water, hygiene, and safe house will go to Yen Bai, one of the localities hard hit by the typhoon, to study the provinces situation and put forth appropriate reconstruction measures. The European country also sent relief supplies, which will arrive in Viet Nam on September 16. On September 13, Prime Minister Pham Minh Chinh has signed an official dispatch, ordering sufficient essential supplies for localities suffering severe damage from Typhoon Yagi and its subsequent landslides and floods. Accordingly, chairpersons of Peoples Committees of cities and provinces are required to direct competent authorities to provide food, medicine and other essential items for affected households, particularly those in areas that are isolated and hard to reach. Market management and supervision over the distribution of essential goods like food, fuels, and other necessities must be enhanced to ensure that there are no hoarding and unreasonable price hikes. Strict punishment must be meted out to any violations, according to the dispatch. PM Chinh also ordered the immediate repair of houses, schools and hospitals damaged by the typhoon, clearance of fallen trees, quick resumption of the supply of electricity, clean water and telecommunications services, among others, to ensure the continuity of production and business activities, and implementation of disease prevention measures after the storm. VNS by Nguyen My Ha It is deeply disappointing to all who cherish peace and believe in justice for the Vietnamese victims of Agent Orange [a chemical herbicide and defoliant] that a Paris court on August 22 dismissed the appeal of a French-Vietnamese citizen seeking to hold the chemical companies that produced Agent Orange accountable. War correspondent-turned-justice-seeker Tran To Nga has been fighting for justice for herself and other Agent Orange victims since 2014, when she launched a lawsuit in Paris against 14 companies that allegedly produced the chemicals used by the US military in its "defoliation" campaign in South Viet Nam between 1961 and 1971. These companies included giants like Dow Chemical and Monsanto, now owned by the German multinational Bayer. The irony is that after Monsanto changed ownership, victims of the chemicals Monsanto produced now find themselves seeking justice from one of the worlds largest healthcare providers, which has marketed itself since 2023 as a company that provides "health for all, hunger for none". This legal battle is truly an unequal one, akin to the Vietnamese saying "Chau chau a voi," meaning "A grasshopper trying to kick an elephant." It seems hopeless. The Paris Court of Appeals decision on August 22 mirrored an earlier ruling from 2021 by the Evry Court, located in a Parisian suburb, which claimed it did not have jurisdiction over the case. The court cited the legal immunity of the companies involved, as they were operating under the orders of a sovereign government, specifically the US government. Madame Ngas efforts aim to hold these companies accountable for the devastating health impacts that have affected up to the fourth generation, according to the Viet Nam Association for Victims of Agent Orange/Dioxin (VAVA). In Paris, where hundreds of thousands of tourists visit landmarks every day, especially in the weeks following the Olympics, only two hundred people turned out to support Madame Ngas casea modest number. Yet she shows no signs of giving up, even at the age of 83, while suffering from health conditions she believes are a result of her years working as a war reporter in jungles sprayed with Agent Orange. Madame Nga currently suffers from "recurrent tuberculosis, cancer, and type II diabetes," according to Vietnam Dioxin, a collective fighting for the rights of Vietnamese victims of Agent Orange. Her legal representation in Paris comes from William Bourdon and Associates. On August 22, Bertrand Repolt reportedly told the media that the court's decision was not final and that they would bring the case to the Court of Cassation, Frances highest appeals court. Before this ruling in France, all attempts by other Vietnamese victims of Agent Orange to seek justice in US courts were rejected. Critics argued there was insufficient scientific evidence to link dioxin to the health issues claimed. However, the American Journal of Public Health (AJPH) published a paper in Volume 104, No 10 titled "Agent Orange in Vietnam", reporting data from scientists who collected samples to measure dioxin levels in breast milk, adipose tissue, and blood from Vietnamese citizens. Despite funding challenges and issues with sample collection, a significant difference was observed between people living in unsprayed (northern) and sprayed (southern and central) areas of Viet Nam. "The mean 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) blood level is six times higher in the southern/central group than in the northern group," the report stated. The report also noted that this large discrepancy is not found in other specific congeners of the higher chlorinated dioxins or furans, although these congeners are generally higher in concentration in sprayed areas. "Since the TCDD was the major dioxin-like contaminant in Agent Orange, these findings suggest that the TCDD in 2,4,5-T may have entered the food chain in some areas of Viet Nam," the AJPH reported. Could the high TCDD levels in southern Viet Nam be caused by other sources? The report noted that "the mean TCDD level in adipose tissue of 15 parts per trillion (ppt) in the southern samples is three times higher than the 5ppt found in an epidemiologic study of samples in the US. The blood levels also exceeded those reported for US samples by threefold". "Given the current theory that environmental TCDD results primarily from industrial processes, it is difficult to identify a plausible alternative source of TCDD in the environment of southern Viet Nam that would produce levels exceeding those in the US," the report concluded. More research is urgently needed to trace the pathology from TCDD to human deformities and diseases, but ironically, the resources and modern labs required to support such findings lie only in the hands of the very corporations involved. This August marks the Remembrance Month for Agent Orange/Dioxin victims in Viet Nam. Last year, as many as 5,000 people took to the streets of Ho Chi Minh City to rally behind the victims, helping them seek justice for suffering that goes beyond human endurance. Although Madame Nga now lives in France, she has the support of many Vietnamese back home. A public exhibition on Ho Chi Minh Citys Book Street titled "One Plaintiff, Millions of Victims" started in 2021 to showcase the long and painful process of filing the lawsuit in French court and to support Madame Nga. The 83-year-old is not alone. People from all walks of life in her birth country are ready to carry the torch once she is gone. Elsewhere in the world, people of conscience not only show their support but also contribute funds to help her cover the legal fees imposed by the Paris court 1,500 euros for each company she is challenging. This court decision is not just disappointing; it is unfair, unjust, and immoral. VNS The initiative will allow Vietnamese patients to significantly reduce their financial burden by not having to travel to Singapore. FV collaborates with O2 Healthcare Group to establish a Regional Thoracic Surgery Centre The announcement follows a prior collaboration between FV and O2 Healthcare Group, a renowned lung specialist group based in Singapore and a member of OUE Healthcare Limited, that established a regional thoracic surgery centre on August 15. The FV Thoracic Surgery Centre specialises in treating thoracic conditions, particularly lung cancer, one of the most dangerous and difficult-to-treat diseases today. Melvin Heng, CEO, Thomson Medical Group, and Julie Mc Caughan, COO, OUE Healthcare Limited after signing the agreement to establish FV Thoracic Surgery Centre Dr. Su Jang Wen, a well-known thoracic and cardiovascular surgeon from Singapore and a member of O2 Healthcare Group, will join the team at the centre, led by Luong Ngoc Trung. According to Julie McCaughan, COO of OUE Healthcare Limited, Sus high-level skills and advanced treatment techniques will contribute to many successful treatments of lung cancer patients in Vietnam. "We believe this is the foundation for long-term collaboration that will benefit Vietnamese patients," said McCaughan. Dr. Jean-Marcel Guillon, CEO of FV Hospital, said, "Su is one of the top thoracic surgeons in Asia, so we decided his input on a centre for patients with thoracic conditions would be key. Lung cancer is a major public health problem in Vietnam and diagnosing it early is key to survival, so the priority of our centre is to detect and treat lung cancer at the early stages." Melvin Heng, CEO of Thomson Medical Group (with microphone) Singaporean healthcare organisations plan to bring top surgeons to FV Melvin Heng, CEO of Thomson Medical Group, revealed that the collaboration with O2 Healthcare Group is only the beginning, with many more projects planned, and that Thomson Medical Group and FV Hospital are currently planning comprehensive healthcare training. "Vietnamese doctors can learn from Singaporean doctors and vice versa. This exchange of expertise is a great opportunity for both sides to develop and elevate their skills. We believe FV Hospital is one of the best hospitals in Vietnam, but our goal is to turn it into one of the best hospitals in the region," said Heng. Besides O2 Healthcare Group, Heng mentioned that another 10 major healthcare organisations in Singapore wish to send some of their top surgeons to FV to treat complex conditions such as cancer, cardiovascular interventions, general surgery, pelvic surgery, head and neck surgery, and liver disease treatment, among others. Singaporean healthcare specialists are aware of FV Hospital's reputation for having high standards of treatment from a team of highly skilled doctors, with FV Hospital three times achieving Joint Commission International (JCI) quality accreditation. FV Hospital has been accredited by JCI three times in a row The wave of Singaporean doctors coming to work at FV will contribute to the exchange of expertise and technology transfer, giving Vietnamese doctors the opportunity to enhance their professional skills. This also benefits patients by reducing treatment costs. Vietnamese people reportedly spend $2-3 billion annually on medical treatment abroad. Bringing Singaporean doctors and advanced treatment technologies to Vietnam will help reduce that number, while also attracting foreigners to Vietnam for medical care. "Many Vietnamese patients have been going to Singapore for treatment for many years, but now we can offer comparable healthcare services right here," said Heng. Experts estimate that the scheme can save patients up to 40 per cent on medical costs, not to mention travel and accommodation expenses. Moreover, patients will be closer to their family while undergoing treatment. The Ministry of Health reportedly favours the initiative as it supports its goal of developing high-tech services that respond to the increasing demand for complex healthcare solutions in Vietnam, with the country ideally becoming a destination for healthcare tourism in the future. The arrival of Singaporean doctors to work at FV is not only a positive sign for the hospital's development but also for Vietnam's healthcare regional as a whole. This is a golden opportunity for Vietnam to enhance the quality of its healthcare services, while also asserting its position on the regional and global healthcare map. ASEAN could gain from Singaporean retail advances The retail outlook for ASEAN and Singapore in particular is optimistic for this year, with possible benefits for the regions retail property sector as companies springboard beyond the city-state. Singaporean M&As hold wide promise Singapore dealmakers continue to set sights on dealmaking in Vietnam, with transactions in fields ranging from renewable energy to real estate. Researchers with the Texas A&M College of Agriculture and Life Sciences Department of Rangeland, Wildlife and Fisheries Management are keeping a watchful eye on American alligator nests to shed light on the potential impacts of feral hog predation and to understand how these reptiles use habitat across an ever-changing Texas landscape. While American alligator populations in the U.S. are healthy overall, some fare better than others regionally. Researchers are working to answer the many questions that can help guide management actions and ensure healthy populations into the future, said John Tomecek, associate professor in the Department of Rangeland, Wildlife and Fisheries Management. Data collection To help answer some of these questions, Tomeceks graduate student, Alyssa Freeman, has been collecting copious amounts of data. Freeman, who is pursuing a masters degree in rangeland, wildlife and fisheries management, spent the last two summers equipping active alligator nests with game cameras at the Texas Parks and Wildlife Departments 25,852-acre J.D. Murphree Wildlife Management Area, documenting instances of nest predation and the species responsible. In addition to nest monitoring, Freeman and biologists attached GPS transmitters to breeding-size male and female alligators to monitor their movement and habitat usage. Aside from longer-term changes in climate and habitat, human modification of coastal marshes and wetlands can change the dynamics of alligator nest selection sites, potentially exposing nests to increased rates of predation, Freeman said. The GPS transmitters send location readings every hour of the day to Freemans computer, allowing her to remotely monitor and map the animals fine-scale movements. This data will provide Freeman and Tomecek with key insights into habitat use and nesting site selection. From nest predation to habitat use and selection, this project is looking at a wide array of factors that will enable us to better manage alligators now and into the future, Tomecek said. Feral hog impact During her two summers of data collection, Freeman monitored 28 active alligator nests throughout the WMA. Her initial assessment indicated that roughly half were predated by feral hogs. Although alligators evolved alongside native nest predators, such as raccoons, this additional pressure from a non-native species is an added threat to an already low nest survival rate, Freeman said. Freeman said a 2012 survey of licensed alligator farmers from Louisiana found that over half of the farmers reported losing alligator nests to feral hogs the previous year. Roughly 590 nests were damaged or destroyed on 36 separate properties across the state. Even if the feral hogs dont eat the eggs, the nest disturbance and exposure to warmer ambient temperatures can alter the sexual composition of the remaining clutch. Alligators experience temperature-dependent sex determination, meaning the incubation temperature directly affects whether the animal will hatch as a male or female, Tomecek said. While eggs incubated at roughly 86 degrees and below result in female hatchlings, eggs exposed to warmer temperatures result in males. Tomecek said this is a serious concern for conservationists considering the ripple effects it could have on reproduction and healthy alligator populations in the future. Conservation success While the Southeastern U.S. now supports robust populations of the American alligator, these prehistoric reptiles came perilously close to joining the ranks of the hundreds of wildlife species that have gone extinct since the turn of the 20th century. Unregulated hunting, driven largely by a demand for exotic, luxury products, led to a precipitous decline in alligator populations across their native range. This, coupled with habitat loss, brought the species to the brink of extinction by the mid-1960s. American alligators first received federal protection under the Endangered Species Preservation Act of 1966, a predecessor to the Endangered Species Act of 1973. Tomecek said state and federal partnerships, as well as restocking contributions from alligator farms, enabled the population to recover over roughly two decades. Currently, alligator population estimates range from 400,000 to 500,000 in Texas, with the majority located in the southern and eastern portions of the state. Freeman said alligators are currently listed as a species of least conservation concern by the International Union for Conservation of Nature. But proactive research like this is important as scientists continue to better understand and monitor the species as it faces urbanization, changing sea and salinity levels in coastal wetlands, invasive species pressure and more. We assume alligators are fine because we recovered them from historic overharvest, but they may be facing different challenges now that are harder to see, Tomecek said. Alyssa is working to untangle some key questions. We have to figure out how we can better manage these animals to ensure healthy populations into the future. Living alongside alligators While American alligators are apex predators and should never be approached, both Tomecek and Freeman said they typically try to avoid human interactions and are much less aggressive than their evolutionary relative the saltwater crocodile. Although documented alligator attacks in Texas are extremely rare, situational awareness and proper precautions when recreating in and around alligator habitat are important. If you know alligators frequent a certain area, do not swim there, Freeman said. Additionally, do not allow your dog or small children to go near the water because they resemble common prey animals for alligators. Additional precautions include: Acknowledge and obey signage warning of the presence of alligators. If fishing, avoid disposing of harvest scraps in the water or along the bank, as this can attract alligators. Never feed alligators. This can result in food conditioning, where wild animals begin to associate humans with food. In some cases, this can lead to aggression and danger for both humans and the animal. More Texans may see alligators as communities expand along habitats such as bayous or community reservoirs, but Tomecek said a sighting doesnt necessarily equate to a safety issue. It is important for folks to understand that just because they see an alligator out and about, it doesnt mean that its a threat to anyone, Tomecek said. Before calling authorities, people should ask themselves if the animal is truly causing a safety issue. Theyre valuable to our ecosystem and are an iconic part of the Southeastern U.S. Theyre something to be proud of. DES MOINES The Wounded Warriors Project named Eastern Iowa Republican Mariannette Miller-Meeks its Legislator of the Year in the U.S. House for 2024, the group announced. According to the advocacy group for wounded and ill veterans, the award annually recognizes outstanding legislative effort and achievement to improve the lives of post-9/11 wounded, ill and injured veterans. According to Wounded Warriors, the group honored Miller-Meeks for using her position as chair of the House Veterans Affairs Subcommittee on Health to hold hearings and spotlight veterans issues like substance use disorders, care coordination, emerging mental health therapies and more. Miller-Meeks also has sponsored legislation that would improve veterans access to residential rehabilitation programs, expand long-term care options and increase non-opioid pain medications, the group said. Rep. Miller-Meeks is a veteran herself, having served 24 years in the U.S. Army Reserve, so its only natural she would be such a strong supporter of the veteran community, Wounded Warrior Project Chief Executive Officer and retired Lt. Gen. Walter Piatt said in a statement. But her service and commitment have gone above and beyond, time and time again, and her willingness to lead on important health issues will benefit thousands of veterans now and into the future. In the news release, Miller-Meeks, who faces re-election this fall, highlighted her work on legislation designed to, in her words, improve the effectiveness of the Veterans Affairs department, provide flexibility for veterans care and ensure the VA provides mammogram screening for female veterans exposed to burn pits and other toxic environments. Supporting our veterans is both a duty and a privilege. As a veteran now serving in Congress Ive worked to make the Department of Veterans Affairs health care system more accessible and accountable and to improve VA services, Miller-Meeks said in a statement. I remain committed to making a meaningful difference in the lives of those who have served our nation. PUBLIC TRANSIT PROJECTS: Funds for the new public transit vehicles came through a Federal Transit Administration grant program and the Federal Highway Administrations Carbon Reduction program. The 39 new vehicles are spread across 11 public transit systems, including four buses in Cedar Rapids and one in Iowa City. Funding also went to help the purchase of buses in Atlantic, Davenport, Mason City, Muscatine, Dubuque, Sioux City and Waterloo. The public transit projects receiving funding which came from state funds were $600,000 for infrastructure upgrades in Sioux City, bus storage in Ames, a parking garage and indoor storage in Mason City and bus storage in Davenport. SCHOOL ACCOUNTABILITY PLAN: The Iowa Department of Educations updated state plan for school accountability and support, under the federal Every Student Succeeds Act, has been approved by the U.S. Department of Education, the state department said. The states plan, according to an Iowa Department of Education news release, uses a streamlined set of core indicators, including proficiency results in English language arts, math and science; student academic growth; chronic absenteeism; graduation rates; and postsecondary readiness. The Iowa Department of Education consulted with superintendents, curriculum leaders, the state Board of Education, Area Education Agencies and leadership in major education associations in developing the plan, the department said. Thousands of Iowans participating in the Departments consultation process helped build our transparent, world-class accountability system to support continuous improvement and celebrate school success, Iowa Department of Education Director McKenzie Snow said in a statement. Together, we will implement Iowas new school accountability system, reflecting high expectations for all students, incentivizing evidence-based instructional practices, and prioritizing partnership with schools most in need of support. Photos: 23rd anniversary of the Sept. 11, 2001 attacks SGR operator, Kenyan university partner to host Mid-Autumn Festival celebrations Xinhua) 08:50, September 14, 2024 Kenyan students learn to make moon cakes during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) NAIROBI, Sept. 13 (Xinhua) -- Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. The event brought together more than 200 participants and featured activities, including traditional Chinese music, dances, drama, and poetry, as well as the preparation of moon cakes and jigsaw puzzle competitions. Wang Xinyu, a lecturer at the Confucius Institute, said the event was organized to promote cultural integration between the two countries. "Through sharing cultural festivals, China and Kenya will enhance understanding of each other." The Mid-Autumn Festival, taking place annually on the 15th day of the eighth month in the Chinese lunar calendar, will be observed on Sept. 17 this year. It is a time for families to get together, share moon cakes, and watch the full moon. Nelson Asienwa, head of corporate and culture at the Afristar, said there is growing awareness among Kenyans of Chinese festivals due to deeper commercial and cultural ties between the two countries. Asienwa added that Kenyans really enjoy celebrating the Mid-Autumn Festival because it centers on giving thanks for harvests collected by farmers, which is also a common practice among African communities. Alexander Mwaniki, a student at the Confucius Institute, said the celebration helped him gain a deeper understanding of the Chinese language and culture that he learned in class. Joseph Njane, training officer at Afristar, who entertained the audience with Chinese songs together with other colleagues, said he enjoys music from the Asian country because they are rich in melody while spreading messages of social harmony. Damaris Mutinda, a construction dispatcher at Afristar, said there is rising popularity of the Chinese language among Kenyans because Chinese investments have created numerous job opportunities for locals. Students from the Confucius Institute at the University of Nairobi perform during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) People do a jigsaw puzzle during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) Kenyan students learn to make moon cakes during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) Students from the Confucius Institute at the University of Nairobi sing a traditional Chinese song during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) A student from the Confucius Institute at the University of Nairobi sings a Chinese song during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) Students from the Confucius Institute at the University of Nairobi perform during a Mid-Autumn Festival celebration in Nairobi, Kenya, on Sept. 13, 2024. Africa Star Railway Operation Company (Afristar), the operator of Kenya's Standard Gauge Railway (SGR), and the Confucius Institute at the University of Nairobi, Kenya's oldest university, on Friday partnered to host the upcoming Mid-Autumn Festival, one of China's most important traditional holidays. (Xinhua/Li Yahui) (Web editor: Zhang Kaiwei, Liang Jun) Nonprofit organization Don't Eat Friends launched a charity art sale on Thursday in Beijing, in collaboration with artist Ji Yali, to raise funds and awareness for animal welfare. The Chinese nonprofit animal protection organization frequently hosts art events, including concerts and exhibitions, to promote its message of protecting animals. Ji Yali, an ambassador for the organization, has been actively involved in urban stray animal rescue efforts since 2009 and is known for her animal-inspired paintings. In 2022, she published an illustrated book titled Guesthouse No.11 and donated all royalties to animal protection organizations. For this charity event, Ji contributed her paintings and related merchandise for sale. All proceeds will be donated to the charity organization to fund medical treatment and sterilization for stray dogs and cats. The initiative also aims to raise public awareness about stray animals and encourage more people to engage in animal welfare efforts. Recreational marijuana is almost ready for its 2025 debut in Minnesota. Before droves of eager buyers cross the border from Wisconsin, officials in towns along the Mississippi are planning out what it means for their communities. In 2023, Minnesota became the 23rd state to legalize recreational marijuana for people 21 years and older. Licenses and permits will begin rolling out January 2025 if the state stays on schedule. The newly-established Office of Cannabis Management has drafted guidelines to help municipalities craft their own rules on how businesses can set up shop. Cities and villages along the river have varied approaches to the departments guidance so far, with some considering more restrictions than others. Towns are not allowed to ban marijuana businesses entirely, but they can create rules on distance from public areas, hours of operation and application processes. Local governments may limit the number of retailers and businesses allowed as long as there is at least one retail location per 12,500 residents. Municipalities can also impose retail registrations and fees, but cannot alter the states tax revenue structure. The Cannabis Tax is 10% of gross receipts from taxable cannabis products sold in retail. From this tax, 20% goes to local governments and the rest to the state. Since Illinois started collecting cannabis taxes in 2020, it has collected just over $1 billion in revenue. Illinois holds a 10% for low-potency products (lower than 35% THC), 20% for cannabis infused products and a 25% tax on high-potency products (higher than 35% THC.) I still want my piece of the pie out of this for the city, La Crescent city council member Dale Williams said in a council meeting. I think that theres going to be some revenue thats going to be generated off of this for the time being, until Wisconsin decides to do something, or Iowa. Border towns take pause Some border towns have imposed temporary moratoriums on zoning decisions. With a moratorium in place, towns block cannabis businesses from applying for zoning until the states general application opens in early January. One of the main concerns for border towns is traffic. Officials in other parts of the country separating legal sales and use from prohibited states said they saw a influx of traffic when marijuana sales became legal in one of the states. This incursion poses some risks to border towns. A continued spike in traffic to unprepared areas could inhibit regular commutes, disrupt neighborhoods and increase crashes. Council members in La Crescent even worry that some will cross into Minnesota, consume their legally purchased THC products and attempt to drive back to Wisconsin under the influence. Some people are concerned about the long-term and health effects that go farther out, what that means for our community morally, said La Crescent mayor Mike Poellinger. On the philosophical side, as a city, we cant get involved in that, the morality. Other council members saw the business as similar to the alcohol industry. Liquor stores in La Crescent already offer low-potency hemp-derived cannabis products made legal under a federal farm bill. Caledonia city officials skipped the moratorium period and instead finalized cannabis rules in February 2023. Caledonia elected to approve businesses that are 500 feet away from schools and in one fixed location. Cannabis trucks or mobile stands are not allowed. THC products are not allowed to be sold after 10 p.m. or before 8 a.m. When I was 18, it was the reverse. See, Wisconsin, the legal age to buy alcohol was 18. So, we had Minnesota people going over the line all the time, Poellinger said. I think well definitely see the flip side of that happening here. Its a commodity and people will travel for it. Border jumping The state of Illinois sold $32.6 million in adult-use cannabis to out-of-state residents in June 2024. This made up 23% of total adult-use sales in the state that month. Sales from out-of-state residents have plateaued since April 2021, hovering around $35-$45 million each month. However, sales from in-state residents keep rising. In April 2021, in-state sales generated $79.9 million in revenue. In June 2024, the state brought in $109 million. Businesses in Minnesota and Wisconsin are gearing up for Minnesotas turn. While the dust settled in Illinois, theres a chance for Minnesota businesses to cement themselves into local markets and establish a place for regular tourism. Of course well see people jumping the border, so to speak, said Tree Huggers Cannabis co-owner Dillon Beyer. We have a lot of people tell us about the relief of not getting busted in the parking lot for buying cannabis for their health. Its a big deal for a lot of people to have normal access to this. Without a cemented date for Minnesota cannabis access, business owners are not jumping the gun to prepare for a license they might not get as early as they thought. Beyer is confident having shops set up in both Wisconsin and Minnesota gives the business an opportunity to be flexible and reach two unique markets. His greatest curiosity is around Minnesotas potential regulations on cannabis delivery businesses. According to the Office of Cannabis Management, 10 licenses for delivery companies will be considered in the preapproval round. Businesses work with hazy timeline Applications for pre-approved licenses closed Aug. 12. The Office of Cannabis Management set aside a process for businesses owned by veterans, people affected by previous cannabis laws, people in high poverty areas and smaller cannabis business owners. The process was intended to let disadvantaged people break into the industry before larger corporations set up shop. State officials received about 1,800 submissions for pre-approval. More than half of the applications came from outside of Minnesota. The department will have to narrow down the applicants to 282 before general applications can begin. Tree Huggers Cannabis recently applied for a pre-approved cannabis license. Beyer said the timeline is a bit hazy right now. The process theyre starting now with applications, it shouldve started a year ago, Beyer said. Were thinking the general application process will actually open closer to March 2025. The pre-approval lottery will go through a lot of discretion. Applications are dozens of pages long, and the state is working to verify information. With many applications coming from outside the state, officials got their work cut out for them in weeding out businesses that legitimately qualify for pre-approval versus larger entities looking to sneak in under false guises. Low-potency hemp-derived cannabis is already widely available across Wisconsin and Minnesota. The Winona Planning Commission reported 26 businesses are permitted to sell hemp-derived cannabis products in the city. Beverage companies such as La Crosse-based Sensi have exploded in the last year, and the product is even sold in 30 Kwik Trips around Wisconsin. The company regularly sells 15,000 cans of its popular orange cream soda in a week. Winonas inspections and police departments have reported zero cannabis-related complaints with the businesses since they arrived. Winona officials are also working to write zoning rules in preparation for cannabis licensing. The planning commission has identified schools, playgrounds, day cares and residential treatment centers as areas where cannabis businesses may not locate. Rapid changes New changes to the rules for current hemp licenses and future cannabis licenses are happening frequently in preparation for the full rollout of adult-use cannabis. Presently, hemp-derived products sold in Minnesota are required to have less THC than products sold in Wisconsin. Hemp business owners say theyre getting a lot of hurry up and wait direction from Minnesotas Office of Cannabis Management. Hemp businesses in Minnesota are no longer allowed to sell flower products until the licensing process takes off in January. Retailers looking to sell hemp flower will need to apply for different licenses. Other businesses arent following the rules as they come out, Beyer said. Itll get them cash in the short-term, but they can not expect to get a full license when the time comes. Were in it for a long haul. Many hemp businesses will need to change the looks of their storefronts if they are granted more expansive cannabis licenses. Windows need to be obscured, package labels need to add more warnings and security must be beefed up for retailers looking to add adult-use cannabis. The changes are already affecting prospective business owners such as former Minnesota Gov. Jesse Ventura. With his long career in wrestling, Ventura planned to use his likeness to promote his Jesse Ventura Farms line of cannabis products. The state ruled against this and said his wrestling persona and likeness will not be allowed in his marketing. Ventura broke into the low-potency cannabis business mid-March with hopes of expanding into adult-use cannabis once licenses in Minnesota roll out. The former governor has been a long-time proponent of cannabis legalization and was present when Gov. Tim Walz signed the bill in 2023. Were gonna make all the money that you get on fireworks, Ventura told the La Crosse Tribune in a June 15 interview. All the money we waste over there and give you on fireworks, were gonna recoup on cannabis. Will Wisconsin legalize it? By the end of the year, Wisconsin will share borders with three states that have fully legalized recreational cannabis. Iowa still criminalizes possession, but has legalized medical marijuana since 2014. Marijuana is still illegal medically and recreationally in Wisconsin. Cannabis businesses have made headway in Wisconsin since the federal 2018 Farm Bill was passed. The amended bill allowed for the production and sale of hemp-derived cannabis below 0.3% THC. The hemp plant is largely similar to marijuana, just with much less THC chemicals that are known for intoxicating marijuana users. By growing larger amounts of hemp and distilling the small amounts of THC into consumable products, businesses can create THC derivatives without growing the illegal marijuana plant. Some cannabis businesses have found success despite the tight constraints. An amendment to the Farm Bill could put an end to what some have called a loophole in the law. U.S. Rep. Mary Miller, R- Illinois, introduced a Farm Bill amendment that would make all cannabis products, regardless of potency or plant-base, illegal in states that have not established their own hemp and cannabis laws. Sensi President Dan Schmidtknecht said a change in the Farm Bill would limit what cannabis businesses and retailers can produce. I hear mixed reviews, but I think a lot will depend on just how the elections go this fall, Schmidtknecht said regarding the legalization of marijuana in Wisconsin. For now, were following the regulations as best we can. Wisconsin did not set up its own hemp department or regulator after the 2018 Farm Bill passed. Instead, state officials informed cannabis businesses to test their products with the U.S. Department of Agriculture. It still feels like were still, you know, three, four years away. But I hope its less. It seems silly when all the states around you go recreational and you dont, Schmidtknecht added. With a timeline for Minnesota licenses still not nailed down, the potential for a cannabis boom is still on the horizon. What wont change is the eagerness cannabis businesses along the Mississippi River have got set up and their capitalizing on a model that has produced billions in revenue for similar businesses in other states. What a terrific year for movies worth a grownups time! From theological horror to Vatican drama, besties plumbing the real meaning of friendship on a road trip to old friends facing mortality, the movies at this years Toronto International Film Festival are about discovery and transformation. Get ready to watch the latest, greatest films starring Ralph Fiennes, 61, Will Ferrell, 57, Jude Law, 51, Demi Moore, 61, Amy Adams, 50, and more. Here are the top 10 best 2024 films at TIFF in order of excellence: Members only Coco Van Oppens Photo 1. Dont Lets Go to the Dogs Tonight (release date to be announced) Schindlers List costar Embeth Davidtz, 59, directs, writes and costars as Nicola, the sexy, alcoholic mother of 8-year-old Bobo (Lexi Venter), a wild child growing up as a white farmers daughter in Rhodesia on the eve of a political upheaval and the countrys transformation to the Black-run Zimbabwe. With a whiff of Isak Dinesens Out of Africa, the drama adapted from Amanda Fullers bestselling memoir tells the moving, often comical, complicated story of social change and individual turmoil from a childs view. As the irresistible, cigarette-sneaking scamp Bobo, Venter delivers a career-launching performance that recalls those of child stars Tatum ONeal, now 60, Jodie Foster, 61, and Anna Paquin. 2. Will and Harper (in select theaters Sept. 13, on Netflix Sept. 27) To quote the song that Kristen Wiig, 51, wrote for her pals Will Ferrell, 57, and Harper Steele, 63, A friend is a friend is a friend. Ferrell and former Saturday Night Live head writer Steele were friends from Ferrells first day on the show in 1995. When Steele, a father of two, came out as trans at 61 in a moving letter to Ferrell, the pair decided to take a road trip together. Heading west from New York, they stop at the Grand Canyon, a dusty Oklahoma dive bar, a pro basketball game and a hostile Texas steakhouse. Along the way, they reconnect after a long absence in the pandemic, with Steele giving Ferrell a free pass to ask any question he wants about the transition of his friend. With cameos from Will Forte, 54, Molly Shannon, 59, Seth Meyers, 50, Fred Armisen, 57, Tina Fey, 54 and more, the road documentary has many surprises. Ferrell is truly open-hearted, and Steeles greatest transformation is one from self-hate to acceptance. Funny, heartfelt and unexpected, this film is a journey into understanding. 3. The Apprentice (in theaters Oct. 11) No ones opinion about Donald Trump will be changed by this juicy film thats pretty much ripped from 1980s New York Post and New York magazine headlines. All politics aside, Sebastian Stan rises to a new level of excellence as the films version of Trump, portrayed as a germophobic, arrogant opportunist haunted by his father Freds disapproval and his own callousness to his alcoholic, suicidal older brother. Jeremy Strong is superb as his Svengali, Roy Cohn, a lawyer who disregards the law and utterly lacks compassion which comes back to haunt Cohn when hes dying of AIDS and his now more powerful mentee stops taking his calls. Trump fans will likely loathe the film, and even foes may agree that it could have used a script with more overall tension and suspense. But what performances! As announced at a media conference in Beijing on Thursday, the upcoming 3rd Chinese Documentary Film Festival is set to take place from Oct 24 to 26 in Zhuhai, Guangdong province. The festival will be hosted by China Central Newsreel and Documentary Film Studio (Group), China Film Association, and the publicity department of Zhuhai. Cao Jun, deputy secretary-general of China Film Association, said in his speech that the annual film festival has become an important platform for Chinese-language documentary filmmakers from home and abroad to come together and seek common development. "It is my hope that the festival's third edition will create a grand cinematic event with Chinese characteristics, international vision and a sense of humanism, and become a spiritual home for Chinese-language documentary filmmakers to progress together, so that more excellent works will be seen, recognized and loved, contributing to telling Chinese stories well and enhancing the soft power of Chinese culture," Cao said. According to Zhu Qinxiao, deputy general manager of the China Central Newsreel and Documentary Film Studio (Group), this year's festival will expand its scope to incorporate stage productions, provide a showcase platform for more documentary films, and feature innovative activities to bring documentaries closer to the public. The festival has announced a diverse program of events, including an opening ceremony and keynote forum, a call for submissions of Chinese-language documentaries, a series of film screenings, panel discussions, new film promotions and gala ceremonies. This year's call for submissions officially opened on Thursday, and will run until Sept 30, inviting Chinese-language documentary production companies and filmmakers to submit works completed between Jan 1, 2022, and Sept 30, 2024. The festival also launched a short video and screenplay event that calls for entries on social media platforms from individuals and organizations. The submission event's promotional video, along with the festival's main poster and promotional videos, were released at the media conference. Rupneet Singh serves as the PMO Lead for Studio X INSWA, managing critical projects and ensuring seamless operations across the INSWA region. With a focus on efficiency, strategy, and execution, Rupneet plays a pivotal role in driving Studio Xs success within the dynamic and fast-paced industry. In conversation with Adgully, Rupneet Singh, PMO Lead for Studio X INSWA, speaks about the importance of strategic project management, operational efficiency, and innovation in driving success within creative industries. He highlights the role of leadership in fostering collaboration and adaptability, especially in fast-evolving sectors like media and advertising, optimizing processes to deliver high-quality results while meeting the unique demands of the industry, and more. How do you balance your diverse roles at Hogarth, and what are the key challenges that you face in juggling these responsibilities? Balancing my diverse roles at Hogarth involves a strategic approach that prioritizes effective communication, collaboration, and clear role definitions. Heres how I manage these responsibilities and address key challenges: Clear Role Definitions and Guardrails: To avoid overlap and confusion, I ensure that each role and responsibility is clearly defined. I establish guardrails and processes specific to each capability, which helps in maintaining clarity and efficiency in a cross-functional environment. To avoid overlap and confusion, I ensure that each role and responsibility is clearly defined. I establish guardrails and processes specific to each capability, which helps in maintaining clarity and efficiency in a cross-functional environment. Effective Communication Channels: Open communication is crucial for a smooth workflow. I address challenges related to communication by setting up regular check-ins and establishing structured communication channels. This ensures that all team members are aligned and can collaborate effectively, enhancing overall work quality. Open communication is crucial for a smooth workflow. I address challenges related to communication by setting up regular check-ins and establishing structured communication channels. This ensures that all team members are aligned and can collaborate effectively, enhancing overall work quality. Fostering Collaboration: Efficient work is often hindered by a lack of collaboration. To overcome this, I engage in proactive and sometimes challenging conversations to ensure that every team member is contributing effectively. Building strong relationships across the board is also essential for garnering support and improving collaborative By implementing these strategies, I effectively balance my diverse roles and navigate the challenges associated with managing multiple responsibilities. What does a typical day look like for you? Could you share the 5 to 7 key activities you prioritize in your daily routine? A typical day for me involves a structured approach to planning, coordinating, and overseeing tasks to ensure timely delivery. Here are the 5 to 7 key activities I prioritize in my daily routine: Daily Scrum Meetings: I lead daily scrum meetings with the team to plan and prioritize tasks based on client expectations and project requirements. Reviewing Priorities: I start my day by reviewing emails, checking project statuses, addressing pending requests, and handling any urgent tasks to ensure all priorities are aligned. Stakeholder Communication: I maintain clear and consistent communication with stakeholders, providing updates on planned work, key highlights, potential risks, and any changes to timelines. Cross-Functional Meetings: I coordinate and participate in meetings with cross-functional teams to ensure alignment and collaboration across various aspects of the project. Team Support: I provide guidance and support to project managers within my team, assisting them with strategies, tools, and methodologies to facilitate effective project management. By focusing on these activities, I ensure that all aspects of the projects are well-managed and that the team remains on track to meet our goals. What inspired you to pursue a career in project management? How did you prepare for this field alongside your other occupations, and what motivated you to choose this path? My inspiration to pursue a career in project management stems from a fascination with orchestrating diverse elements to achieve successful outcomes. I began my journey in project management as an intern, where I was exposed to the dynamic nature of the role and developed a keen interest in managing projects, teams, and processes. The challenges and opportunities presented by project management captivated me and fueled my passion for this field. To prepare for a career in project management while balancing other responsibilities, I focused on building a strong foundation of skills and knowledge. This involved pursuing relevant certifications, participating in industry workshops, and gaining hands-on experience through various roles. I also made a concerted effort to understand and implement effective processes, which enhanced my ability to manage projects efficiently. The motivation to choose this path was driven by my enthusiasm for executing work through well-defined processes and seeing projects come to fruition. The ability to guide a project from inception to completion, while navigating its inherent twists and turns, aligns perfectly with my professional goals and interests. Did you have any mentors or icons who have guided you in your career? How did they influence your journey? Rather than having a traditional mentor, I deeply engaged with the work of Dr Harold Kerzner, a renowned expert in project management. His influential books, including Project Management: A Systems Approach to Planning, Scheduling, and Controlling, have provided me with invaluable insights and strategies. Dr Kerzners approach to project management and his comprehensive understanding of planning and controlling have greatly shaped my career, offering guidance and enhancing my skills in managing complex projects effectively. How do you maintain a healthy work-life balance? What practices do you rely on to manage stress and ensure personal well-being? Achieving a healthy work-life balance is crucial, though it has not always been straightforward for me. What has proven beneficial is adopting a daily approach and dedicating time each day to plan effectively. This planning encompasses managing work tasks, practicing mindful eating, and adhering to a workout routine. I ensure I exercise at least four times a week. Recognizing that different strategies work for different individuals, I prioritize a solid eight hours of sleep, engage in occasional gaming, and enjoy peaceful drives to maintain my personal well-being. Could you share some key milestones or achievements in your career so far? How have these accomplishments shaped your professional path? As one of the youngest project managers at Builder.ai, I have successfully led multiple projects and managed a team of 20, while also engaging with various stakeholders. I have supported the business team in closing numerous deals and securing valuable clients, contributing to the firms revenue at prominent global events such as GITEX (Dubai), SLUSH (Finland), and Comex (Oman). I received notable recognition as the Fresh Force of the Year here at Hogarth and was highlighted as an outstanding performer by the brand, which significantly boosted my confidence and motivated me to perform at an elevated level. These experiences have demonstrated thatmy skills extend beyond traditional project management. I have leveraged my expertise in business development and people management, and consistent appreciation from leadership and clients has driven me to continually enhance my performance. How do you stay informed and updated in your role? Are there any specific resources or strategies that you rely on to keep up with industry trends? To stay informed and updated in my role, I prioritize structured learning and continuous professional development. This includes pursuing certifications such as Certified Scrum Master (CSM) and ongoing Project Management Professional (PMP) certification. I also actively engage in networking events and leverage platforms like LinkedIn to connect with industry professionals and stay abreast of emerging trends. Additionally, I follow relevant industry blogs on social media and read insightful self-help books, such as Atomic Habits, to enhance my personal and professional growth. At Hogarth, the culture of continuous learning is supported through internal training programs and a robust knowledge-sharing platform, which further contributes to my professional development. By integrating these resources and strategies into my routine, I ensure that I remain well-informed and adaptable to the ever-evolving landscape of my industry. What drives your passion for the diverse roles you hold, and how do you continue to grow and evolve, ensuring you remain relevant and successful in your field? My passion for the diverse roles I hold is driven by a deep commitment to continuous growth and a genuine interest in exploring various aspects of my field. Engaging with different challenges and responsibilities keeps me motivated and broadens my skill set and perspective. I believe that remaining in ones comfort zone can limit growth, so I actively seek opportunities to step outside of it. For example, transitioning from a technology-based industry to the advertising sector allowed me to expand my knowledge and discover new capabilities in process implementation and people management. This willingness to embrace new challenges and adapt to different environments helps me stay relevant and successful in my field. Also Read: Adobe Express launches feature updates in 8 Indian languages In Delhis political history, for the first time a sitting Chief Minister has gone to prison and has been released on bail. However, charges against him havent been dropped. This is not a matter of celebration, expressed BJP member Smriti Irani on Arvind Kejriwal being granted bail from the Honble Supreme Court. She was speaking at the ABP News' Shikhar Sammelan Haryana. I also believe that the facts brought forward in court based on which the agency has filed the case against him are shocking. It is ironical that when Arvind Kejriwal entered politics he came in as activist and had promised clean politics, Smriti Irani added Speaking about a recent controversy, Smriti Irani said, Daily a new trap is being created by the opposition. Yesterday, it was on Prime Minister Narendra Modi attending prayers for Lord Ganesha with the Chief Justice of India, so it meant that the Chief Justice carries a question mark. These are the same people who are today pleased with the decision of the Judiciary in Kejriwals case. Smriti Irani further remarked on Kejriwal, saying, As he climbed the political ladder, one never imagined that he would begin looting the countrys treasury. I feel that the ongoing investigation and the courts final decision will write the history of Arvind Kejriwals political contributions. With the bugle (bigul) of Haryana Vidhan Sabha elections sounded, the polity and politics of the state has warmed-up. Separating the meaningful from chaos, ABP News hosted the Shikhar Sammelan Haryana ahead of the upcoming State Legislative Elections 2024. The Shikar Sammelan features key political figures and thought leaders for insightful debates on the current political landscape of the region. With a focus on administrative challenges and prospects for growth, ABP News aims to provide a platform that promotes collaborative problem-solving among influential leaders. The discussions at the Shikhar Sammelan are aimed to shape the future direction of leadership and policies in Haryana. As a longstanding advocate for accountability and transparency in governance, ABP News continues to empower citizens with knowledge and insights through initiatives like Shikhar Sammelan, ensuring informed electoral decisions ahead of this important state election. Halder Group, a legacy manufacturer in the rice and edible oil industry, from West Bengal announced a rebranding initiative for its edible oil brand Odaana on the occasion of their centenary year. This initiative is in alignment with the brands philosophy of not compromising with the quality of the product and establishing a strong presence in the domestic market. The rebranding not only refreshes the brands image but also highlights the purity and wholesomeness that Odaana offers. The new packaging features the vowel "O" as a symbol of purity, tradition, and authenticity, aligning with the brand's ethos of delivering nutritious food to everyone while reflecting their commitment to QPP (Quality, Price, and Packaging). The packaging is designed to meet international quality standards, combining traditional aesthetics with a sleek, visually appealing look. It incorporates a QR Code for direct access to the companys website, ensuring a seamless digital experience. The company has introduced new retail pack sizes bottles and pouches of 450 gms and 850 gms to shake things up while complementing the existing formats like 1 L bottles, 500 ml bottles, pouches, and 15 kgs tins. Speaking on the rebranding initiative, Keshab Kumar Halder, MD & CEO of Halder Group, stated, As a part of our centenary year, we successfully launched the Odaana branded soyabean oil and sunflower oil in the Indian market. This marks a crucial step in our journey to diversify our product portfolio and cater to the evolving needs of health-conscious consumers. Our refinery facility in Siuri, Birbhum, West Bengal ensures we deliver the highest standards of quality and perfection, envisioning a Better India through the collective betterment of its people. We are dedicated to fostering a spirit of unity and prosperity, building a Better India for generations to come. The brand is currently rolling out its product in phases across East India. Marking their presence in Tier 1 and Tier 2 cities like Guwahati, Silchar, Shillong, Hazaribhag, Burdwan, Malda, Bolpur, and others. Simultaneously, it is planning to expand in more cities across the nation in the coming months. With the Halder Group celebrating its centenary year, it is undergoing significant changes, shifting from a legacy manufacturing company to making its debut in the retail landscape. They have recently unveiled a new company brand logo, which highlights key elements of the brands DNA, such as innovation, a forward-thinking vision, and a commitment to excellence. This logo rebranding conveys that the brand is not static but dynamic and adaptable, continuously evolving with the times. Congress Slams Noida District Magistrate Over 'Pappu' Remark on Rahul Gandhi 2 The Congress on Friday condemned an unacceptable comment directed at Leader of Opposition in the Lok Sabha, Rahul Gandhi, which appeared on the official X (formerly Twitter) handle of the Gautam Budh Nagar District Magistrate. The party demanded immediate action against the officer responsible for the post. Later, District Magistrate Manish Verma issued a statement through his X handle, claiming that his account had been misused by anti-social elements who posted the offensive comment. Taking this matter seriously, an FIR has been registered, and legal action is underway. The cyber cell is investigating the inappropriate tweet/comment, the statement read. A copy of the FIR was also posted on the X handle. Earlier, Congress general secretary in-charge of communications, Jairam Ramesh, called out the post, describing it as completely inappropriate and unacceptable. He expressed concern over the growing politicization of Indias bureaucracy over the last decade. This is not new; over the past 10 years, Indias civil service and other non-political officials have become increasingly politicized, Ramesh wrote on X. There is a concerted effort to weaken the civil service, which Sardar Patel once called the steel frame of India. This incident is yet another example of that trend, he added, demanding swift action against the officer. Congress spokesperson Supriya Shrinate also shared her disapproval on X. She posted a clip from a conversation with a historian, in which she remarked, History is made and cannot be changed. Narendra Modi knows how history will remember him, and thats why he is worried. In response, a now-deleted post from the official X handle of the Gautam Budh Nagar District Magistrate said in Hindi, You should think about yourself and your Pappu. Shrinate later shared a screenshot of the deleted post, stating, This is the DM of Noida, responsible for the entire district. His language and thoughts about the countrys opposition leader, Rahul Gandhi, are concerning. She further claimed, It is evident that the administrative staff is full of Sanghis, spreading hatred while occupying constitutional positions. Congress leader Pawan Khera also criticized the post, questioning if IAS officers had been directed to make such political comments under the BJP government. He tagged Uttar Pradesh Chief Minister Yogi Adityanath and the Ministry of Home Affairs in his tweet. The post came from an X handle that is not verified, though it claims to be the official handle of the Gautam Budh Nagar District Magistrate. Congress has called for a thorough investigation into the incident and demanded accountability for the misuse of the official platform. The picture shows a view of the People's Bank of China. [Photo/Xinhua] China's central bank said Friday that it will maintain its monetary policy support to contribute to economic growth, as financial data continued to show steady growth in August, according to a statement published on the website of the People's Bank of China. The central bank said the growth rate of M2, a broad measure of money supply that covers cash in circulation and all deposits, has been relatively stable, with a 6.3 percent year-on-year growth to reach 305.05 trillion yuan (about 43 trillion U.S. dollars) at the end of August. According to the data released by the central bank, the outstanding balance of social financing reached 398.56 trillion yuan by the end of last month, growing by 8.1 percent year on year. During the period, outstanding yuan loans totaled 252.02 trillion yuan, marking an increase of 8.5 percent year on year. The central bank said the steady growth momentum of the data has formed solid support for the real economy. The credit structure has continued to improve, with loans increasingly flowing toward major strategies, key areas and weak links, the central bank said, noting that this has effectively supported the acceleration of economic structural optimization. In the next step, the central bank will introduce more flexible and targeted monetary policies, while strengthening the intensity of regulation. Favorable policies will be introduced to further reduce enterprises' financing costs and residents' loan costs, and maintain a reasonably ample level of liquidity, the central bank said. How Crucial Is the Waqf Board Amendment Bill 2024? 2 The Waqf Board Amendment Bill 2024 is a significant step towards improving the efficiency and transparency of Waqf management in India. It introduces key reforms, including the adoption of digital technology for enhanced record-keeping, streamlining the appointment process for Waqf board members, and implementing strict penalties for violations to ensure greater accountability. By strengthening governance and modernizing operations, the bill aims to protect Waqf properties from encroachment, boost revenue generation, and ultimately benefit the Muslim community by ensuring that Waqf assets are managed more effectively and transparently. The Waqf (Amendment) Bill, 2024, introduced in the Lok Sabha on August 8, 2024, amends the Waqf Act, 1995, which governs Waqf property in India. Waqf refers to the endowment of property for pious, religious, or charitable purposes under Muslim law. The bill renames the Act to the United Waqf Management, Empowerment, Efficiency, and Development Act, 1995. It states that any government property identified as Waqf will no longer be considered as such, with the areas Collector determining ownership in case of doubt. The bill also removes the Waqf Boards authority to inquire and decide if a property is Waqf. The amendment introduces stricter regulations on managing Waqf properties to ensure they are used appropriately for their intended charitable or religious purposes. It aims to address issues of misuse or illegal encroachment, preventing exploitation for personal gain. By tightening control, the bill seeks to clarify legal ambiguities related to Waqf properties, making dispute resolution simpler and ensuring uniform application of the law across regions. If provisions for modernization, such as allowing commercial use under specific conditions, are included, the amendment could generate new revenue streams to support charitable causes. The Act allows the creation of Waqf through: (i) declaration, (ii) long-term use (Waqf by user), or (iii) endowment after the succession line ends (Waqf-alal-aulad). The bill amends this by stating that only a person practicing Islam for at least five years and owning the property may declare a Waqf. It removes Waqf by user and ensures Waqf-alal-aulad does not deny inheritance rights, including those of women heirs. The bill transfers the responsibility for Waqf surveys from Survey Commissioners to Collectors, with pending surveys to be conducted under state revenue laws. The Central Waqf Council, which advises governments and Waqf Boards, remains chaired by the Union Minister for Waqf. While the current Act requires all Council members to be Muslims, with at least two women, the bill mandates that two members must be non-Muslims. MPs, former judges, and eminent appointees no longer need to be Muslims, though Muslim representatives must include (i) Muslim organizations, (ii) scholars in Islamic law, and (iii) chairpersons of Waqf Boards, with two women among them. The Act provides for the election of up to two members from electoral colleges of Muslim MPs, MLAs, MLCs, and Bar Council members to the Waqf Board. However, the bill empowers the state government to nominate one person from each of these categories to the Board, and they need not be Muslims. Additionally, the Board must include: (i) two non-Muslim members, (ii) representation from Shias, Sunnis, and Backward classes of Muslims, and (iii) members from Bohra and Agakhani communities if applicable in the state. The requirement for two Muslim women members remains. Regarding Tribunal composition, the bill modifies the Acts provisions. Instead of including a Muslim law expert, the Tribunal will consist of: (i) a current or former District Court judge as chairman, and (ii) a current or former state officer of joint secretary rank. The bill also allows appeals on Tribunal orders to the High Court within 90 days, whereas the Act prohibits appeals and provides finality to Tribunal decisions. Further, the bill gives the central government the authority to make rules for Waqf registration, accounts, and board proceedings, and to audit Waqf accounts through the CAG. It also allows the establishment of separate Waqf Boards for Bohra and Agakhani sects, in addition to Sunni and Shia boards. The opposition is criticizing the Waqf (Amendment) Bill for interfering in religious affairs, arguing that it imposes unnecessary bureaucratic control over Waqf Boards. The bills provisions, which limit the boards ability to manage themselves independently, are seen as an encroachment on religious autonomy. Critics argue that the amendments, particularly the governments increased role in appointments and audits, undermine the boards self-governance and infringe on the freedom to manage religious endowments without external interference. This has sparked concerns about excessive government oversight in matters traditionally handled within the community. Indian Immunologicals Signs Pact for Clinical Development of Zika Vaccine 2 Indian Immunologicals Limited (IIL), a leading vaccine manufacturer, has entered into a Memorandum of Agreement (MoA) with the Indian Council of Medical Research (ICMR) for the clinical development of a Zika virus vaccine. According to the agreement, ICMR will fund the Phase I clinical trials, covering expenses related to the trials conduct, investigations, and monitoring. These trials will take place at ICMR network sites across India. Dr. K Anand Kumar, Managing Director of IIL, expressed pride in the collaboration with ICMR, highlighting IILs significant role in helping India achieve self-sufficiency in vaccine production. He emphasized the importance of developing affordable, safe, and effective vaccines to protect people from emerging diseases. Dr. Kumar also noted that IILs work on novel vaccine platforms, including codon de-optimized viral vaccines, is progressing well. ICMR Director General Dr. Rajiv Bahl praised the collaboration as an important step towards Indias goal of becoming self-reliant in healthcare (Atmanirbhar Bharat) and advancing towards a developed nation (Viksit Bharat). Dr. Bahl emphasized the value of ICMRs Phase I trial network, launched in 2023, which enables early-stage safety studies for innovative and affordable medical technologies in India. The network includes four fully operational Phase-I trial sites: ACTREC Mumbai, KEM Hospital Mumbai, SRM Chennai, and PGIMER Chandigarh. Dr. Priyabrata Pattnaik, Deputy Managing Director of IIL, said that the company is actively working on vaccines for several emerging viral diseases, including Zika, Kyasanur Forest Disease (KFD), Chikungunya, and a SARS-CoV-2 intranasal booster. He mentioned that IIL partnered with Griffith University in Australia to develop a codon de-optimized live-attenuated Zika vaccine, which has completed pre-clinical evaluations. The vaccine has also received permission from Indian regulatory authorities to proceed with clinical development. Zika virus is primarily transmitted by Aedes mosquitoes but can also be spread during pregnancy, through sexual contact, blood transfusions, and organ transplants. While the disease is usually mild and does not require specific treatment, it can cause serious complications if contracted during pregnancy, including microcephaly and other birth defects. Additionally, some cases may result in Guillain-Barre syndrome, a neurological disorder. As of July 22, 2024, India has reported 537 Zika cases across multiple states. Currently, no vaccine is available for the prevention of Zika virus. Maharashtra Shifts Eid-e-Milad Holiday in Mumbai to Prevent Overlap with Ganpati Immersion 2 The Maharashtra government has shifted the Eid-e-Milad holiday in Mumbai, moving it from September 16 to September 18. This decision, revealed through an official notice on Friday, came after requests from Muslim MLAs and organizations who wanted to prevent any overlap with Ganpati immersion ceremonies. The notification said, Among the 24 public holidays declared by the State Government, Eid-e-Milad was originally set for Monday, September 16, 2024. Eid-e-Milad is a significant Muslim festival, often celebrated with processions. As the Hindu festival of Anant Chaturdashi falls on Tuesday, September 17, 2024, the Muslim community has chosen to hold their procession on Wednesday, September 18, 2024. As a result, the public holiday for Eid-e-Milad is now scheduled for Wednesday, September 18, 2024, instead of Monday, September 16, 2024. In areas outside Mumbai, district collectors will decide whether to keep the holiday on September 16 or shift it to September 18, depending on local circumstances. The goal of this change is to preserve peace and social harmony during the coinciding festivals. Typhoon Yagi Leaves 254 Dead, 82 Missing in Vietnam 2 Typhoon Yagi, along with resulting landslides and floods, has claimed the lives of 254 people, with 82 still missing in Vietnams northern region, according to the Ministry of Agriculture and Rural Development. The provinces of Lao Cai, Cao Bang, and Yen Bai have been the most severely affected, with fatalities reaching 111, 43, and 49, respectively, as reported by Xinhua News Agency on Friday. In Hanoi, the floodwater levels of the Red River have dropped below the first alert level, out of three, according to the citys Steering Committee for Disaster Prevention, Control, Search, and Rescue. Evacuated residents have started returning to their homes, and clean-up efforts have begun in flood-affected areas. The northeastern province of Quang Ninh has launched a three-day clean-up campaign to restore the storm-damaged UNESCO World Heritage site of Ha Long Bay. The heritage site resumed normal tourist boat operations on Friday, almost a week after being severely impacted by the typhoon, as reported by the Vietnam News Agency. The Vietnam Fatherland Front announced that as of Friday noon, its relief fund had received 775.5 billion VND ($31.5 million) in donations from people across the country to assist those affected by Typhoon Yagi. The UN childrens agency, UNICEF, warned that hundreds of thousands of children have lost their homes and lack access to clean water, sanitation, and healthcare. Nearly two million children have been left without access to education, psychosocial support, or school meal programs, as schools have been damaged and are facing power and water shortages, the agency added. West Bengal CM Steps In to Resolve RG Kar Medical College Standoff 2 The West Bengal government has invited 15 representatives of junior doctors for a meeting with Chief Minister Mamata Banerjee at her residence on Saturday at 6 pm. The meeting aims to address and resolve the ongoing standoff at RG Kar Medical College. The invitation was extended through a letter written by Chief Secretary Manoj Pant, emphasizing the need to address the challenges faced by the junior doctors. This decision to hold discussions comes shortly after CM Banerjee made a surprise visit to the demonstrators at Swasthya Bhavan, the state health departments headquarters. Sometimes when youre really hungry, nothing hits the spot like a sandwich. Thats why This is Alabama recently asked the followers on its Facebook page to tell them about which sandwich shop is their go-to when the craving hits. They got responses from all over the state ranging from shops offering Southern favorite to deli classics and everything in-between. You can read about 12 of the sandwich shops mentioned below, and you can read all of the replies (and share yours) on the This is Alabama Facebook page. A muffaletta served at Diplomat Deli in Vestavia Hills, Ala. (Photo provided by Diplomat Deli)(Photo provided by Diplomat Deli) Diplomat Deli Vestavia Hills The Diplomat Deli has been serving up a wide selection of classic and unique sandwiches to the Birmingham area since 1982, and its accrued quite the following in the process. Open for lunch everyday and dinner on weekdays, The Diplomat Deli in Vestavia Hills offers a full menu of sandwiches ranging from a pimento cheese BLT to a muffulettas, Philly cheese steaks, clubs and more. Theres even a classic peanut butter and jelly up for grabs. Wildwood Deli Athens At Wildwood Deli, you can score fresh, made-to-order specialty sandwiches and traditional deli fare in the heart of downtown Athens. Some of its menu selections include Southern favorites like chicken salad, BLTs and pimento cheese sandwiches as well as signature offerings like The Wild One sandwich, which consists of your choice of ham, turkey, pastrami or corned beef topped with sauteed bell peppers and onions, banana peppers, pepper jack cheese, mayo and spicy brown mustard. You can also find salads, soups and delicious desserts, like classic strawberry pretzel salad, on their menu as well. The Mill Street Deli in Boaz, Ala., is known for its wide selection of sandwiches. (Photo provided by The Mill Street Deli)(Photo provided by The Mill Street Deli) The Mill Street Deli Boaz In business since 1986, The Mill Street Deli in Boaz knows how to make a good sandwich. Whether youre craving a classic Reuben, French dip roast beef, BLT, chicken salad, pimento cheese or something else entirely, theres a good chance youre going to find it on their menu. If you cant decide, we suggest you go for The Mill Street Club. Served on three pieces of toasted white bread with turkey, ham and bacon alongside Swiss and American cheese, mayo, honey mustard, lettuce and tomato, itll definitely fill you up. 5 Points Deli & Grill Montgomery In Montgomery, Five Points Deli and Grill has garnered a reputation for its massive handcrafted burgers, but theres plenty of other offerings on their menu worth trying too. In addition to its many specialty burgers, the deli has lots of one-of-a-kind sandwiches starring their smoked meats. One such sandwich is The Ziggy, which consists of smoked ham and shredded prime chuck roll on grilled sourdough bread before being topped with spicy sweet dill pickles, Swiss cheese, mustard and cracked black pepper horseradish sauce. Feeling hungry yet? The Butchers Daughter Bakeshop & Market in Enterprise, Ala., is a favorite sandwich shoppe for many. (Photo provided by The Butchers Daughter Bakeshop & Market)The Butchers Daughter Bakeshop & Market The Butchers Daughter Bakeshop & Market Enterprise When This is Alabama asked their followers about their favorite sandwich shops, The Butchers Daughter Bakeshop & Market came up early and often, and with one look at their menu, its pretty easy to see why. The Enterprise eatery offers up a wide range of signature sandwiches, like the Hal sandwich pictured above that features Cajun turkey, capicola, mortadella, Swiss cheese, sauerkraut, garlic aioli and its Da Dip sauce, in addition to classics like Cubans, Philly cheese steaks, chicken salad, Banh Mi and more. Bates House of Turkey Greenville Bates House of Turkey in Greenville has been in business since 1969 and is known for being a popular stop for travelers and locals alike. Its menu has an array of unique dishes featuring fresh turkey from their free-range farm, and the sandwiches are certainly included in that. Whether youre craving barbecue turkey, an all turkey club, turkey salad, roasted turkey or their famous hickory smoked turkey sandwich, youre bound to leave filling full and happy. Plus, when youre not in the mood for sandwiches, theyve got plenty of other selections, from gumbo to a full Thanksgiving spread. Kool Korner Sandwiches Vestavia Hills For sandwich lovers in the Birmingham area and beyond, Kool Korner Sandwiches in Vestavia Hills is an institution. Open for lunch Monday through Saturday, the popular eaterys classic Cuban sandwich, which consists of pork roasted Cuban-style, ham, Swiss cheese, mayo, mustard and dill pickles on Cuban-style bread, was named the best sandwich in Alabama on Yelp last month. In addition, youll also find plenty of other noteworthy sandwiches, soups, tamales, empanada and more on its menu. READ MORE: This is Alabamas best sandwich, according to Yelp The pizza sub from Stanlieo's Sub Villa. (Matt Wake/mwake@al.com)Matt Wake Stanlieos Sub Villa Huntsville Open since 1971 and with two locations in Huntsville, Stanlieos has become a favorite for those days when a sandwich craving hits. Their menu offers a wide selection of sub sandwiches featuring everything from a meatball sub to a turkey and Swiss, but the most popular pick is the kitchen sink sub, which comes topped with seven different types of meat and three different kinds of cheese dressed with Stanlieos signature onions, pickles, and tomatoes with their crushed pepper mix on the side. Payne's Soda Fountain & Sandwich Shop, which celebrates its 150th year in business in 2019, began as the W.H. Payne Drug Co. in 1869. (Bob Carlton/bcarlton@al.com) Paynes Soda Fountain & Sandwich Shop Scottsboro Many sandwich shops and delis in Alabama have a long history, but youre unlikely to find one thats been in business 150 years -- except for, of course, Paynes Sandwich Shop and Soda Fountain in Scottsboro. Among its menu offers are plenty of classic like pimento cheese, BLTs, wraps and more as well as signature items like the massive Broad Street Dagwood, which comes with roast beef, turkey, ham, bacon, Swiss and American cheeses on three pieces of white bread ad topped with lettuce, tomato, mayo and pickle. Whatever you order, just be sure to save room for dessert too. READ MORE: 150 years of memories at Alabamas oldest soda fountain A hot dog "all the way" with mustard, onion, kraut and Sam's special sauce at Sam's Super Samwiches in Homewood, Ala.(Bob Carlton/bcarlton@al.com) Sams Super Samwiches Homewood For more than 50 years, Sams Super Samwiches in Homewood has been serving up its take on hot dogs and hamburgers as well as breakfast and specialty sandwiches to hungry diners in the Birmingham area, and many of them have kept coming back for seconds (and thirds). Regardless of whether youre craving a Philly cheese steak, club, cheeseburger or one of their special hot dogs that comes with ground beef, mustard, onion, sauerkraut and sauce, theyve got it covered. READ MORE: Alabamas best hot dogs Scott Street Deli, which dates to the early 1900s, is one of the oldest businesses in Montgomery, Ala.(Bob Carlton/bcarlton@al.com) Scott Street Deli Montgomery The Scott Street Deli is an institution in Montgomery and a must-stop when it comes to lunch. Included on its menu is the delis take on old favorites on freshly-made bread, like a Reuben, chicken salad, bologna and cheese, corned beef, tuna salad, turkey, ham and many other fully-loaded sandwiches. One of its most popular sandwiches at the deli, which is only open on weekdays for lunch, is the Italian, consists of Genoa salami, pepperoni, ham and Provolone cheese on French bread. READ MORE: The little Alabama deli where everybody knows your name The pork chop sandwich from RC Street Eats in Lineville, Ala. (Photo provided by RC Street Eats)RC Street Eats RC Street Eats Lineville In Linevile, RC Street Eats and Coffee Shop is a great spot to stop when youre feeling hungry thanks to the wide array of sandwiches and more youll find on its menu. Among some of the popular picks out of the food trucks lunch offerings are the pork chop and smoked brisket sandwich, but theres also plenty other dishes -- from salads to chicken and waffles, cheeseburgers, Philly cheesesteaks, barbecue and so much more -- up for grabs too. Plus, theres often hearty breakfast items, like gravy-smothered porkchops and biscuits, to pair with your coffee in the mornings. Tropical Storm Ileana made landfall on the coast of the Mexican state of Sinaloa Saturday a day after it pounded the resort-studded Los Cabos. The tropical storm formed Thursday off Mexicos Pacific coast and was packing winds of of 40 mph (65 kph) as it moved ashore Saturday, the Miami-based National Hurricane Center said. It made landfall near the coastal city of Topolobampo and was moving north at 6 mph (9 kph). Forecasters say Ileana will churn over the coastal region of northern Sinaloa during the next several hours, weakening into a tropical depression, and then move over the Gulf of California roughly parallel to the coast on Sunday. On Friday, a warning had been in effect for portions of the Baja California Peninsula, including Cabo San Lucas and San Jose del Cabo. Juan Manuel Arce Ortega, from Los Cabos Civil Protection, said the municipalities of La Paz and Los Cabos had suspended classes in schools due to the storm. Authorities prepared 20 temporary shelters in San Jose del Cabo and Cabo San Lucas, according to Los Cabos Civil Protection. At the Hacienda Beach Club and Residences in Cabo San Lucas, valet worker Alan Galvan said the rain arrived late Thursday night and has been constant. The rain isnt very strong right now, but the waves are choppy, he said. The guests are very calm and already came down for coffee, Galvan said. Theres some flights canceled but everything is ok at the moment. The rain remained consistent through Los Cabos Friday afternoon, with several roads flooded and some resorts stacking up sandbags on their perimeters. Some people were still walking around boat docks with their umbrellas. The priority has to be safety, starting with the workers. We always have to check on our colleagues who live in risk areas, said Lyzzette Liceaga, a tour operator at Los Cabos. Ileana was the only active tropical storm in the National Weather Services Eastern Pacific basin on Friday. In the Atlantic basin, post-tropical cyclone Francine was bringing heavy rain to parts of the southern United States, and Tropical Storm Gordon formed on Friday in the Atlantic Ocean, with forecasters saying it is expected to remain over open water for several days. This is a guest opinion column During campaign season, most state legislatures are out of session, allowing our elected leaders to meet with their constituents and conduct their re-election campaigns. Meanwhile, back at the statehouse, staff can plan for next years legislative session, meeting with business leaders and other interested parties to get a jump on the new year. Frequently, these unofficial gatherings are where the real work gets done. Such was the case recently in Montgomery, where an unofficial legislative study group met in late August to discuss prescription drug costs. Some feathers were ruffled by those not on the invite list, of course, but you can guarantee that the interests of the big pharmaceutical companies were well-represented. That is terrible news for all Alabamans. Big Pharma casts a wide net to protect its bottom line, both in Alabama and at the national level. No meeting is too small or legislator too unimportant for them to engage, knowing that their relentless effortsand generous campaign donationswill get them the access they need. All this work is done for one reason to increase their profits. One constant thorn in Big Pharmas side has been Pharmacy Benefit Managers (PBMs), entities contracted by businesses of all sizes to negotiate lower drug prices. PBMs use bulk pricing discounts on behalf of multiple employers to force drug companies to lower prescription drug costs for their clients, including the health plan that my business employs. However, lower prices are just one benefit that PBMs offer. PBMs also create and empower retail pharmacy networks, help my employees maximize prescription drug benefits, develop lists of covered medications, and our process all pharmacy claims. These critical healthcare intermediaries are a one-stop shop, simplifying a confusing and time-consuming process. The leverage PBMs have produce actual savings for the consumer and helps small businessmen like me offer my employees a broader package of benefits. Significant studies, including one by the U.S. Government Accountability Office, have confirmed the value of PBMs in saving money on needed prescription drugs. Some estimates predict PBMs will save consumers hundreds of billions of dollars over the next decade. With the cost of healthcare spiraling out of control, that is real money. Of course, with that type of money on the line, Big Pharma is working hands in glove with our lawmakers to eliminate PBMs. The drug companies are fanning out to our state capital and to our congressional representatives offices in Washington, D.C., to protect and enhance their profits. That is why the Alabama statehouse introduced HB 238 in February. This bill, strongly supported by Big Pharma, would have imposed burdensome regulations on the operation of PBMs in Alabama, including an absurd $10 tax per prescription filled, which would cause prices to rise and businesses like mine to have no choice but to cut employee benefits. Commendably, the statehouse rejected this bill. It knew that it was nothing more than an effort to help Big Pharma laugh all the way to the bank at our expense. But with the legislative sponsor of the legislation participating in last months healthcare legislative study group, its likely that the bill will make a comeback next year. We cant have this. Healthcare is a concern for all Alabamans. Forbes ranked the Yellowhammer State as having the second-worst healthcare system in the country. Keeping costs competitive should be a greater priority than trying to increase drug company profits. With so much at stake for the future of our state, our elected leaders need to tell Big Pharma to stand aside and ensure that the voices of those who want to keep healthcare affordable are heard loud and clear. As the famous saying goes, if youre not at the table, then youre on the menu. Holding down the cost of prescription medication should be at the table, not on the menu for Big Pharma to feast on. Frank Granberry is owner and operator of Granberry Farms, located in Henry County, Alabama. The inauguration ceremony of the United Arab Emirates Pavilion at 2024 CIFTIS, Beijing, Sept. 13, 2024. [Photo provided to China.org.cn] At the 2024 China International Fair for Trade in Services (CIFTIS), currently underway in Beijing, the United Arab Emirates (UAE) aims to explore new cooperation opportunities and celebrate four decades of prosperous relations with China. CIFTIS is the largest global exhibition of its kind in the world, and the event serves as a key platform to accelerate global trade, enhance international commercial and economic cooperation, and strengthen trade and economic relations. The UAE's participation included the inauguration of its national pavilion, attended by Khaled Al Shehhi, charge d'affaires at the UAE Embassy in Beijing; Abdulrahman Al Muaini, assistant undersecretary for Intellectual Property at the Ministry of Economy; Guo Huaigang, chairman of the China Council for the Promotion of International Trade Beijing; the UAE Delegation; as well as leaders from departments in Beijing, alongside representatives from relevant institutions and enterprises. On the sideline of CIFTIS, during the UAE-Beijing Economic Forum under the theme "Forty Years of Prosperity," Al Shehhi, said, "This event represents an important platform to deepen our bilateral relations and explore new areas of cooperation. The UAE remains committed to working closely with China to strengthen our shared economic interests and solidify the cultural and social ties between our peoples. We are confident that this partnership will continue to grow and thrive, bringing more progress and prosperity to both nations." Khaled Al Shehhi, charge d'affaires of the UAE Embassy in Beijing, speaks at the UAE-Beijing Economic Forum, Sept. 13, 2024. [Photo provided to China.org.cn] The forum attracted more than 120 participants from various industries, along with experts and prominent figures who delivered keynote speeches during the event. He added, "As we celebrate the 40th anniversary of diplomatic relations between the UAE and the People's Republic of China this year, our participation in the CIFTIS reaffirms our deep commitment to developing strong and sustainable economic partnerships. Our national pavilion reflects the UAE's ambition to enhance its role as a bridge for international cooperation, showcasing our capabilities in key sectors and seeking to develop new commercial relationships that contribute to mutual prosperity." The UAE Pavilion features a wide variety of sectors, including business, communications, manufacturing, finance, aviation, culture and tourism, reflecting the dynamic and diverse nature of the country's economy. Notable participants include the Abu Dhabi Department of Economic Development and Tourism, Dubai Department of Economy and Tourism, Al Foah, Halawa, Emirates Airline, Etihad Airways, Yahsat, Emirates Global Aluminium (EGA), Borouge, Mubadala and First Abu Dhabi Bank. The UAE's participation in CIFTIS underscores its commitment to strengthening international trade relations and highlights its strong and growing partnership with China, which is the UAE's largest trading partner globally. The UAE and China have continued to expand their cooperation within the framework of their comprehensive strategic partnership. The UAE is now China's largest non-oil trading partner in the Middle East and North Africa region, with non-oil trade reaching $82 billion in 2023. The trade volume has grown approximately 800-fold since diplomatic relations were established, with the goal of reaching $200 billion by 2030. Another indication of the strong and thriving partnership between the two countries is the high degree of bilateral investment. At present, the UAE accounts for 47.7% of China's total investments in the Arab world, while UAE investments represent 33% of total Arab investments in China. A longtime state resident born in Florida and naturalized citizens are among the victims of Alabama Secretary of State Wes Allens effort to remove noncitizens from the voter rolls, according to a lawsuit they and others filed Friday in federal court in Birmingham. Allen announced last month that 3,251 registered voters were issued noncitizen identification numbers, prompting him to tell boards of registrars in Alabamas 67 counties to immediately take steps to remove any non-citizens from the voting rolls. It is illegal for people who are not citizens to register to vote in Alabama. A federal law enacted in 1996 makes it a crime for non-citizens to vote in federal elections. Allen sent the list of registered voters who received a non-citizen identification number to Alabama Attorney General Steve Marshall -- who is also being sued by the plaintiffs -- for further investigation and possible criminal prosecution. Allen said the U.S. government declined his repeated requests for a list of non-citizens currently living in Alabama. The Campaign Legal Center, Fair Elections Center, and Southern Poverty Law Center filed the lawsuit on behalf of James Stroop, who was born in Melbourne, Florida and has lived in Alabama since 1978; Roald Hazelhoff, a native of the Netherlands who became a legal permanent resident roughly 10 years ago and became a U.S. citizen in 2022; Carmel Michelle Coe, a native of England who moved to the United States after marrying her American husband in 1979 and became a U.S. citizen in 2021; and Emily Asplund Jortner, a Canadian-born woman who first came to the United States on a student visa in 1992 and became a U.S. citizen in 2022. The plaintiffs, which refer to Allens plan as the Purge Program, say the effort is burdensome, unnecessary, and discriminatory. It subjects registered, eligible voters identified under the Purge Program to intimidation in the form of a letter threatening them with unjustified criminal 61 prosecution and requires them to re-register under the Re-Registration Process in order to vote and be on the voter rolls, the suit alleges. The lawsuit accuses Allen of violating the National Voter Registration Act (NVRA) and the 14th Amendments Equal Protection Clause. No American citizen should be denied their freedom to vote, and all Americans have the same freedom to vote regardless of where they were born. Instead of protecting Americans freedom to vote in the November election, Alabama is shamefully intimidating naturalized citizens and illegally purging qualified Americans from voter rolls, Campaign Legal Center Senior Vice President said in a statement. Our local election officials work hard to make sure only American citizens can vote. In practice, voter purges like what we are seeing in Alabama target naturalized citizens and prevent qualified Americans from exercising their right to vote. Our democracy works best when every American can participate without fear, and CLC will continue to fight for Americans freedom to vote. A spokeswoman for Allen said the office had not been served with the lawsuit as of Friday night. As a rule, the office does not comment on pending litigation where the secretary is a named defendant, she said. Stroop and Hazelhoff, the lawsuit claimed, received letters from Allens office that their voting registrations were deactivated and placed on a path for removal from the statewide voter list because they were previously issued noncitizen identification numbers. In Stroops case, according to the suit, he was never issued such a number; while filing for unemployment assistance in 2021, he accidentally checked a box indicating he was a noncitizen. When the state Labor Department mailed him a letter about the form, he gave them a copy of his birth certificate to correct the error. In 2022, the department told Stroop the error was corrected. As instructed by Allens letter, Stroop reapplied to vote since he was a U.S. citizen, but he has doubts whether his vote will be counted in November, according to the suit. While he was told verbally by the Marshall County Board of Registrars that his voter status is currently active, in light of the ongoing confusion over his citizenship and voter registration statusdespite assurance years ago from the state of Alabama that the error regarding his citizenship status had been correctedPlaintiff Stroop remains uncertain that he will be registered to vote on Election Day, the lawsuit stated. Plaintiff Stroop has received no written confirmation that he is an active registered voter in the State of Alabama or that the error regarding his citizenship status has been resolved. In Hazelhoffs case, he also filed for unemployment in 2018 or 2019 while legally working in Alabama -- before he was a U.S. citizen. According to the lawsuit, Hazlehoffs citizenship status was not updated. Plaintiff Hazelhoff intends to vote in the 2024 general election. Although he is presently listed as active on the voter registration rolls, he remains uncertain whether he will be able to vote on November 5, 2024, the suit contends. Plaintiff Hazelhoff is further concerned and worried by Secretary Allens referral of him to the Attorney General for criminal investigation despite the fact that he has not violated the law. Coe and Jortner have not received a letter from Allen but will likely be targeted by the Purge Program because they have previously received a noncitizen identification number, the suit says. In addition to the individual plaintiffs, the lawsuit was filed on behalf of the Alabama Coalition for Immigrant Justice, the Alabama State Conference of the NAACP, and the League of Women Voters of Alabama. Secretary Allens actions are not making our elections any safer; instead, they are inactivating lawfully registered voters from the rolls and unnecessarily causing fear and intimidation, said Kathy Jones, president of the League of Women Voters of Alabama, in a statement. Alabama voters need to know that the League is here to fight for them and is committed to ensuring all voters have the opportunity and accurate information to exercise their right to vote. Bernard Simelton, president of the Alabama NAACP, said the organization is again dismayed by the Alabama Secretary of State efforts to disenfranchise voters. We know that this is a nation wide effort to provide excuses for certain candidates to use if they lose the elections on November 5, he said in a statement. We are committed to doing all that we can to ensure that every voter votes and that every vote is counted despite what obstacles are put in our path. English News China works to better protect global bird migration routes Alwihda Info | Par People's Daily - 14 Septembre 2024 How can people effectively manage the ecosystems around them, like protecting and managing the Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China, by utilizing their own wisdom and proactivity? When we can successfully address this question, we will be able to tackle many global ecological issues. The Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China provides us with a unique platform for such practical endeavors. By Wen Cheng Five nominated sites of the Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China (Phase II) were included as a serial extension of the property of the same name already listed on the World Heritage List by the United Nations Educational, Scientific and Cultural Organization (UNESCO). The decision was made during the 46th session of the UNESCO World Heritage Committee held in New Delhi, India. The newly admitted five sites were an estuarine wetland in Chongming, Shanghai; the Yellow River estuary in Dongying, Shandong province; a wetland area in Cangzhou, Hebei province; a national-level nature reserve in Dalian, Liaoning province as well as the Yalu River estuary in Dandong, Liaoning. The Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China is located in the world's largest intertidal wetland, serving as an important habitat for migratory birds along the East Asian-Australasian Flyway. This migration route stretches from Siberia-Alaska, passing East Asia, Southeast Asia, and South Asia before reaching all the way to Oceania. Spanning 22 countries, it's the flyway with the richest bird diversity and the highest proportion of endangered species in the world. It provides indispensable breeding grounds, resting places, and wintering grounds for tens of millions of waterbirds. Since the application to inscribe the Migratory Bird Sanctuaries along the Coast of the Yellow Sea-Bohai Gulf of China on the World Heritage List was made, the populations of several endangered bird species that use this region for seasonal stopover or breeding have remained stable or increased. In particular, species such as the Siberian crane, oriental white stork, black-headed Gull, and Chinese crested tern, which heavily depend on habitats within China during certain stages of their life cycle, have almost doubled in numbers during this period. The Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China is located in a region along the Chinese coast that is economically developed and densely populated. It is surrounded by vast farmland and fish ponds, emerging towns, busy international waterways, and significant green energy infrastructure. It can be said that this is a World Heritage Site where humans and wildlife live side by side, and where the connection between humans and birds is palpable. In 2021, a project aiming to restore bird habitats conducted in the first phase of the migratory bird sanctuaries in Yancheng, east China's Jiangsu province was listed as one of the "100+ Biodiversity Positive Practices and Actions Around the World" together with other 18 initiatives at the 15th meeting of the Conference of the Parties to the Convention on Biological Diversity (COP15). In 2022, the 2nd Cycle of UNESCO World Heritage & Sustainable Tourism Programme - Chinese Pilot Studies was launched in Badou village located in the Tiaozini wetland of Phase I sanctuaries in Yancheng. Badou village was thus recognized as a base for coordinated world natural heritage conservation and community development. The Phase I sanctuaries in Yancheng are currently leveraging ecological governance, study tours, and sustainable agriculture to organically integrate the ecological system within the heritage site and the socio-ecological system outside of it. This, in turn, will promote harmonious coexistence between humans and nature, based on the foundation of sustainable socio-economic development. The Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China will likely become a platform for shaping a new paradigm in the relationship between humans and nature. Traditionally, most natural heritage sites were located in the upper reaches of water systems, where the inhabitants primarily played the role of guardians. However, the Phase I sanctuaries in Yancheng and the three estuary-type heritage sites included in the second phase of the Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China are all situated at the terminus of water systems. Water systems are like veins, and freely flying birds are like cells. They closely connect heritage sites with surrounding farmland, fish ponds, and towns. From an ecological perspective, even those strictly protected core areas form an organic "community of life" with the broader systems upstream of heritage sites. In this kind of relationship, heritage conservation is not just the responsibility of a small group of "guardians," but rather an obligation of the broader community in the region - ideally, it should also become a way of life for the people. This means that more people will have the opportunity and necessity to participate in the conservation of heritage sites. The pilot and demonstration projects already initiated in Yancheng will provide valuable experiences for other regions. How can people effectively manage the ecosystems around them, like protecting and managing the Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China, by utilizing their own wisdom and proactivity? When we can successfully address this question, we will be able to tackle many global ecological issues. The Migratory Bird Sanctuaries along the Coast of Yellow Sea-Bohai Gulf of China provides us with a unique platform for such practical endeavors. (Wen Cheng is a member of the National Forestry and Grassland Administration's World Heritage Expert Committee. He has been offering technical support for the application to inscribe the Migratory Bird Sanctuaries along the Coast of the Yellow Sea-Bohai Gulf of China on the World Heritage List since 2016.) Dans la meme rubrique : < > Immersive cultural, tourism activities on the rise across China Fruit trade between China, ASEAN thrives The Development of Islamic Finance in USA: A Journey of Growth and Opportunity Pour toute information, contactez-nous au : +(235) 99267667 ; 62883277 ; 66267667 (Bureau N'Djamena) English News Chinese robot industry strides toward high-quality development Alwihda Info | Par People's Daily - 10 Septembre 2024 The application of large language models and multimodal models is accelerating the evolution of humanoid robots, but a general-purpose large model is necessary for these robots to be integrated into industrial and services sectors, Wang explained. "I am optimistic and believe that this time frame will not exceed 5 years." By Wang Zheng, Liu Wenxin, People's Daily The 2024 World Robot Conference was recently held in Beijing. Nearly 170 Chinese and foreign robot enterprises joined the 2024 World Robot Expo, part of the World Robot Conference, unveiling more than 600 innovative products, including 27 humanoid robots. In recent years, the Chinese robot industry has experienced rapid growth, integrating deeply into people's daily work and life. China has become the world's largest market and producer of robots. Recently, humanoid robot Walker S Lite developed by UBTECH Robotics Corp., Ltd., a leading robotics firm based in Shenzhen, south China's Guangdong Province, completed training at the 5G smart factory of Chinese electric vehicle brand Zeekr in Ningbo, east China's Zhejiang province, after working three weeks alongside its human counterparts. With a maximum load of 15 kilograms, it can assist workers in carrying work bins. According to Zhao Chunlin, head of the 5G smart factory, Walker S Lite has become the first humanoid robot in China to perform the entire process of moving cargo boxes, showcasing exceptional task completion and difficulty levels that ranked at the forefront of the industry. To meet the growing individualized demands, the Chinese manufacturing industry is transitioning from high automation to comprehensive intelligentization. "Humanoid robots enabled by artificial intelligence (AI) possess multimodal perception and autonomous decision-making capabilities. They have a competitive advantage when facing complex and changing work environments, showing great potential and broad prospects in intelligent manufacturing," Zhao noted. According to the latest statistics from China's Ministry of Industry and Information Technology, the country's newly added robot installations accounted for more than half of the global market over the past three years, and the number of robots per 10,000 workers in China's manufacturing sector reached 470, surging 19 fold in the past decade. Service robots have been widely applied in areas such as family services and medical care, while specialized robots play a crucial role in areas such as air and sea exploration and emergency rescue. Apart from the growing size, China's robot industry has seen a continuous increase in technological capabilities, marked by constant breakthroughs in cutting-edge technologies such as multi-sensor fusion perception and natural human-machine interaction, increasingly sophisticated key components like reducers, controllers, and servo systems, and enhanced performance and safety levels of industrial robots engaged in welding and spraying and service robots for surgery and logistics. As of July 2024, China boasted over 190,000 effective robot-related patents, accounting for about two-thirds of the global total. At the same time, governance and regulation of China's robot industry are becoming increasingly comprehensive. The Chinese government has implemented effective policies and measures, such as a development plan for the robotics industry, a "Robot +" action plan, and industry norms for industrial robots. China has participated in the revision of 26 international robot standards, established the World Robot Cooperation Organization, issued the Shanghai Declaration on Global AI Governance, and promoted international cooperation in robot ethics research, regulation and governance. At the opening ceremony of the 2024 World Robot Conference, the upgraded TianGong 1.2MAX humanoid robot was unveiled by the Beijing Embodied Artificial Intelligence Robotics Innovation Center, China's first innovation center focused on core technologies, product development and application ecosystems for humanoid robots. Standing 173 centimeters tall and weighing 60 kilograms, the robot featured 42 degrees of freedom. It carried the emblem of the conference and placed it precisely on a launch pad in the center of the stage. This marked the first time that a humanoid robot demonstrated long-range task capabilities. "TianGong 1.2MAX is equipped with multiple visual perception sensors, six-dimensional force sensors, an inertial measurement unit, and 3D vision sensors, which allow it to perform 550 trillion calculations per second," said Xiong Youjun, the center's general manager. Twenty-seven humanoid robots made their debut at the 2024 World Robot Conference, attracting considerable attention. When will these products be available for mass production? "The humanoid robot G1, launched in May this year with a starting price of 99,000 yuan ($13,922), is close to completing the design modifications for mass production, and is expected to be mass-produced by the end of the year," said Wang Xingxing, founder, chief executive officer, and chief financial officer of Unitree Robotics, a Hangzhou-based robotics startup. The application of large language models and multimodal models is accelerating the evolution of humanoid robots, but a general-purpose large model is necessary for these robots to be integrated into industrial and services sectors, Wang explained. "I am optimistic and believe that this time frame will not exceed 5 years." "If we follow the order of development, the primary areas where humanoid robots may be first deployed are production lines and special positions such as inspection and maintenance, followed by household chores, elderly care, and other services scenarios," said Ren Lei, co-founder of a Chinese humanoid robot developer and a professor at Jilin University. Wang He, assistant professor in the Center on Frontiers of Computing Studies (CFCS) at Peking University (PKU) and head of PKU-Galbot Joint Lab of Embodied AI, predicted that the ability of humanoid robots to grasp, position, and move can be utilized in multiple scenarios such as factories, retail, and services sector, with the potential to achieve rapid growth from hundreds to thousands of units in the next two years. "In the next 15 years, humanoid robots will definitely grow into a trillion-yuan market," he said. Dans la meme rubrique : < > Immersive cultural, tourism activities on the rise across China Fruit trade between China, ASEAN thrives The Development of Islamic Finance in USA: A Journey of Growth and Opportunity Pour toute information, contactez-nous au : +(235) 99267667 ; 62883277 ; 66267667 (Bureau N'Djamena) Urged on by senior Hamas official Khaled Mashal, U.S. students have resumed the disorderly anti-Israel demonstrations that wreaked havoc on so many college and university campuses last spring. Cornell, Columbia, NYU, George Washington University, the University of Michigan, and other schools around the country have seen some combination of demonstrations, confrontations with police, destruction of university property, and hate-filled threats of violence leveled against Jewish students and teachers. The surge of open and frequently violent antisemitism since October 7 has had a devastating effect on the sense of community, respectful civility, and commitment to free speech that ought to characterize academic life. On many campuses, Jews conceal their Jewish identity, fear for their physical safety, and feel abandoned by their universities. And, according to a national poll, a majority of all students do not feel comfortable even discussing the conflict between Hamas and Israel. The response of many university administrators to these outrageous developments has been disturbingly limited. Expressing a desire to balance the right to protest with the need to protect student safety, many administrators have been content simply to tweak their policies and procedures governing what are often referred to as expressive activities. Harvard now requires protesters to get advance approval to use bullhorns. The University of Pennsylvania now requires protesters to take down their posters and banners two weeks after putting them up. Indiana University now requires protesters to suspend their demonstrations each day at 11.00 pm. Despite the extremely limited nature of the new requirements, the American Association of University Professors has denounced them as overly restrictive policies, saying that colleges and universities should encourage, not suppress, open and vigorous dialogue and debate even on the most deeply held beliefs. The message to protesters is clear. In the name of free speech, universities will continue to support campus protests, at least when the demonstrations are on behalf of a cause like this one that enjoys support within the academy. This message is clearly wrong. The moral and intellectual muddle that confuses dialogue and debate with mob action and deeply held beliefs with racist antisemitism threatens the very existence of our institutions of higher education. The university is an institution of the Enlightenment. Its purpose, its only legitimizing purpose, is to further knowledge and understanding of the world around us and to transmit that knowledge and understanding across generations. To fulfill its purpose and maintain its legitimacy a university must, first and foremost, maintain the safe, secure, peaceful campus environment that is essential for academic endeavors. Each university must, of course, comply with all laws that apply to it, including civil rights laws, and effectively protect the members of its community from unlawful discrimination, intimidation, and threats of physical violence. Each university must also enact and vigorously enforce the codes of conduct needed to ensure the safety, security, and well-being of every member of its academic community. Time at university is a once-in-a-lifetime opportunity for students to broaden their awareness and deepen their understanding of the world around them, a severely time-limited opportunity for them to develop the intellectual capabilities they will need to succeed in their chosen careers and to lead a fulfilling life. Time at university is a time to perfect literacy and numeracy, to develop the capability to engage effectively in empirical, deductive, inductive, and abductive reasoning, to learn research skills, to learn to write and speak clearly, and to discuss and debate in a civil manner, ever mindful of the opportunity to learn from others. Disruptive activities that conflict with these vitally important intellectual endeavors have no place at a university. Disruptive activities that seek to substitute partisan political activism for open-minded scholarship have no place at a university. And disruptive activities that seek to intimidate, threaten violence, and violate the law or official codes of conduct have absolutely no place at a university. Students who engage in such disruptive activities betray the trust of their academic communities. They should be subject to consequential discipline including suspension and expulsion. Faculty and staff who engage in such disruptive activities, or foment them, betray the trust of their academic communities and should also be subject to consequential discipline, including suspension and termination. Those who say that universities must carefully balance the right to protest with the need to protect student safety are profoundly mistaken. Students, and all citizens, have a constitutional First Amendment right to peacefully assemble and protest in public spaces, but not on the campuses of private colleges and universities. The law allows private property owners wide latitude to implement and enforce rules of conduct appropriate for the activities on their property that define their enterprise. And even public educational institutions have a recognized right under law to prohibit disruptive activities by protesters that substantially interfere with the orderly operation of the institution and threaten the rights of others. Speech is not action. And action is not speech even if its called expressive activities. Universities must draw a well-defined distinction between the two and make clear that they offer students and teachers a place where they can come to better understand the world, and most certainly not a place where they can come to try to change the world through the on-campus application of activism and agitation. Students and faculty with positions on the Middle East, or any subject, should be judged by the depth of their knowledge and the intellectual force of their presentations in formally established venues that demand intellectual rigor and respectfully civility. They should not be judged by the decibel levels of their mindlessly chanted sloganeering, even if they got prior approval for the use of their bullhorns. J. Kennerly Davis, Jr. is a graduate of Cornell University and Harvard Law School, and a former Deputy Attorney General for the Commonwealth of Virginia. He can be reached by email: j.kendavis@verizon.net Image: Ted Eytan The radical lefts rainbow symbolism obscures the implicit tensions within its Utopian mysticism, reflected in a prism of constant conflicts that pit former social justice allies into bitter division. A recent display of this irreconcilable intersectionality was exhibited by gay rights advocates in Vermont divided over Palestine. The Pride Center of Vermont has celebrated gay culture since 1983, but it shifted mission in December 2023 via a controversial, one-sided endorsement of a Palestinian state and condemnation of Israel for the ongoing assault on Palestine. There was no criticism of the October attacks against Israeli civilians, or of calls to eliminate the State of Israel entirely. Three of the Pride Center of Vermonts seven board members, themselves gay Jews, subsequently resigned, and a prominent founder alleged that she and a contingent of queer Jews ... have been ignored, silenced and sidelined by the Burlington-based nonprofit. A 40-year mission to celebrate, educate, and advocate with and for lesbian, gay, bisexual, transgender, and queer (LGBTQ) Vermonters has morphed into a woke catch-basin for simplistic opposition against ubiquitous oppressors, including dreaded Zionists. The organizations kaleidoscope statement chirped with Leninite vitriol: Our commitment to anti-oppression extends to all corners of the world and to all people being harmed by the myriad manifestations of the violence of colonialism and white supremacy[.] One of those myriad oppressions is genocidal maniacs calling for the annihilation of Jews and the Jewish state, often fashioned in the call to reclaim Palestine from the river to the sea. Vermonts gay group became the oppose white and Jewish people for their race and ethnicity group, overnight. Small wonder shocked gay Jews recoiled in disgust. These fractures extend throughout identity politics and its self-immolating ideology. When a liberal Burlington newspaper named Seven Days reported complaints against the Pride Center, there was no retraction or more balanced position proffered (e.g., all genocide is bad) by the Pride Center regarding Israel. Instead, the gay advocacy facility threw Jewish gays under the Palestinian bus, elevating the Middle East conflict above a historic focus on AIDS and homophobia. The sister cabal of confused reactionaries lashed out in bizarre support of the Pride Center not for gays, but against Zionists. The Vermont Coalition for Palestinian Liberation (VCPL) issued a sharp rebuke of Seven Days for [ giving ] Zionists a platform to defame the Pride Centers statement as antisemitic, portrayed the Palestinian struggle as part of queer and collective liberation, and praised the Pride Center for [ upholding ] the tradition of queer internationalism. Apparently, queer internationalism is not collective when gay Jews are at the table. In its scathing diatribe, VCPL displayed the racist antisemitic toxicity that is shattering gay unity at the Pride Center in the name of collective opposition to Israel, or anti-Zionism: Each Zionist repeats the false allegation that criticizing Israel, even as it commits genocide, is anti-semitic[.] ... Only Zionists whether they are Jewish, secular, or Christian (Christians are in fact the vast majority of Zionists) support Israel, its apartheid state, and its genocidal war. Supporting or opposing Israel is a political position and not one that can be equated with an entire religious or racial group. The Zionists base all their slanderous accusations against the Pride Center on pinkwashing, on painting Israel as a beacon of gay liberation in a sea of Middle Eastern homophobia. But, in reality, Israel is a homophobic society like all societies throughout the world. No society has ended homophobia and no society has a monopoly on that prejudice. The kaleidoscope cultural-Marxist eyes are spinning red here. Supporting or opposing Israel is political and not to be equated with an entire religious group, but Christians are in fact the vast majority of Zionists. The gay Jewish critics in Burlington did not base all their slanderous accusations ... on pinkwashing; they felt marginalized and racially excluded and complained that the Pride Center was one-sided in its position. Neither the Pride Center nor VCPL denounces the hostage-taking of Israeli civilians on October 7. VCPL demands the release of all Palestinian prisoners, including proven criminals, but not Jewish civilian hostages being used as shields by terrorist Hamas. VCPL joins Muslim extremist Hamas in calling for the eradication of the State of Israel and the ejection (genocide?) of Jews, not a two-state solution. Such extremist, indefensible positions have nothing to do with pinkwashing. The fractious absurdity of these mindless groups is a harbinger of future splinterings within social justice alliances. Identity politics faces a fatal ideological cancer: it seeks to employ statist totalitarianism to impose absolute individual liberty (for sexual perversions, fantasy pronouns, and criminality, at least). Yet it stifles critical and thus individual thought, once considered an important aspect of, say, gay liberation or the battle for free speech and equal rights. (Such things as the colonialist, white supremacist Bill of Rights must not intrude on the great Utopian mission!) VCPL is a hodgepodge of hateful lost souls, including the Central Vermont Democratic Socialists of America, the Champlain Valley Democratic Socialists of America, the Party for Socialism and Liberation Vermont, the Tempest Collective (a revolutionary socialist collective), and a bizarre coven of wrinkled white shame-mongers self-identified as Lost River Racial Justice: We recognize that all indigenous peoples in the occupied land-base known as the United States have the right to self-determination and access to clean and safe land and water. Those of us who are descendants of white settler-colonialism must work to unlearn and dismantle the ongoing colonialist practices of exploitation, domination, entitlement, and individualism that foster disconnection from each other and the land. Kamala Harris burns with jealousy at this marvelous, head-spinning word salad. The white-people Lost River collective exploits invisibilized indigenous people to advance wealth transfers for people of color and will magically ensure rights to clean and safe water. It also apparently supports Palestine, and gay people. The social justice milieu clouds further with every rally, statement, and virtue-signaling rant. This monster eats its own, every time. This is the downside of intersectionality not all interests intersect. As the bizarre magnetism of gripes and whining extends beyond sexual identities and the confused-crowd question-mark alphabet, the endless horizon of revolutionary theories expands. Racist caste systems, hatred of Jews, climate alarmism, and every trendy cause celebre in the crazy-coot arsenal of weaponized grievances gather. Chaos ensues as the impossibility of this irreconcilable intersectionality atomizes common sense, giving way to anarchy and entropy, deconstructing everything in its radioactive path. Attorney-farmer John Klar hosts the Small Farm Republic Substack and podcast from his Vermont farm. His recent book is Small Farm Republic: Why Conservatives Must Embrace Local Agriculture, Reject Climate Alarmism, and Lead an Environmental Revival. Image: hendricjabs via Pixabay, Pixabay License. On Sept. 7, 2024, CBS News reported on statements by a national security spokesman for Vice President Kamala Harris campaign about former President Donald Trumps agreement with the Taliban regarding troop withdrawals and President Joe Biden and Harris subsequent withdrawal from Afghanistan: The campaign argues that Trumps deal created a virtually impossible deadline and left the Biden-Harris administration with zero plans for an orderly withdrawal only a dangerous, costly mess. Trump shamelessly attacks the vice president because he hopes he can trick the country into forgetting that his own actions put troops in harms way, Harris campaign national security spokesperson Morgan Finkelstein told CBS News. Trump wanted to bring the Taliban to Camp David just days before September 11ththink about that. He cut a bad deal with the very same people who violently took over Afghanistan and led to the collapse of the Afghan government. Apparently unmentioned by the Harris campaign to CBS News, and unreported in CBSs article is that the agreement between the U.S. and the Taliban was not a Treaty ratified by the U.S. Senate. Therefore, it had no legal force as to President Joe Biden, as reported by the Associated Press in August 2021: But Biden can go only so far in claiming the agreement boxed him in. It had an escape clause: The U.S. could have withdrawn from the accord if Afghan peace talks failed. They did, but Biden chose to stay in it, although he delayed the complete pullout from May to September. Chris Miller, acting defense secretary in the final months of the Trump administration, chafed at the idea that Biden was handcuffed by the agreement. If he thought the deal was bad, he could have renegotiated. He had plenty of opportunity to do that if he so desired, Miller, a top Pentagon counterterrorism official at the time the Doha deal was signed, said in an interview. The AP further reported: U.S. officials made clear at the time that the agreement was conditions-based and the failure of intra-Afghan peace talks to reach a negotiated settlement would have nullified the requirement to withdraw. One day before the Doha deal, a top aide to chief U.S. negotiator Zalmay Khalilzad said the agreement was not irreversible, and there is no obligation for the United States to withdraw troops if the Afghan parties are unable to reach agreement or if the Taliban show bad faith during negotiations. Just as Trump was able to get out of President Barack Obamas Iran Deal, Biden was not bound by Trumps deal with the Taliban. Biden was free to do the right thing, but he did the wrong thing. He did it with Harris support, as she explained to CNN at the time at 5:35 in this interview. The agreement Trump made with the Taliban was conditional on the Taliban acting in a particular manner. Part 1, subsection B of the agreement states: With the commitment and action on the obligations of the Taliban, the U.S. will withdraw. On page 3 of the agreement in Part 2, the obligations of the Taliban were set forth. The most important are item 1 in Part 2, which states that the Taliban will not allow any of its members, other individuals or groups, including al-Qaida, to use the soil of Afghanistan to threaten the security of the United States and its allies, and item 3 in Part 2, which states that the Taliban will prevent any group or individual in Afghanistan from threatening the security of the United States and its allies . . . . With its violence against the United States military and the Afghanistan government, the Taliban violated these provisions, thereby releasing the United States from performing its obligation of withdrawal. Two of Trumps officials who helped negotiate this deal wrote about it here and here. On Sept. 8, 2024, the Republican majority on the House Foreign Affairs Committee released a report that states on page 19: Warnings were issued from Ambassador Wilson, Embassy Kabuls regional security officer (RSO), Embassy diplomats, senior State Department officials in Washington, D.C., and senior U.S. military officials that the Taliban were actively violating the Doha Agreement. Yet, the decision to withdraw was made anyway. The Biden-Harris administration had clear and undisputable authority to pause the withdrawal pursuant to the Doha Agreement, but instead used it as pretext to justify their political aims. As State Department Spokesperson Ned Price admitted to the committee, the Talibans adherence to the Doha Agreement was immaterial to the administrations decision to withdraw. If the Taliban did not act as stated in the agreement, Trump did not have to follow the agreement. Likewise, assuming that Biden was bound by the agreement, which he was not, Biden did not have to follow the agreement if the Taliban did not perform its end of the bargain. Even if Biden was legally bound to withdraw, which he was not, there was nothing in Trumps agreement with the Taliban that required Biden to withdraw the United States military before withdrawing all United States civilian citizens and Afghans who helped the U.S. The National Desk reported in March of this year that former Chairman of the Joint Chiefs of Staff, General Mark Milley testified Ill be candid I dont know the exact number of Americans that were left behind because the starting number was never clear. The National Desk further reported: Milley also revealed he lacked information on the number of Afghans who served with U.S. forces. Some of these individuals, he believed, were killed in some pretty brutal ways. Nor was there anything in the agreement that required Biden to leave behind over $7 billion in military equipment for the Taliban. Given Trumps history as a businessman and as President, it is highly unlikely that he would leave $7 billion of anything behind for the Taliban to use or sell. Biden and Harris are not content with only abandoning people and weapons to the Taliban. As revealed on PDF page 2 of the January 2024 report by the Special Inspector General for Afghanistan Reconstruction, under Biden/Harris the U.S. has given over $1 billion to Afghanistan while it is under Taliban control: Since August 2021, the UN has purchased, transported, and transferred at least $2.9 billion to Afghanistan using international donor contributions. The U.S. is the largest international donor, having provided about $2.6 billion in funding for the UN, other PIOs, and NGOs operating in Afghanistan since August 2021. More than $1.7 billion of that funding came from State and the U.S. Agency for International Development (USAID) to support humanitarian activities implemented by PIOs and NGOs, including the UN, the World Bank, and the Colombo Plan. However, SIGAR found that donors make contributions to pooled UN accounts, and individual donorsincluding State and USAIDcannot determine how much of their contributions are used to purchase and transport cash for use in Afghanistan. As of this writing, there is no indication that Harris has rebuked her spokesman for his deception. Nor is there any indication that Harris has apologized to the families of those American soldiers who were murdered during the Biden/Harris botched withdrawal, as they suffer further emotional injury from the Harris campaigns deceptive attempt to evade blame for Harris support of a policy that caused these needless deaths. Allan J. Favish is an attorney in Los Angeles. His website is allanfavish.com. In 2010 James Fernald and Mr. Favish co-authored a book about what might happen if the government ran Disneyland, entitled "Fireworks! If the Government Ran the Fairest Kingdom of Them All (A Very Unauthorized Fantasy). Image: Screen shot from CBS8 video, via YouTube Globalists are doing everything they can to destroy America in order to usher in a one-world government that puts us all into a digital concentration camp. They have made progress because their intentions have been hiding in plain sight. However, that is changing. Victory against the evil globalists is highly dependent upon whistleblowers and warriors who are committed to fight for right and freedom. You likely are asking, Dont we have a military to do that? The answer is yes, we do. However, like most of the federal government, the higher ranks of the military have been neutered and weaponized against patriots resisting the globalist tyranny. Sadly, the result has been a military that is no longer an effective fighting force. Look at our last debacle in Afghanistan, where we spent 20 years to replace the Taliban...with the Taliban. Our military now does an excellent job at complying with the globalists, capitulating to what it knows is wrong, and ignoring its oath. I am highly critical of the military today, and especially the Marine Corps, because I am biased and of the cloth! All of this should be clear to everyone paying attention, especially now that the World Economic Forum (WEF) admitted that the COVID pandemic was a test of our compliance with its desired New World Order. As Leo Hohmann writes in his article on the subject, [t]hey wanted to know how many people would comply with a complete re-ordering of their lives based on no science at all, just random orders, some of which bordered on absurdity. The pandemic may have been a test, but the mandated COVID vaccine in this experiment has caused irreparable harm and death to millions around the globe! In October 2023, the commandant of the Marine Corps, General Eric Smith, suffered a heart attack and barely survived. In August 2024, retired Marine general William Mullen died of a blood clot. Both men were 59, were super-fit, ate well, and were extremely healthy. This is not a mere coincidence. The secretary of defense, Lloyd Austin, mandated the COVID vaccine, even though young, healthy people in the military population had no issues with the virus. These generals did what they were told. They took the vaccine and pushed it on their troops, even though at that time the data were clear: the COVID vaccine was killing and maiming countless people around the world. They are now viewed as accomplices to the mass COVID vaccination effort pushed by Big Pharma, which paid off politicians and government officials worldwide. The U.S. Department of Defense (DOD) whistleblower, Lt. Ted Macie, in March 2023 released a trove of data from a Pentagon medical database showing a spike in myocarditis cases in the military in 2021, following the rollout of COVID-19 vaccines. Senator Johnson from Wisconsin had several hearings on the dangers of the COVID vaccine. One such hearing had three military doctor whistleblowers, and they showed that there was a massive spike in incidences of heart issues, cancers, miscarriages, infertility, and much more. There are extensive data from multiple sources that show that the COVID vaccine was never safe or effective. Rather, it is clear four years later that the vaccines were a bio-weapon designed to cull the herd, to reduce the population. Here is just a snapshot of our Marine Corps, with two of its finest. One was murdered by the vaccine and the other maimed, along with thousands of other Marines who have suffered, doing nothing other than what they were told by the traitors in our own government who have submitted to the globalist agenda. What good is a military and Marine Corps if they do not win wars, if they ignore traitors in our government (including the White House), if they allow a coordinated bio-weapon attack by unelected technocrats on themselves (and the entire country), if they allow destructive social policies and a full-scale invasion to take place on our southern border in plain sight? The honor of our military has been tainted. Let us pray that it does something to get that luster back. Get Some! Image: Triggermouse via Pixabay, Pixabay License. Does San Diego have a 'Hamas' problem? No, not the ignorant student protestors at universities being directed by Hamas's proxies. No, not the terrorists rolling into the area from Joe Biden's and Kamala Harris's open border. They may be seeing actual tunnels, dug by Hamas itself or its clients the Mexican cartels, coming in through Mexico. The U.S. Border Patrol has discovered more than 200 of them since 2003, according to the Department of Customs & Border Protection. The most recent one found, that there's any news on, at least, was a 2022 Tijuana-to-San Diego tunnel, complete with air conditioning, electricity and rail lines within, stretching a mile. They are getting sophisticated. Investigative reporter Todd Bensman thought the report, taken from an Israel Defense Forces colonel on reserve, made sense. Wow . This is interesting and also plausible. Who are about the worlds best tunnel diggers these days? Hamas@jaeson_jones https://t.co/1ojiQeZTEA Todd Bensman (@BensmanTodd) September 13, 2024 The original tweet reads: JERUSALEM NewsMax correspondent JAESON JONES interviewed Israeli Defense Forces Colonel (Res.) Dr. DANY TIRZA, who was responsible for planning the security infrastructure in the West Bank. As we know, directly from Palestinians in Gaza Strip, there is a group of Hamas that works with the syndicates in Tijuana to dig tunnels toward San Diego, Tirza told Jones. According to Tirza, Hamas engineers have a special technology that allows them to work and dig in sandy areas that the Mexicans [do not] have. And therefore they need people from Hamas in order to be able to construct tunnels in the sandy terrain. Hamas are making a lot of money by helping the cartels with their tunnel-digging know-how, Tirza said. That's bad news for the city, meaning, probably the best in battle-tested technology is being transferred to Mexico's cartels to help it along in its "business" of smuggling drugs and aliens into the U.S. And Hamas being what it is, we probably shouldn't dismiss that they could construct tunnels of their own for terrorism purposes, or as a subcontractor to Iran. That's a creepy development if the colonel is right. Because alongside the Hamas report, there's also this, from San Diego's most conservative county supervisor, Jim Desmond: San Diego is leading the nationand not in a good way. We account for 85% of all "Special Interest Aliens"individuals with known ties to terrorismwho have been arrested, charged, or convicted of crimes related to terrorism by U.S. or foreign authorities. This isnt just a pic.twitter.com/klgRQKq8Va Supervisor Jim Desmond (@jim_desmond) September 12, 2024 That so many are coming into San Diego over other places suggests well-developed networks of criminals, which of course, could include narcoterrorist groups like Hamas. Seems there's a lot of complementarities between Hamas and the Mexican cartels, particularly since they have found each other. While information remains sparse, it's unfortunate it remains sparse based on media silence. One can only hope that we don't hear more of these terrorists based on "events" as the border remains open under the wretched and venal team in the White House. They were happy to see San Diego polluted by Mexican sewage in the case of Imperial Beach because of their political interests. One can only hope that that doesn't extend to Hamas terrorists and Mexican cartels, too. Image: Twitter screen shot Most people who communicate via email know that, when sending a message to a large group, careful use of the bcc (blind carbon copy) feature is mandatory. In addition, the reply all feature must be used cautiously. Recently, State Department spokesman John Kirby mistakenly hit reply all on an email. Oops! He accidentally included Fox News in that reply, thinking he was emailing just State Department co-workers. Embarrasingly for Kirby, the reply all message appeared to disparage four veterans (including a member of Congress) who objected to the Afghanistan withdrawal chaos, during which thirteen Americans died. Two weeks ago, a national political campaign workers failure to use bcc recently exposed my personal email address to 156 random strangers. The only thing we apparently had in common was our uncommon email suffix following the @ symbol. Soon my inbox filled with some of the 156 who hit reply all with demands to take my name off your list! as if the other 155 of us could do that. Other reply all messages asked, Why am I on this email? One replied to all to say, You are scum. Then at least ten people hit reply all, saying, Quit hitting Reply All! Others chimed in with Dont tell us not to Reply All when you yourself hit Reply All. Another wrote, This is a riot. If all of you who are asking to stop replying to all, would stop replying to all, this would stop. Duh!!! But then some people decided to take a more lighthearted approach. One person noted our common email suffix. Soon, one cheerful soul named George said, I think were becoming good friends. BBQ at my place next Tuesday. BYOB. Please dont RSVP. Kelly said, George, how gracious of you. What can we all bring? Katy said, It would be great if we could all get together. Joe asked, Where is the BBQ? Im in northern CA. Thanks for hosting, George. Very kind. Youre the best. Another wrote, Ive been throwing these away with no response. But now that theres a BBQ, I want to come. I dont cook but Ill bring whatevers needed. Jenna wrote, Where is this party? What do you want me to bring? One crab called us all fools after he, of course, hit reply all. Then came offers to bring tequila, potato salad, beer, and more to the BBQ. Janine added, Im in for a BBQ. This may be the best email thread Ive read in a long time. In these serious times, its good to have a little harmless fun with strangers. Thank you to the campaign worker who forgot to use bcc. Barbara Kalbfleisch is retired. She enjoys photography and is an accredited Shutterstock contributor, specializing in editorial photography. Pexels. " captext=" Pexels " src="https://images.americanthinker.com/imported/2023-11/250143_640.jpeg" /> Image via Pexels. During the September 10 debate with Donald Trump, Kamala Harris said shes not taking anyones guns, and she and Tim Walz, her vice-presidential pick, are gun owners. Well. That certainly settles things, doesnt it? Not so much. Consider this from 2019, during Kamalas first, utterly disastrous, run for the White House: Graphic: Twitter Screenshot And this, specifically about her desire not only to ban assault weapons, but to confiscate them through a mandatory gun buy-back: Harris reiterated her support for the mandatory buyback of assault weapons, joining Beto ORourke and fellow Sen. Cory Booker in backing the approach from the forum stage. We have to have a buyback program, and I support a mandatory gun buyback program, she said. Its got to be smart, we got to do it the right way. But there are 5 million [assault weapons] at least, some estimate as many as 10 million, and were going to have to have smart public policy thats about taking those off the streets, but doing it the right way. Keep in mind doing it the right way came in response to an interviewer asking her about the Second Amendment, as in isnt what youre suggesting in violation of the Second Amendment? Why no, Kalama replied. Well have to do it the right'smartway. In other words, of course its unconstitutional, but well do it anyway. Also keep in mind Kamala Harris is the number two moveror puppet if you preferin the Administration resolutely attacking the First Amendment like no other in history. It is the Second Amendment that was designed by the Founders to protect the rest. Oh, Democrats/socialist/communists like Harris absolutely hate it when anyone actually quotes the writings of the Founders, not only from the Federalist Papers, but other accounts of their proceedings. The Second Amendment is based in the common law right to self-defense, but it primarily exists to allow Normal Americans, if necessary, to overthrow a tyrannical government. Would-be tyrants really, really hate anyone to know that little bit of essential American history, because theyll be the recipients of the application of the Founders intent and wisdom. People like Harris scornfully sneer that if the Founders knew about assault weapons, they never would have written the Second Amendment. Joe Bidendidnt he used to be president or something?keeps saying only government was allowed to own cannon back then. Not only is Joe entirely wrong, so are Harris and her fellow travelers. The principles behind the Second Amendment do not change with time, because human nature does not change, and as always, absolute power corrupts absolutely. The Founders were content that the common man own the most powerful military weapons of their time, including cannon. They would have been delighted by AR-15s. What then are we to make of Kamalas new promise not to take anyones guns? Didnt her campaign handlers say, not a week or so ago, she still wanted to ban assault weapons, but just didnt want to confiscate them anymore? Why yes, they did. So now were to believe Harris doesnt want to ban any class of firearm, including non-existent assault weapons? Or she does want to ban them, just wont confiscate them? So you can keep the guns you already have, but no new ones, and thats only until Kamala or her handlers change their positions? And she said she owns guns, so she wouldnt want to take anyone elses guns? Or maybe shes lying about that to make us think she wouldnt? Kamala isnt saying, neither are her handlers, and its not any of your business anyway, Deplorable. Has Kamala suddenly, for apparently the first time in a political life lived as a California Marxist, developed new respect for the Second Amendment, for the Constitution? But she owns guns! So did past Senator Dianne Feinstein, who had one of the very few concealed carry permits in California, as well as a Chinese spy as a driver and go-fer for some two decades. That gun didnt keep her from being one of the most dedicated anti-liberty/gun cracktivists in history, and Harris has always been the same. As a senator, Harris was infamous for being the most leftist in the Senate, to the left even of Bernie Sanders, a man who honeymooned in the Soviet Union. Let him have the last word: No, I don't think she's abandoning her ideals. I think she's trying to be pragmatic and doing what she thinks is right in order to win the election. Translation: Her newly found policies are miraculous election year conversions. Shes lying. She really does want to ban and confiscate Americans guns, though if shes telling the truth about owning some, shell keep hers. Shes important, youre not, and her values really havent changed. Thats the only honest thing shes said in years. Mike McDaniel is a USAF veteran, classically trained musician, Japanese and European fencer, life-long athlete, firearm instructor, retired police officer and high school and college English teacher. He is a published author and blogger. His home blog is Stately McDaniel Manor. He Weidong, vice chairman of the Central Military Commission, on Friday met with foreign guests from Myanmar, South Africa and Pakistan, who are in Beijing for the ongoing 11th Beijing Xiangshan Forum. While meeting with Tin Aung San, Myanmar's deputy prime minister and union minister for defense, He said that the Chinese military is willing to work with the Myanmar side to enhance pragmatic cooperation and safeguard the security and stability of the two countries' border areas. During his meeting with Angie Motshekga, South Africa's minister of defense and military veterans, He said that the two countries' militaries should engage in deeper, more solid cooperation and work together to protect global fairness and justice. When meeting Sahir Shamshad Mirza, chairman of the Joint Chiefs of Staff Committee of the Pakistan Army, he pledged to work with Pakistan to shield the two countries' strategic interests, as well as regional peace and stability. Does something stink of Joe Biden's politics here in San Diego? Amy Reichert, a conservative local political figure who specializes in exposing the seedy underbelly of San Diego's political scene, thinks so. This tweet video summing up the issue is an absolute must-see: Joe Biden turned off the Trump Pumps and has been poisoning Americans for the past 1000 days. pic.twitter.com/sT8wwdfgPZ Amy Reichert (@amyforsandiego) September 12, 2024 She is describing America's Other Border Problem, the fact that San Diego is has been the recipient of Tijuana's raw, untreated sewage flowing onto its beaches, particularly those in the southern part of the county just north of the Mexican border, such as Imperial Beach. For that reason, Imperial Beach recently took the crown from Surfrider Foundation as the nation's filthiest beach. I wrote about that here. It's been going on like this for more than 1,000 days, shutting those beaches down. Lately, really gross rotten-egg-smelling sulfur-based chemicals, and even hyper-toxic cyanide-based chemicals, which is used in weapons of war, is rolling in from Mexican factories, mixed in with the raw Mexican toilet sewage already coming in creating intolerable conditions on this side of the border. A few days ago, the Centers for Disease Control announced that it would be surveying residents for health effects of breathing the stench from raw sewage all day long. By coincidence, the awfulness has happened for the duration of the Joe Biden-Kamala Harris administration. Turns out that's not a coincidence. Now that election time is approaching, suddenly, they've got the problem solved with the appearance of some pumps on the TJ side that somehow got shut down earlier. Turns out President Trump actually solved the problem years ago, Reichert notes, when he bought those pumps to be built on the Mexican side of the border so that Mexico could keep its own sewage. When Joe Biden took office, the pumps were mysteriously turned off and the sewage flowed into San Diego County, making a lot of people sick, forcing Navy SEALs up north to swim in it, Border Patrol agents to come into contact with it, and property owners to take the hit to the value of their properties as the giant Mexican toilet flowed northward. Why were those pumped turned off? Reichert says in her video to follow the money. From what I got from it, it's likely federal funds were to flow so long as the beaches stayed filthy and a lot of Biden and Newsom cronies were to be made rich. Reichert charges that the Biden administration actually colluded with the Mexicans to create the problem, allowed it to go on (in a bid to shake out federal cleanup funds), and were actually sitting on the solution all along. Besides money, which is the most likely reason, the other possible reason could have been that since Trump purchased the pumps, they didn't want those pumps running because Trump bought them and he might get credit for them, never mind the sewage flowing in. That was their apparent logic on dismantling the border wall -- Kamala is now all for it, but her administration sold off its materials at scrap metal prices, costing the federal government billions. Up until now, that has been the status quo. Now it's "fixed," at least temporarily with those Trump pumps going. That's weird stuff because California's green-leader governor, Gavin Newsom, as well as Harris and Biden, did nothing at all about the toxic, stinking sewage from Mexico spilling in until now. That puzzled a lot of people, except that now Reichert has made it clearer. Election time is on, so suddenly problems are getting 'solved,' at least temporarily. A few days ago, a $300 million lawsuit was filed against Veolia, which runs one of the treatment plants on the U.S. side, by Imperial Beach residents for loss of value of their property. In another development, university researchers contradicted the County San Diego's own claims that the sewage was not that bad. Maybe these developments triggered the sudden appearance of the "Trump pumps" again, which stopped the flow of the Mexican sewage. Because the big problem has always been Mexico, which has been pumping out 50 million gallons of raw sewage daily right into San Diego's beachsides, and California's and Biden's unwillingness to do anything about it. On July 5, I wrote this: Recent news reports say that while blue-city mayors have pleaded for the state and federal governments to do something about Tijuana's shameless release of raw sewage onto San Diego's famous beaches, California's governor, Gavin Newsom, has resisted declaring an emergency as the sewage continues to pour in and close the beaches, claiming it's Joe Biden's job to do it and he won't ask for it. A Texas Gov. Greg Abbott, he is not. But it's pretty outrageous, given Newsom's fondness for every other kind of federal aid as well as his claims to have turned the state 'green.' ... Officials say the problem is being repaired incrementally from the Mexican side, but will "take time." Now the U.S. and Mexico have restored the Trump pumps, the sudden cooperation from Mexican authorities rather strongly resembles the secret border deal that investigative reporter Todd Bensmann reported earlier -- that Biden and Mexico's president Andres Manuel Lopez Obrador apparently had a secret deal in place to reduce the border flow until election time, and after that, would turn the spigots back on. Could the sudden appearance of the Trump pumps be the same kind of deal, to be turned off again once the federal cash is to be had? Listen to Reichert's video, and decide for yourself. Image: Screen shot from ABC 10 News video, via YouTube. Whether he liked it or not, Pope Francis unwittingly endorsed Donald Trump for president of the U.S.: In a press conference on his return home from his trip to Southeast Asia, he fumbled around with his position, attempting to equivocate abortion with sending an illegal alien back to his homeland, claiming one was as bad as the other.. According to the Catholic Herald: Referring to pro-choice candidates and anti-migrant candidates, the Pope said: Both of them are against life, both the one who throws out migrants and the one who kills children. To not welcome migrants is a sin, he said, speaking on his Singapore Airlines flight from Singapore to Rome, saying, the Old Testament of the bible offers a clear model of who should be welcomed: the orphan, the widow, and the stranger. They are the ones that the people of Israel had to protect. Those who dont protect the migrant are lacking, its a sin. Its also a sin against the life of those people, he said, recalling how during his visit to the United States in 2015 he celebrated Mass in El Paso, near the US-Mexico border. Francis said he saw the shoes of many migrants who attempted to cross into the United States, but whose journey ended badly there. Today there is a migration flow inside Central America who at times is treated like slaves, because they are profited from. Immigration is a right, a right that is in sacred scripture, he said. On abortion, the Pope said science proves that within a month after conception, a foetus has all of the organs contained in the human body. To have an abortion is to kill a human being. You like the word, or you dont like it, but it is to kill, he said, saying the Churchs position against abortion does not mean that it is closed but rather: The Church does not allow an abortion because it is to kill, its an assassination, its an assassination, and we must have things clear on this. To send migrants away, he said, is something terrible, there is evil there. To send away a child from the womb of the mother is an assassination, because there is life. We must speak about these things clearly, he said, saying there are no buts involved. The difference, of course, is one of these people dies and gets cut up and sold for parts, while the other remains alive and free to apply to migrate legally to the United States or a plethora of other countries from his place of citizenship. The U.S. takes in 1 million legal migrants each year so to claim that all the U.S. does is deport migrants is nonsense. He might consider the Vatican's immigration policy, or actually, any other nation's, if he wants get into comparisons about who's stingy about letting migrants in. To equivocate a grisly death behind closed doors for profit with getting sent back to Honduras after breaking the laws of the country one insists on living in is kind of a no-brainer for voters, which is why a new Pew Research poll shows a majority of church-going Catholics favor Donald Trump for president. He also conflates the terrible fates migrants meet at the hands of smugglers and human trafficking rackets with rule-of-law actions to stop illegal immigration. That's nonsense, given that open borders are what's causing the carnage among migrants, not border walls and strict deportation policies for lawbreakers. In that regard, President Trump's policies, not Kamala Harris's, are far more humane and pro-life. If people know they can't get in by migrating illegally, they will focus on migrating legally or else staying at home and improving their countries. That is pro-life. Fact is, there is no such thing as an open border, actually. If the U.S. under the Biden-Harris regime doesn't want to guard its border, Mexico's evil drug cartels will -- and will only permit passage for a price, with the failure to pay, of course, death. The pope's muddly uncertainty, though, saying he "didn't know" which was worse, was kind of unbecoming of a pope. On whether it can ever be morally licit for a Catholic to vote for a pro-choice candidate, Pope Francis urged Catholics to vote no matter what. In political morality, in general they say that if you dont vote, its not good, its bad. You have to vote, and you have to choose the lesser evil. What is the lesser evil? That woman, or that man? I dont know. Each one, in their conscience, must think, he said. Well, we Catholics have thought about it in our consciences, and sure enough, most of us think that being killed and cut up and sold for parts by Planned Parenthood is a lot worse than having to live in the country of one's birth in a non-war setting with democratic voting rights to change one's government if one doesn't like it. Is being deported to live in some place like Peru or Ghana or Turkey after trying to cut the line to enter the U.S. really a death sentence, no different from being aborted? Millions of people live in these places, yet somehow the pope thinks it's a death sentence, no different from being aborted and tossed into a bloody bucket as "medical waste." Seems a little extreme. Maybe he can ask the locals in those countries, now that he's out doing international trips, whether life in their countries is the same as a death sentence based on life there not including U.S. green cards. Like it or not, the answer to the rest of us is pretty clear. Choose the lesser evil, he says. Thanks for that Trump endorsement, Pope Francis. Image: Screen shot from Forbes Breaking News video, via YouTube In a hushed Georgia courtroom, father and son faced justice for a devastating murderous act that shook a community to its core. Fourteen-year-old Colt Gray sat before the judge, accused of snuffing out four lives at Apalachee High School. Grieving families watched silently as the teen faced four counts of first-degree murder, with the possibility of life in prison. Colin Gray, 54, followed his son into the courtroom, charged with contributing to the delinquency of a minor, four counts of involuntary manslaughter, and child cruelty. If convicted, Colin faces up to 180 years behind bars. As cameras captured the proceedings, father and son were assigned public defenders. After a brief recess, the teenage shooter was summoned back to the courtroom. The judge, realizing the need for clarification, formally advised the teenager that state law prohibits the death penalty for juveniles. So now the legal stage is set, and its anyones guess how the case will proceed. There are many factors to consider, beginning with whether the father and son will plead guilty or will fight the charges. If the latter path is pursued, will the defense focus on Colts mental health history, the mothers drug addiction and rap sheet, or the domestic abuse surrounding the father? In the case of the father, will the defense focus on a barely literate man trying to raise a teenage son with limited financial and emotional resources? Its anyones guess. What is certain, though, if this case is like the others before it, is that the public will be lucky to be provided with the mental health data of everyone involved. It is certain that the Georgia Division of Family and Children Services is already in a heightened defensive mode, as many questions will surround the state agencys oversight of this family, especially fourteen-year-old Colt. The mental health history, including prescription medications, of the accused is key to understanding the dynamics of this broken family. Unfortunately, too often, the prosecution, and even the defense, minimize the importance of the shooters mental health history and the adverse effects associated with the prescribed drugs. In the case of Nikolas Cruz, the shooter at Marjory Stoneman Douglas High School in Parkland, Florida, he pleaded guilty and, therefore, was afforded only state courtappointed representation during his sentencing hearing. Even though the State of Florida Department of Children and Families had provided mental health services to Cruz since he was seven years old, the court apparently didnt consider this a serious conflict of interest. Sadly, Cruzs defense team had little understanding of the mind-altering psychiatric drug cocktails that were prescribed to Cruz, and therefore, no importance was attached to the devastating role the drugs may have played in his violent behavior. In the Gray case, there are other factions that could not care less about mental health histories and will solidly focus on attacking the gun lobby and manufacturers. This worked well for the Sandy Hook shooting victims families, who were successful in winning a suit against gun maker Remington to the tune of $73 million. In Tennessee, where Audrey Hale shot and killed six at the Covenant School, the legal landscape regarding psychiatric treatment records is evolving, with recent challenges focusing on copyright claims. This emerging strategy appears to offer a new layer of protection for behavioral health providers and pharmaceutical companies. By asserting copyright over treatment notes and drug formulations, these entities are creating additional barriers to accessing sensitive mental health information and proprietary drug data. This approach has sparked debate over the balance between patient rights, medical transparency, and corporate interests in the mental health sector. On the other hand, in the case of father and son Gray, there are other entities that may be key in revealing how the system failed this family and, especially, this fourteen-year-old. An in-depth examination of the roles of family services and law enforcement adds new dynamics. Consider that the Georgia police and FBI were in contact with the father and son just a year earlier, when accusations were made that the then-thirteen-year-old made threats about carrying out a shooting at an undisclosed middle school. The FBI was unable to substantiate the accusations, apparently made online in 2023. However, the FBI should have completed a Threat Triage and Data Collection form, which is comprehensive, including information about the suspects mental health history and prescribed medications. Having the FBI make this threat assessment publicly available would go a long way toward understanding why the federal agency failed to act in 2023. Given the ever-increasing numbers of children being prescribed mind-altering psychiatric drugs as treatment by state family services, it is an obvious target to glean any and all information about what role the State of Georgias Division of Family Services played in response to the obvious neglect within this family. How exactly did Family Services handle the Gray family, and what state behavioral health interventions were utilized on the childrens behalf? Did Family Services ever suggest that the kids be removed from the broken home, and did any of the states behavioral health experts that dealt with the family ever consider fourteen-year-old Colt to be a risk to himself or others? Were warning signs ignored or overlooked that may have contributed to this tragedy? If Colt has a competent attorney, the mental health information should come out during the trial. Although it may not be enough to keep the shooter from spending the rest of his life in prison, it is mitigating information that must be considered. But the hurdle is making mental health records and psychiatric drug information publicly available. The defense will have its hands full with the pharmaceutical and behavioral health industries that would prefer that this information never see the light of day. Image via Pexels. Having never been to Springfield, Ohio, I cant really say if Haitian refugees are dining on felines. The media says its fake news. Local residents attest theyve seen it. Who should one believe? These days, it is always good to fall back on facts and the essentials of an issue. Facts are stubborn things, especially it seems for Democrat progressives. Concerning the matter of Haitians and Springfield, the indisputable fact is that someone (the federal government?) saw fit to insert 20,000 Haitians into a town of 60,000 people. That doesnt seem a good testimonial to the Deep States ability to address community repercussions such as health care, schooling, policing, heck, even sewer and trash. But the Deep State did it nonetheless. I dont recall if the citizens of Springfield ever voted in a referendum to bring in the Haitians, either. It seems not. How, then, do those on the left say that Trumps return to the presidency would be a Threat to Democracy. Or is this just another example of the Left accusing others of what it itself does? Image by AI. I used the verb insert to describe the placement of the Haitians in the town of Springfield, but what comes to mind is the term colonization. The estimable Barack Hussein Obama was certainly no friend of colonialism. Still, here, his cadre actually colonized southern Ohio with a population of folks whose culture bears little resemblance to the in-place population. Actually, one might go so far as to say that the Obama/Biden/Holder/Harris Deep State is, in fact, replacing the indigenous population of whites and (American) blacks with the Haitians. Well, if your intended goal is to transform America, this is as good a way as any. Just ask Michiganders, one of whose elected representatives is Ilhan Omar. Or does Ilhan represent Mogadishu? Its murky. History has a very good example of forced colonization, which isnt pretty. In the 1650s, the also estimable Oliver Cromwell decided to colonize northeastern Ireland (Ulster) with tens of thousands of very passionate (and passionately anti-Catholic) Protestants, promising them land and other goodies. Sound any way familiar? That little chess move resulted in 400 years of brutal sectarian strife and death that is still ongoing. Does this sound like a sound plan? Does anyone actually think that the welfare of the people being shoved overor that of the people being insertedis a big consideration for the self-styled elite? In an irony of ironies of ironies (for the Obama/Harris elite), there is another example as well. Ignoring the fact that Jews have occupied the land of Israel non-stop for 3,800 years, leftists love to castigate Jews as colonizers whove been relying on the sob story of the Holocaust to steal land from the Palestinians. Weve seen how this narrative has played out. Yet now we see the irony (hypocrisy?) of our ruling elite in all this, as they trash the Israelis but show gushing sympathy for the Haitians who have no ties to North American land. Getting back to the Haitians, they are perhaps one the most lamentable, abused peoples on Earth. Their plight is sad in the extreme. But in the Dem lefts playbook of Two Wrongs Solve the Trick, one cannot help but be struck by the careless, callous disregard for Ohios existing (lower middle class) population. One is driven to ask why werent these poor people settled in Marthas Vineyard, La Jolla, suburban Philadelphia, or the Upper East Side? Or Georgetown? Thats a trick question. We know the answer, and its why the left needs to be driven utterly from office (and from our culture) this November. They are driven by sneering hypocrisy and indifference to the welfare of no-name Americans (Deplorables?), no matter their color or sex. The latest Android 15 QPR1 Beta update has correctly enabled the setting to limit battery charging to 80%. This setting allows users to prolong battery life. Android 15 QPR1 Charging optimization menu now works to set an 80% battery limit Google has been refining the Android 15 OS update for several weeks. The search giant has released two beta versions of Android 15 QPR1 builds so far. The latest beta version of Android 15, tagged as Android 15 QPR1 Beta 2, includes a new desktop windowing feature. Interestingly, the Beta 1 version introduced a notification about enabling a new charge limit. However, among other broken things, users were unable to change this battery charging limiter setting correctly. Google has addressed the issue with the setting in the Android 15 QPR1 Beta 2. The company states that it fixed an issue that prevented the 80% device charge limit from being disabled (Issue #363170385). Google has been including several features and functions in Android 15 that help users gain more out of their Android devices. The battery saver feature alone could help significantly prolong the batterys longevity. How does the new Battery Saver feature work? Google introduced a new Charging optimization setting in Android 14. It allows users to switch on Adaptive Charging. This function ensures battery charging pauses at 80% and finishes at 100% an hour before you usually unplug. Google has added another setting in the Settings > Battery section. Called Limit to 80% completely pauses charging once the battery reaches 80% charge. In other words, any Android 15 device with this setting turned on, wont charge the battery beyond 80%. In the latest Android 15 QPR1 Beta 2 when users activate this setting, a shield icon appears on top of the battery in the status bar. If thats not clear enough, the battery widget, lock-screen, and Always-On Display (AOD) state the phone is Done charging. The Done Charging notification seems a lot better than the Plugged-in, Not Charging notification on Windows laptops. And it is reportedly similar to the Pixel Tablet and Charging Speaker Dock experience. Numerous studies have indicated that limiting the battery to 80% charge helps prolong its service life. Several Android smartphone users had to experiment with rooting their devices to set this limit. It seems Google has listened to these Android users and implemented the feature. TikTok and its parent company ByteDance are gearing up for a court hearing on Monday. The insanely popular social media platform will try to avoid, stall, or delay its nationwide ban in the US. ByteDance still facing a nationwide ban in the US TikTok has been facing an uncertain future in the US. The viral social media platform could be banned in the country from 2025. However, TikToks parent company ByteDance hasnt given up, and will reportedly try to reverse or at least stall the administrative action. TikTok and ByteDance are set for a court hearing on Monday. It could prove to be a crucial day for the social media platform that is actively used by over 170 million Americans. As per recent court proceedings, ByteDance may have to shut down TikTok in America or heavily divest from the social media platform. A new law that the current US President Joe Biden signed in this year, gave ByteDance until January 19 to decide. Needless to say, ByteDance prefers neither of the options. Hence, the US Court of Appeals for the District of Columbia will hear oral arguments on the legal challenge on Monday. How will ByteDance try to keep TikTok operational at the upcoming court hearing? The US Administration has stressed that it does not want to kill TikTok. The platform is extremely popular with Republican candidate Donald Trump and Vice President Kamala Harris. Both candidates are actively using TikTok to try and connect with young American voters. The Biden administration insists it wants to end the control of the Chinese Communist Party (CCP) over TikTok for national security reasons. ByteDance, on the other hand, is accusing the US Government of infringing free speech. Specifically speaking, ByteDance may continue to argue that the impending nationwide ban on TikTok in the US is unconstitutional. The company could reiterate that such laws try and stifle free speech, a constitutional right that America takes very seriously. ByteDance could also ask the US court to ensure it supports the concept of an open internet. Verizon is currently in the midst of a cost-cutting and internal reorganization strategy that includes the layoff of approximately 5,000 employees in the coming months. However, many of them will leave the company soon. The company is looking to make its operations more efficient and profitable than they are now. The carrier also recently confirmed its intention to acquire Frontier. In February, Verizon had about 105,400 full-time employees, of which 84% reside in the US. In June, Verizon began a voluntary separation program with incentive payments to encourage employees in certain sections to leave the company. The carrier wants to achieve a reduction of 4,800 employees, which represents 4.5% of its full-time workforce. Verizon to begin the layoff of about 5,000 employees this month Of the almost 5,000 employees laid off, half will leave the company in September, while the rest will leave their positions in March 2025. Verizon reportedly estimates that it will face charges of between $1.7 billion and $1.9 billion in severity payments from the voluntary separation program. That translates to between $1.3 billion and $1.4 billion after taxes. This big charge corresponds only to Q3 2024, for the payouts of staff leaving the company this month, so you can expect a similar one next March. In addition to the layoffs, the companys cost-cutting strategy includes leaving non-strategic portions of certain businesses. However, Verizon did not offer more specific details on which businesses are considered non-strategic. The mobile carrier is also undergoing an internal reorganization (or business rationalization). The latter will result in an additional charge of $230 million to $380 million ($170 million to $290 million after-tax) for Q3 2024. Verizons stock growth fell short of expectations S&P 500 estimates had called for 16% growth in Verizons stock for this year. However, the company has fallen slightly short of expectations with 15% year-to-date. In comparison, T-Mobile and AT&T stocks are up 25.1% and 25.2% over the same period, respectively. Verizons reported earnings for Q3 2024 are $1.08 per share on sales of $33.3 billion. Its noteworthy that this will be a busy time for telecom companies and the mobile tech industry in general. The iPhone 16 series will hit stores on September 20, so thousands of customers are already contacting their carriers to secure a unit. You are here: China China's State Flood Control and Drought Relief Headquarters on Friday activated a Level-IV emergency response to drought in southwest China's Chongqing Municipality. Since the beginning of August, most of Chongqing has seen continuous high temperatures and little rain, with many districts and counties experiencing different degrees of drought, according to the Ministry of Emergency Management. Rainfall in Chongqing is forecast to remain low and the drought is expected to develop further, said the ministry. The headquarters has sent a work team to Chongqing to assist and guide the work of drought relief and disaster reduction. China has a four-tier drought-control emergency response system, with Level I being the most severe. UK men say they feel pressure from romantic partners and social media to look and act a certain way. (Getty Images) (Getty Images) British men are at a crossroads when it comes to figuring out what masculinity means in modern society. While the scope of masculinity is more inclusive than ever, traditional expectations of men still linger, leaving many men confused. A new report by dating website eharmony sheds light on how modern masculinity, with all its pressures on men to look and act a certain way, is impacting the dating world. The data uncovers some of the complexities when it comes to what men expect of themselves and what is expected of them, across a variety of areas including dating and sex. We take a closer look at how ideas around modern men are affecting the way people date and form relationships. Men are getting mixed messages Despite social media being full of dating trends that welcome men who have not historically been seen as the "masculine ideal", expectations of men appear to be more traditional in real terms. The New Leading Man report by eharmony found that 18% of British men have felt pressure from a romantic partner to change how they dress. An additional 16% said they felt like they had to change their attitude. UK men also felt pressured by their partner to change their emotions (15%), friends (14%) and weight (13%). When asked to define masculinity in their own words, British men were most likely to say that strength (both physical and mental), physical traits such as facial hair, and personality traits such as confidence and feeling secure were masculine ideals. Conflicting expectations of men can lead to confusion and a reluctance to be vulnerable with others. (Getty Images) (Getty Images) Nearly half (45%) of UK men believe protecting their loved ones is a main trait of masculinity, followed by physical strength (39%) and being the main provider for their loved ones (37%). Todd Baratz LMHC, eharmony relationship expert, said: "These conflicting expectations make it tough for men to navigate dating vulnerably. When men face these mixed messages, it can lead to confusion and insecurity, making it challenging to approach relationships with confidence and authenticity. "This often results in a lack of vulnerability, preventing genuine connections from developing, or creating barriers and guardedness that hinder the establishment of safety and trust in relationships." Appearance-based dealbreakers prevail Short kings had their moment over the summer when social media declared that negativity around smaller statures when it comes to men should be done away with, giving confidence to those who lack in height. However, eharmonys report found this to be short-lived. In fact, the number one deal breaker for people who date men was height, with 43% of Gen Z respondents and 40% of Millennials saying they wont date a man who is shorter than them. Laurel House, eharmony relationship expert, warns that daters who "maintain a small spectrum of whats considered attractive" may be "missing out". "By opening and expanding the spectrum of what is attractive, daters are dramatically increasing their options and opportunities for connection, relationships and love," she adds. "Whats interesting is that oftentimes men who have historically been viewed as less attractive because of these superficial physical attributes like height tend to be more attentive, aware, and purposeful with their partners." Mental health remains a hurdle for men Conversations around mental health are more open, honest and transparent than ever, with plenty of high-profile figures like celebrities and athletes opening up about their struggles. Among the male respondents to the survey, 20% listed mental health as the top struggle they face. However, less than a third (24%) said they were open to having conversations about it. The reluctance to talk about mental health is impacting the love lives of UK men. Majority (69%) of Gen Z and Millennials who date men believe its important for men to know that emotional intimacy is just as important as physical. Dr Lalitaa Suglani, eharmony relationship expert, weighs in: "While there are many reasons men struggle with mental health, its no secret that todays dating environment is difficult to navigate, often impacting self-confidence and emotions. "The pressure of constant scrolling is intensified by societys narrow perceptions of masculinity, which can box men into rigid roles and expectations. Talking to friends, family members, partners and even professionals is one way to break down these supposed barriers and understand that masculinity doesnt have to be rigid it can take many forms." People who date men believe it's important for men to talk about their mental health. (Getty Images) (Getty Images) Baratz adds: "Being open about your mental health not only strengthens your bond with your partner but is also an essential act of self-care. The better we care for ourselves, the better we can care for others. "When men embrace emotional vulnerability, it fosters trust and intimacy, allowing both partners to feel understood and supported. This openness creates a safe space for both individuals to share their struggles and triumphs, ultimately leading to a deeper, more meaningful relationship." Read more about sex and relationships: Eddie Howe has urged Newcastle forward Anthony Gordon to maximise every moment after announcing himself in earnest as a senior England international. The 23-year-old started and played significant parts in both of his countrys Nations League victories over the Republic of Ireland and Finland under interim boss Lee Carsley, having been cast largely in the role of spectator in Gareth Southgates squad at the Euro 2024 finals. Gordon, a 45million signing from Everton in January 2023, was a huge hit on Tyneside last season, but returned from Germany having featured for only a few minutes as a substitute in a 0-0 Group C draw with Slovenia. Asked if he had had to raise the players spirits on his return, Magpies head coach Howe said: I wouldnt say to raise his spirits, but of course I spoke with him and we had many conversations over the summer. I think its re-aligning thought processes, its being positive about the next stage of your career. Sometimes you can have a hangover from something negative not that Anthony was in that position, but its always about your next challenge, moment and how youre going to start the season and take all of the momentum that he built last season into this one. This is a big season for him in his career. You dont get many second chances in your career the years go so quickly, and I can vouch for that. Newcastle striker Alexander Isak scored three times for Sweden during the international break (Owen Humphreys/PA) Hes still young and developing, but he needs to maximise every moment. He is the type of character that wants to do that. Hes very committed to his next dream and aim and thats to have a great season with us. Gordon has eased his way into the new domestic season and while his endeavour or commitment could never be questioned, he is yet to return fully to the club form which propelled him into the England squad, something he will hope to do at Wolves on Sunday. Striker Alexander Isak is another whose full sharpness had eluded him during the early weeks of the campaign, but his winning goal against Tottenham last time out sent him away with Sweden on a high, and he returned having scored twice in a 3-1 win in Azerbaijan and once in a 3-0 victory over Estonia. Asked if the 63million frontman was now arguably his most important player, Howe said: Hes one of (them), for sure. I dont think there is just one, I think theres always a group that are really important to the team and when they play well, the team plays better. Alex is certainly one of those players, he has all the qualities that we need. But ultimately we need to get him absolutely at his best because when he is, hes a game-changer. The phenomenal Erling Haaland took his Manchester City goal tally to 99 as the champions recovered from a first-minute setback to beat Brentford 2-1 on Saturday. Haaland struck twice and was the width of the post away from becoming the first player in Premier League history to score hat-tricks in three successive games as City recorded their fourth straight win. Pep Guardiolas men were stunned by a goal from Yoane Wissa after just 22 seconds but Haaland, who now has nine for the season, turned the game around with a first-half double. A thread of players to have scored nine goals in the first four games of a @premierleague season:@ErlingHaaland pic.twitter.com/yqFns4Jszn Manchester City (@ManCity) September 14, 2024 The striker had been a doubt for the game following the death of a close family friend but his performance was as good as ever. The victory underlined Citys strong start to their latest title defence. It may be a difficult time off the field with their long-awaited hearing into alleged breaches of financial rules due to begin next week, but on it all looks in fine order. Brentford were the last away team to win at Etihad Stadium in November 2022 and, after a sensational start, they may have eyed an unlikely repeat. The game had barely kicked off when Thomas Franks side claimed the lead. Kristoffer Ajer clipped a cross into the City box and Keane Lewis-Potter headed back across goal. John Stones got into a muddle with Ederson and his sliced clearance looped up for Wissa to head into an empty net. Yoane Wissa opened the scoring (Nick Potts/PA) The setback initially appeared to rattle City and things could quickly have got worse. Rico Lewis needed to scramble clear after Bryan Mbeumo got behind the defence and City had another let-off when the same player failed to connect with an overhead kick. Nathan Collins then forced a save from Ederson from a corner, with the keeper also needing to rush out of goal to break up another attack. City eventually settled and levelled when Kevin De Bruynes pass was deflected into the path of Haaland. The Norwegian turned and fired past Mark Flekken, with the aid of a ricochet off Ethan Pinnock. Erling Haaland (right) soon levelled (Nick Potts/PA) City turned up the pressure and, although Pinnock headed just over, De Bruyne almost put the hosts ahead with a fierce low drive. Their second came just after the hour as Haaland raced onto a long ball from Ederson. He checked his run slightly as Pinnock chased back and, after the defender bumped into him, he then accelerated away and clipped casually over Flekken. City immediately wanted more and Jack Grealish forced Christian Norgaard to clear over his own bar. To their credit, Brentford battled on and Lewis-Potter tested Ederson after cutting inside but lost Wissa to injury before the break. Rodri (left) returned for the defending champions (Nick Potts/PA) City welcomed back Rodri for the first time this season in the second half and summer signing Savinho blasted over before Flekken made a good one-handed save from Grealish. Further chances came as Flekken palmed away from Haaland and then denied Savinho at close range after Kyle Walker had shot over. Haaland had three chances in quick succession to complete his treble and his first City ton but saw one effort rebound off the post before his follow-up was blocked and Flekken saved another shot soon after. City switched off in the closing stages and substitute Yehor Yarmolyuk almost snatched an unexpected stoppage-time equaliser when he dragged a shot wide. If you're an autumn-obsessed soul like I am, you can probably feel yourself coming back to life as summer's final traces fade away. Even though trees have barely started losing their leaves, there are plenty of excited folks like me who are getting in the spooky spirit. We're drinking pumpkin spice lattes, decorating for Halloween, and getting our furry friends in on the fun, too! One precious Italian Greyhound named Granola is a pro when it comes to posing for her dad's camera, so she knew just what to do when the duo tried the viral ghost costume trend. The idea is as simple and as classic as a Halloween costume can get, but the little dog's adorable face and ears make it paw-sitively adorable. Combine all this with a few iconic landmarks in Portland, Oregon, and you've got the recipe for a memorable photoshoot! OMG--just look at her. Granola--or Nola, as some call her, is a Halloween pup through and through! She may share a nickname with The Big Easy (AKA New Orleans), but she looks like the Halloween Queen of Portland in these spooky shots. The cloudy weather and low light add to the haunted energy of these locations, but the landmarks themselves have plenty of eerie vibes to go around. Related: Police K9 Doing the Ghost Photoshoot Trend Is the Best Good Boy Ghost Ever As someone who was born in Portland and has spent many cloudy mornings visiting these exact spots, I can confirm that they're the perfect places for a Halloween-inspired shoot. The vantage point beneath St. John's Bridge is like a movie scene, and Forest Park's path looks like home to many outdoor enthusiasts, including this canine hiker. Pittock Mansion looks even spookier in the fog--but if you ask me, the scariest thing about these pictures is the scary little ghost! Nola posed so identically in each of these photos that she really does look like something from another plane. It's like she transported herself from place to place without moving a muscle! Now that's spooky. Photographing Your Dog This Italian Greyhound is the perfect canine model for her dad, but dog owners know that taking pictures of a dog isn't usually this easy. Having a treat motivated buddy will help, however, as they can usually be enticed with treats to sit or lie still while you capture the perfect shot. For non-treat-motivated dogs who can't seem to stay in one place, try taking pictures after a walk or play session. You can squeak a toy or make a noise to draw their attention, but practicing ahead of time is the best way to ensure a quick and seamless photo op. It can be as simple as mastering the commands 'sit' and 'stay' while you pretend to snap a picture. By the time you take the real photo, your pup will know exactly what to do! Looking for more PetHelpful updates? Follow us on YouTube for more entertaining videos. Or, share your own adorable pet by submitting a video, and sign up for our newsletter for the latest pet updates and tips. Lando Norris does not expect a magical Azerbaijan Grand Prix result after the McLaren driver qualified 17th in a major blow to his title hopes. The 24-year-old was caught out by yellow flags down the long final straight, backing off and being unable to improve his time, meaning he was knocked out of Q1. Norris arrived in the Land of Fire bidding to turn up the heat on championship leader Max Verstappen, having taken 16 points out of the three-time world champions title lead across the last two races. But the Briton will start 16th on the grid, 10 places behind his championship rival, as Ferraris Charles Leclerc, who harbours distant title hopes of his own, claimed pole in Baku for the fourth year in a row. Simply unbeatable today Pole in Baku AGAIN by 0.3 seconds #F1 #AzerbaijanGP pic.twitter.com/BZYcWOPcyk Formula 1 (@F1) September 14, 2024 While the street circuit on the shores of the Caspian Sea is known for its unpredictability, Norris is not expecting a glorious fightback on Sunday. We will hope for the best but I dont expect anything magical unless strategy comes into play, Norris said. I dont know (what can be done in the race). We will try and go forwards and overtake but it is not as simple as saying it. Everything is going to have to be done with strategy because you cant overtake, there are plenty of cars at the back that take lots of wing off and hope for the best and that makes it impossible to overtake them. But the car is quick. I hope that that can come into our hands and at some point I can get clean air but on a street circuit everything gets backed up so much you kind of get forced into a position and you cant do a lot at times. Lando Norris was caught out by yellow flags on his final lap in Q1 (AP Photo/Sergei Grits) Asked how he reflected on the result in the context of his title challenge, Norris said: It doesnt make a difference for me. Norris, 62 points behind Red Bulls Verstappen, had appeared off the pace for most of the weekend also finishing 17th in second practice on Friday. He turned it around to finish third in final practice but the McLaren man was running in the elimination zone in Q1 when he ran wide at the final corner and was greeted by yellow flags due to a slow-moving Esteban Ocon ahead of him. Norris aborted his lap, heading into the pit lane knowing he was out of qualifying. We werent really on the back foot. The guy ahead of me crashed and there was a yellow flag, I was feeling good, Norris said. When you have a 2km straight and you have to lift off at the beginning, there is nothing I can do. Of course we are disappointed and frustrated but there is nothing I can change. McLaren team principal Andrea Stella was unhappy with the deployment of the yellow flags, telling Sky Sports: (It) was a situation that ideally, and I think by the regulations, shouldnt have happened. We paid the price. Norris team-mate Oscar Piastri will start from second, ahead of Ferraris Carlos Sainz and Verstappens team-mate Sergio Perez. A sixth-placed finish for Verstappen, without a win in six races, should have been an opportunity for Norris in the title race. Instead, it handed the Dutchman breathing room despite again complaining of issues with his Red Bull. I still want to do good myself and today was a bad day, Verstappen said. Charles Leclerc took pole in Baku for the fourth year in a row (AP Photo/Sergei Grits) As soon as I went out in Q1 I felt the car had taken a step back. We made some changes and the car just became unpredictable and difficult because of the changes we made. I am a bit disappointed with that. You try to optimise things and make it better but unfortunately I think we just tipped it over the edge. Leclerc had no such issues, following his glorious home win for Ferrari at Monza a fortnight ago with another blistering pole in Baku over three tenths clear of Piastri. It is one of my favourite tracks. Everything felt great and it is amazing to be on pole, said Leclerc, who trails Verstappen by 86 points. George Russell qualified fifth with Mercedes team-mate Lewis Hamilton seventh, while British teenager Ollie Bearman was 11th for Haas on his first full weekend in F1. US president Joe Biden, second left, and UK prime minister Keir Starmer, far right, hold talks in Washington on Friday. Photograph: Stefan Rousseau/Reuters Britain and the US have raised fears that Russia has shared nuclear secrets with Iran in return for Tehran supplying Moscow with ballistic missiles to bomb Ukraine. During their summit in Washington DC on Friday, Keir Starmer and US president Joe Biden acknowledged that the two countries were tightening military cooperation at a time when Iran is in the process of enriching enough uranium to complete its long-held goal to build a nuclear bomb. Related: If Starmer is on a US charm offensive, he must meet Trump as well as Harris British sources indicated that concerns were aired about Irans trade for nuclear technology, part of a deepening alliance between Tehran and Moscow. Related: Keir Starmer meets with Joe Biden at White House as Putin warns Nato against letting Ukraine send long-range missiles as it happened On Tuesday last week, Antony Blinken, the US secretary of state, made a similar warning on a visit to London for a summit with his British counterpart, David Lammy, though it received little attention, as the focus then was the US announcement of Irans missile supply to Moscow. For its part, Russia is sharing technology that Iran seeks this is a two-way street including on nuclear issues as well as some space information, Blinken said, accusing the two countries of engaging in destabilising activities that sow even greater insecurity around the world. Britain, France and Germany jointly warned last week that Irans stockpile of highly enriched uranium had continued to grow significantly, without any credible civilian justification and that it had accumulated four significant quantities that each could be used to make a nuclear bomb. But it is not clear how much technical knowhow Tehran has to build a nuclear weapon at this stage, or how quickly it could do so. Working with experienced Russian specialists or using Russian knowledge would help speed up the manufacturing process, however though Iran denies that it is trying to make a nuclear bomb. Iran had struck a deal in 2015 to halt making nuclear weapons in exchange for sanctions relief with the US and other western nations only for the agreement to be abandoned in 2018 by then US president and current Republican nominee Donald Trump. Iran responded by breaching agreed limits on the quantity of enriched uranium it could hold. Western concern that Iran is close to being able to make a nuclear weapon has been circulating for months, contributing to tensions in the Middle East, already at a high pitch because of Israels continuing assault on Hamas and Gaza. Iran and its proxy in Lebanon, Hezbollah, are supporters of Hamas and Tehrans nuclear development is therefore viewed as a direct threat by Jerusalem. Soon after Vladimir Putin launched the full-scale invasion of Ukraine, Iran began supplying Shahed delta winged drones to Moscow and helped Russia build a factory to make more to bomb targets across Ukraine. In April this year, Iran launched a Russian-style missile and drone attack aimed at Israel, though it was essentially prevented and stopped with the help of the US and UK. Russia and Iran, though not historically allies, have become increasingly united in their opposition to the west, part of a wider axis of upheaval that also includes to varying degrees China and North Korea, reflecting a return to an era of state competition reminiscent of the cold war. Last week in London, Blinken said that US intelligence had concluded that the first batch of high-speed Iranian Fath-360 ballistic missiles, with a range of up to 75 miles (120km), had been delivered to Russia. Able to strike already bombarded frontline Ukrainian cities, the missiles prompted a dramatic reassessment in western thinking as well as fresh economic sanctions. Starmer flew to Washington late on Thursday to hold a special foreign policy summit with Biden at the White House on Friday, beginning with a short one on one in the outgoing presidents Oval Office followed by a 70-minute-long meeting with both sides top foreign policy teams in the residences Blue Room. The leaders and their aides discussed the war in Ukraine, the crisis in the Middle East, Iran and the emerging competition with China. Starmer brought along with him Lammy, Downing Streets chief of staff, Sue Gray, and the UKs national security adviser, Tim Barrow; while Biden was accompanied by Blinken and Jake Sullivan, the US national security adviser, among others. Prior to the meeting, UK sources indicated that the two countries had agreed in principle to allow Ukraine to fire long-range Anglo-French Storm Shadow missiles into Russia for the first time. But Biden appeared to suggest the topic was one of the reasons for the face-to-face, saying to reporters: Were going to discuss that now, as the meeting began. There was no update after the meeting, partly to keep the Kremlin guessing. Any use of the missiles is expected to be part of a wider war plan on the part of Ukraine aimed at using them to target airbases, missile launch sites and other locations used by Russia to bomb Ukraine. Britain needs the White Houses permission to allow Ukraine to use the missiles in Russia because they use components manufactured in the US. Protocol dictated that Biden and Starmer the only two present without printed-out name cards did most of the talking, while the other politicians and officials present only spoke when introduced by the president or the prime minister. Lammy was asked by Starmer to update those present on his and Blinkens trip to Kyiv on Thursday to meet Ukraines president, Volodymyr Zelenskiy. Shortly after the meeting, Starmer said the two sides had had a wide ranging discussion about strategy. People at airport, Britain, immigration, border, concept image This has been the week that the Governments fiscal watchdog let the immigration cat out of the Treasury bag. A report on the long-term outlook for the public finances from the Office for Budget Responsibility (OBR) confirms what people have long suspected: mass low-skilled migration is a financial disaster for Britain. In past modelling exercises, the OBR varied the level of net migration (immigration minus emigration). The issue is not just overall numbers, though that obviously does matter, but who exactly they are. This time, the OBR assumes net migration of around 400,000 a year for the next 50 years and instead varies the composition of those who arrive. One of the variables it tweaks is earnings, modelling three different types of legal immigrants. The migrant in the middle is like the average Briton: they have the same average earnings, pay the same amount of tax and use the same public services. This type of migrant, it says, represents a decent deal for the taxpayer. They arrive aged around 25, so we havent had to pay for their education or upkeep. As such, they contribute to the economy for decades. And while they cost us money in the long run, they dont become overall net recipients until they are very old. Next, there are what the OBR calls high-wage migrant workers, on 30 per cent more than the UK average. Their numbers look much better: by the time theyre 81 (the average life expectancy for a 25-year-old), they will have paid in 767,000 net. So its clear that welcoming such people is good for the public finances. Then the OBR models the fiscal profile of a low-wage migrant worker, on 50 per cent less than the UK average. This migrant is a net fiscal drain from the moment they enter the country. By the time they reach 81, they will have cost the taxpayer 465,000. This is a striking admission. For years, economic orthodoxy has held that all migrant workers are contributors, which suggests that the more migrants Britain admits, the richer it will be. Still, the negative net cost only applies to one group. Its hard to argue this is a total repudiation of Treasury thinking unless the blend of migration we actually have skews overwhelmingly towards being low-skilled. Some academics like to claim that the average migrant is pretty close to the average worker, in terms of salary. But the data we have casts severe doubt. A recent Centre for Policy Studies report looked at how many visas were issued in 2022-23 for different job types on skilled worker routes and compared them with the salary data for those occupations. This analysis suggested that around 72 per cent were likely to be earning less than the average UK salary. Fully 54 per cent were probably on just half of the average salary the OBRs low-wage migrant. Given another chunk were likely on around 40 per cent of the average salary, it appears that roughly three-fifths of workers on skilled worker visas are destined to be net recipients. If we extrapolate these figures, then 242,000 skilled worker visas went to low-wage migrants in recent years and just 91,000 to high-wage, with another 115,000 spread in between. By the age of 81, for every 1 contributed to HMRC by the high-wage migrant group, the larger low-wage migrant group will have taken out 1.60. The forecast becomes gloomier when you consider that the OBR has excluded dependents overwhelmingly more likely to be a drain on public finances from their analysis entirely. More dependents have been brought into Britain under skilled visa schemes than workers. If there are so many issues with the skilled visa route, where a small minority seem to actually be net contributors, what about the larger picture? Of 3.6 million visas issued in recent years, just 12 per cent went to workers on the skilled route. Around three-fifths of those are probably in the lower wage bracket. This overall leaves 5 per cent of visas going to higher skilled migrants who may be net contributors. The foundation for so many arguments in favour of mass migration crumbles away. Yes, Britain gets more scientists and engineers, but they make up only 0.6 per cent of granted visas. The NHS is indeed reliant on skilled overseas doctors, but they are just 0.8 per cent. Even the most basic consideration will a new entrant overall contribute more to the countrys finances than they take out is only true in 1 in 20 cases. As things stand, this is deeply worrying. The massive post-2020 immigration wave net two million and counting could leave fiscal scarring that will last for generations. Indeed, you can see this in the OBRs calculations. In its baseline scenario of average-wage migration, the UKs debt-to-GDP ratio rises to 274 per cent by 2074 a dire prospect. But in its alternative low-wage migration scenario, that debt figure reaches 351 per cent of GDP. And given our actual migration mix, we are much closer to that even more catastrophic trajectory. Belated changes made by the last government will help improve the migrant mix. But to make migration work better for Britain, we need to do far more to phase out low-wage, low-skill migration and shift towards a smaller number of higher-skill, higher-wage arrivals. Were unlikely to see any changes under the Labour Government, which remains ideologically committed to mass migration. Perhaps someone should point out that even the official long-term costs of low-skilled visas will dwarf Rachel Reeves supposed 22 billion black hole. Clover Moore celebrating at the ArtHouse hotel in Sydney. Photograph: Steven Markham/AAP Clover Moore has claimed a historic sixth term as the Sydney lord mayor despite a swing against her in a campaign she described as the toughest of her career. The longtime independent secured another four years in the top job on Saturday evening, declaring victory in front of supporters at the Arthouse hotel in Sydneys CBD. There were 10 candidates on the mayoral ballot. Moore, 78, said the final result could still be some time off but declared that she was winning in every booth before claiming outright success to the Guardian a short time later. Moore said: What a campaign it has been I think the toughest campaign Ive ever had. There was a really concerted campaign to try and get rid of me. She thanked the community for the support, notwithstanding all the misinformation, all the awful things that happened on this campaign. The lord mayor said she would focus on climate change, affordable housing and revitalising Chinatown and Oxford Street in her sixth term. Asked on Sunday if she would stand for another term, Moore told reporters: I dont think there will be Ive just won this one, so let me enjoy it. Related: Theyre all desperate: Clover Moore on defying News Corp, ageism and sexism to seek a record sixth term Voters across New South Wales headed to the polls for the local government elections on Saturday. The votes were being closely watched after the polls made national headlines when the state Liberal party disastrously failed to nominate 140 candidates in 16 councils. About a quarter of eligible residents voted in pre-polling. Counting began at 6pm when the polls closed. By 10pm on Saturday, Moore had 36% of the primary vote, down from almost 43% in 2021. She said that, based on preference flows from previous elections, she was expected to win. The Sydney MP Alex Greenwich stood beside Moore and her independent team as she addressed the crowd after keeping supporters across the results as they rolled in throughout the evening. He thanked volunteers who copped negatively from random weirdos while monitoring the booths. Despite the sizeable swing, Moore comfortably beat her opponents. Labors Zann Maxwell was sitting on about 17% of the primary on Saturday evening, followed by the Greens candidate Sylvie Ellsmore who enjoyed a swing of about 6% from the last election. Ellsmore said: Its clear that the people of the city of Sydney want our council to step up and start using their wealth and influence to deliver more affordable and accessible housing for our community. She will return to the council with the Greens hoping to secure a second spot in Town Hall. Related:Conspiracists and people linked to anti-lockdown campaigner among 120 fringe candidates in NSW council elections Moore was among 37 mayors up for election on Saturday. The Liberals bungling of nominations will likely result in Penrith, Camden, Northern Beaches, Lane Cove, Wollongong and Blue Mountains councils shifting to the left although the Liberals could win seats in Parramatta. Labor had a clear majority in Penrith with the Libertarian Party picking up enough votes to secure up to two seats in the absence of the Liberals. If those numbers stay steady, Labors going to get a clear majority, but theres going be just as many Libertarians on the council as Liberals, election analyst Ben Raue said. Early counting in Parramatta indicated the Liberals could pick up at least five seats and Our Local Community had been wiped out, Raue said. In Sydneys fast-growing south-west, voters in the Liverpool council looked to have backed incumbent Liberal mayor Ned Mannoun following a well-publicised fight with the Labor state government. Related: When they go low: Clover Moore apologises as volunteer caught allegedly pulling down Indigenous rivals corflutes Labor had attempted to address alleged serious maladministration and dysfunction in the council and defer elections, only to run out of time due to the mayors legal challenges. Earlier on Saturday, Moore apologised to her Indigenous political opponent Yvonne Weldon after one of Moores volunteers was accused of removing corflutes outside the National Centre for Indigenous Excellence in Redfern. Weldon posted a video recorded by one of her team members of a man who had allegedly taken down the corflutes to make way for Moores banners. Moore apologised and said it should not have happened. Shortly before polls closed, Weldon said she was proud of the positive and community-led campaign she ran. She was on track for about 11% of the primary vote. The acting NSW electoral commissioner, Dr Matthew Phillips, said: Our staff will have a very long day today after starting early and are about to start a long night of counting. Additional reporting by AAP Marius Borg Hoiby and Norwegian Crown Princess Mette-Marit, his mother. Mr Borg Hoiby denies contacting his former girlfriend - Khakon Mosvold Larsen/EPA-EFE/Shutterstock The Crown Princess of Norways eldest son has been arrested for allegedly violating a restraining order imposed after he allegedly assaulted his ex-girlfriend. Marius Borg Hoibys lawyer said the 27-year-old was accused of contacting the victim once using a hidden phone number after police announced the arrest on Saturday. Police now also suspect Mr Hoiby of crimes against three other victims domestic abuse of two women and threats to another person which he denies. Mr Hoiby is the son of Crown Princess Mette-Marit, who was a single mother when she met the heir to the throne, Crown Prince Haakon, who has raised his stepson since he was three. Mr Hoiby, who has never held a royal title, was first arrested on Aug 4 at the womans apartment in Oslo. They were in a relationship at the time. He is accused of causing her bodily harm, which can carry a sentence of up to a year in jail, and she was discharged from hospital the next day after being diagnosed with a concussion. Local media said police found a knife stuck into one of the walls of the womans bedroom at the time. Mr Hoiby was arrested on Friday at a cabin in the municipality of Gusdal, where he was on a hunting trip with friends. He was released on Saturday. Marius Borg Hoiby was arrested on Friday at a cabin in the municipality of Gusdal, where he was on a hunting trip with friends - Julian Parker/UK Press via Getty At the beginning of September, police received information that Marius Borg Hoiby had, on several occasions, made unwanted contact with the woman, who has the status of victim in the incident, police said on Saturday. They filed a report for reckless behaviour and imposed a restraining order on Hoiby, it said. They subsequently received information that Hoiby had violated the restraining order and he was rearrested late on Friday owing to the risk of recurrence. Oyvind Bratlien, Mr Hoibys lawyer, said his client denied the allegations and that he should never have been arrested. In my 17 years as a defence lawyer, I have never experienced or heard of an arrest on such a flimsy factual and legal basis, Mr Bratlien told broadcaster NRK. Hoiby was arrested because he allegedly contacted the victim once on Saturday Sept 7, despite the restraining order, from a hidden telephone number, Mr Bratlien told Aftenposten. Mette-Marit married Crown Prince Haakon in 2001. They raised Mr Hoiby with his step-siblings Princess Ingrid Alexandra, 20, and Prince Sverre Magnus, 18. He would make public appearances with his mother, stepfather and siblings before stepping back from public life in 2017, when he was 20, because he wanted privacy. A police review in 2022 found that only 40% of reports of police perpetrated domestic abuse (PPDA) resulted in a misconduct investigation. Photograph: darrensp/Getty Images/iStockphoto Paula was married to a Metropolitan police officer for more than a decade, during which time, she says, he attacked her with a knife, exhibited coercive and controlling behaviour and filmed her covertly in her own home 24 hours a day for several years. Hed provoke me and I would shout back while he sat there calmly because he knew the cameras were on. He wouldnt let me sleep at night, so at times I was irritable with my child. He edited my worst moments on film into a montage and had me arrested for child cruelty, Paula says. Soon after, I reported him for domestic abuse. While I spent the night in a police cell, he was allowed to continue in his job in surveillance. When I told the desk sergeant about the coercive behaviour, voyeurism and attacks, he said hed call my then husband in for a chat. My abuser was not arrested and footage of him assaulting me was not seized. The differences between how the police investigated me and how they investigated him are like night and day. My abuser was not arrested the difference in how police investigated me and how they investigated him is like night and day Paula For months, Paula was only allowed to see her child twice a week with supervised access until cleared of the charge. The officer investigating the case of child cruelty against her had made representations to the magistrates in the criminal case and in the custody case about the seriousness of the video. She subsequently admitted she had not viewed it. Three years on, Paula is still embroiled in a custody battle. Her ex-husband and more than 20 of his police colleagues are under investigation to determine whether a charge of discreditable conduct proceedings is actioned. It is a long drawn-out process, Paula says, about which she has received little information and in which she has no confidence. On Wednesday, the legal charity the Centre for Womens Justice (CWJ) will publish a damning report, titled Police Perpetrated Domestic Abuse. Has Anything Really Changed since the 2020 Super-Complaint? A super-complaint is a mechanism to identify and address systemic issues in policing. In 2020, the super-complaint, largely upheld, drew on the experiences of 19 women spanning 15 of the 43 police forces in England and Wales that now employ around 150,000 officers. Common themes then included failures to investigate complaints, workplace victimisation of women who were themselves police officers and victims arrested when the abuser made a counter claim. A police review in 2022 found that only 40% of reports of police perpetrated domestic abuse (PPDA) resulted in a misconduct investigation and only eight cases out of 122 were referred to the Independent Office for Police Conduct (IOPC). Criminal charges occurred in only 9% of cases. Following the super-complaint, reforms were promised. So, almost five years later, is PPDA now properly recorded, investigated, addressed and monitored to ensure justice for victim-survivors and to restore trust in the police? Change is slow and making it happen is a giant enterprise, says Harriet Wistrich, the founder and director of CWJ . Each force is led by a chief constable with his or her own priorities. A few forces are really trying but others arent doing very much at all. Many of the women, caught in a horrendous web, are experiencing exactly the same problems as we first encountered in 2020. Since then, more than 200 women married to police officers (45% of them serving officers themselves) subjected to PPDA, have contacted the CWJ. Their cases are shocking. Rose, a police officer, tried to report her ex-husband, a fellow officer, for controlling and coercive behaviour, excessive drinking and emotional cruelty to their children. Her inspector said no record would be made because the force couldnt be seen to be taking sides. Lorraine said her abuser had two separate rape allegations against him from two women with no prior knowledge of each other. He was promoted to chief inspector. Another police employee said that she had made a complaint of rape and controlling and coercive behaviour against her police partner. She learned his previous partner had also made a complaint of rape. The man had retired but was rehired by the force as a civilian investigator, incredibly, in its professional standards department. Wistrich says that in 2024, as in 2020, the lack of accurate data on PPDA means that the scale of the problem is still unknown. Safeguards to ensure that the officer investigating a PPDA complaint doesnt have links with the accused have been introduced, but are they effective? In one case, the investigator was also the accused officers mentor. The CWJ wants a bespoke reporting channel, with women able to make a complaint directly to the IOPC; PPDA investigations handled by an external force; and legal reforms to ensure every allegation of PPDA is recorded, investigated and reported to the IOPC. Wistrich says: We are also concerned that the voices of women impacted by PPDA are not being heard to inform what changes are needed, which reforms are working and where issues remain. Another major concern is the defective system of vetting that permitted rapist Met officers Wayne Couzens and David Carrick to remain in their jobs in spite of multiple horrific complaints. In one period surveyed in the Met police, 500 officers had been investigated for misconduct including sexual and domestic abuse between three and five times. Only 13 with more than one misconduct case had been dismissed. Operation Onyx is now retrospectively reviewing 1,636 previously concluded cases of sexual offending and/or domestic abuse over a decade and has a new Met vetting policy. Nationally, although vetting has been improved, instances of misogyny, domestic abuse and coercive and controlling behaviour are frequently not triggers for a review of vetting. When a police officer has committed any act of VAWG [violence against women and girls], that information should automatically result in a review, Wistrich says. She also says coercive and controlling behaviour is not properly understood by investigators. It is too often seen as unpleasant behaviour and part of an officers private life and therefore not a criminal matter. Some women have told the CWJ that their ex-partners had been promoted into senior roles working directly with victim-survivors of domestic abuse despite having been the recipients of such allegations themselves. Wistrich says: Abuse of power and exploitation of vulnerability in the home should be recognised as significant risk factors for policing outside of the home too. What has clearly changed since 2020 is the priority policing now gives to tackling VAWG. A tidal wave of frameworks, guidelines, training and reviews by the IOPC, the National Police Chiefs Council (NPCC) and the College of Policing recognises that VAWG is a national emergency for policing. The Labour government has promised to half the rate of VAWG in a decade. Deputy chief constable Maggie Blyth, the NPCCs first lead for VAWG and deputy CEO of the College of Policing, told the Observer: How policing deals with officers accused of VAWG is under the microscope, and rightly so. We know change hasnt been quick enough and much more needs to be done. We must foster a policing culture which ends criminal behaviour and misconduct and also calls out acts of misogyny and sexism, which are systemic within policing and undermine our high standards. We want officers to be upstanders, not bystanders, and call out bad behaviour. Victims must be at the heart of every investigation Changing the way we respond is crucial We are fully committed to honouring the findings of the CWJs super-complaint. Wistrich says: A significant gap still exists between police commitment and actual change. It may be that something more radical is needed. One major issue is that while there are various oversight mechanisms such as the NPCC, it can only recommend and not enforce. Is it time to ask for a tougher system nationally to hold police to account? According to the police, one in 20 people is a perpetrator of VAWG. If that one in 20 is also a serving police officer, currently he has little reason to fear the law. Security at the main entrance of the hall were seen collecting banned flags in a clear plastic box Concert-goers had EU flags confiscated at the Last Night of the Proms. Last month, the Royal Albert Hall released guidance banning flags including those from proscribed groups, flags related to protest, hatred from the patriotic Proms finale. The venue has previously stated that their policy on banned items has not changed, and that new wording has simply been introduced to make its rules clear. But this stance does not appear consistent as there appeared to be little agreement among security staff, on the ground on Saturday, as to how the rules should be enforced, and some had flags removed from them by door staff. Some ticket-holders had their EU flags confiscated, while others entered the auditorium carrying the yellow-starred blue flags without any difficulty. The policy even caused concern among patriotic Union flag waving concert-goers, who feared being stripped of their flags by security staff. The last night has previously seen anti-Brexit campaigners waving EU flags, as part of a campaign by a pro-Europe group. Craig Amey, 35, from Leicester arrived draped in Union Flags - Julian Simmonds/Julian Simmonds It is tradition to wave a flags on the final evening, which always features a rendition of the national anthem. Craig Amey, 35, a council worker from Leicester, arrived at the Proms wearing a full Union flag suit complete with bucket hat. Kick me out if you want to but you are not going to take my suit off, he told The Telegraph, adding that, The music should take priority. Guidance released last month stated: Flags are permitted at the Proms and are traditionally part of the Last Night celebrations provided they do not interfere with the smooth running of the concert. It adds that some flags will not be allowed in the auditorium, including those from proscribed groups, flags related to protest, hatred. It also sets out size limits for the size of flags. An additional PDF document has been provided online clearly setting out restricted items. This states that flags related to protest may be confiscated. At the venue last night, staff had been given guidance specifying that all national flags would be allowed inside. Initially a member of the security staff advised this newspaper that EU flags would be permitted in the auditorium. But this was later contradicted by a second member of staff, who stated that neither EU nor Palestinian flags would be permitted. This second security guard was then witnessed telling a concert goer that his modestly-sized EU flag was not allowed inside the auditorium. Campaigners and musicians performed Beethovens Ode to Joy on the steps outside the Albert Hall in protest at the lack of post-Brexit agreement for touring arts - WIKTOR SZYMANOWICZ/FUTURE PUBLISHING The attendee was however permitted to keep the flag and wait in the cafe instead. Jingoistic celebration of Brexit During the patriotic Last Night, which includes renditions of Land of Hope and Glory, Rule Britannia and Jerusalem, the auditorium is typically filled with audience members carrying the Union Jack. But the venues clear statement that certain flags are unacceptable came after public concern over the increasing number of audience members waving the EU flag instead of the Union Jack at the Last Night. Yesterday campaigners from the pro-EU group Thank EU for the Music campaign group were distributing EU flags outside the venue. The group is motivated by concern that the event would be hijacked and used as a jingoistic celebration of Brexit. Charlie Rome, 40, from south London, helped organise Thank EU for the Musics demonstration at this years Proms. Mr Rome, who is a part time tenor that has sung at the BBC Proms this year, said: We are Proms lovers, we dont want to spoil it. We are not trying to make a mess of things. I sing here, I dont want to get banned. But you have to make as loud a noise as you can. It is understood that the Royal Albert Halls official position is that only flags larger than 1m x 0.5m would be confiscated. The only exception to this would be if someone attempted to bring in a large box of flags to distribute inside the Hall and in this scenario they would also have their flags removed. But on Saturday it was not clear that this policy was being adhered to. At one point two security guards were seen confiscating EU flags from multiple ticket-holders as they passed through the back check area. A clear plastic box containing confiscated EU flags could be seen at the side of their table. Staff suggested these flags were being taken from people carrying two or more EU flags they did not appear to be only removing flags from those attempting to bring in boxes of them. Steve Bray, 55, an anti-Brexit activist from Port Talbot told The Telegraph: Music should not be brought into politics. I know that sounds rich coming from me. Sam Hollings, 36-year-old amateur dancer, said: We need to make Europe less of a dirty word. Duke of Sussex is celebrating his 40th birthday When the Duke of Sussex heads off into the mountains with a handful of his closest friends to celebrate his 40th birthday, he will have plenty of time to reflect. Having spent his actual birthday on Sunday with his wife and children, he will mark the transition to his fifth decade with fresh air and, it is hoped, wise counsel. He will have much to think about. His 40th birthday could hardly look more different to his 30th, when he was still a bachelor on the London nightclub scene, fresh out of the Army and celebrating with a party thrown by his brother at his fathers house. Now, as hardly needs repeating, he is on the other side of the world. His life of Royal duty is over, his palace residence swapped for a Californian mansion complete with swimming pool and mortgage, and his accent distinctly Americanised. He is wholly estranged from Prince William and on difficult terms with his father, with whom he shares some contact but whom he is thought to have seen only once this year after learning he had cancer. The Duke and Duchess of Sussex during their recent visit to Colombia - ERIC CHARBONNEAU/GETTY IMAGES Prince Harry is, he has said, excited to be 40. He will have a party with the Duchess, their children and friends at home, Hello! magazine reports, before heading away for what has been called a boys weekend in the mountains. Sir Elton John, The Sun claims, has sent orchids. If the Duke is given to introspection, which the existence of his memoir suggests he is, the milestone birthday is a moment to take stock of all that has happened in the past decade, and make plans for the next. He is undoubtedly at a turning point in his working life, in which he can do almost anything he wants outside the confines of palace life as long as it pays his new bills. The rest of this year lays out something approaching a blueprint. Having dabbled in various paid roles (an impact partnership with investment firm Ethic; Chief Impact Officer of mental health company Better Up) and in showbiz (the now-ended Spotify deal; Netflix) he will next week return to a programme that could have come straight from his days as a working royal. In a trip to New York from Sept 23, he will attend events with The Diana Award, The Halo Trust, his ecotourism company Travalyst, and African Parks. He is expected to make an appearance of sorts for the annual Wellchild Awards, for seriously unwell children and their families, and there are whispers of the Sussexes third tour of the year to Botswana or Lesotho. He is set on working in the realm of online safety and social media harm. December, more unexpectedly, will bring his documentary Polo, about the so-called sport of kings for Netflix. It has been a short while since he has given an interview about his family back in Britain, with criticism of his Royal life recorded for ever in memoir Spare, an Oprah interview and a six-part television series, but appearing to peter out. There is still much to resolve. Prince Harry is still in a battle against some of the UK tabloid media over phone hacking, and appealing a decision over his taxpayer-funded security when he travels to Britain. The security issue, those who know him have said, is his central preoccupation, after he claimed he could not currently bring his wife and children to his birth country. His childrens relationship with their paternal side of the family is limited, with no sign that they will visit Britain, their grandfather the King, or their Wales cousins any time soon. His contact with William is said to be non-existent. He has been seeking a new chief of staff, having parted ways with the last after a trial period. In the week leading up to his birthday, the influential American trade magazine Hollywood Reporter published an unflattering report of the Sussexes staffing issues entitled Why Hollywood Keeps Quitting on Harry and Meghan. In happier news, seeming to counter the narrative that the Duke and Duchess are not seen out and about much in the area they now call home, they have been photographed at the celebrity launch of their local bookshop. As it stands, and unlikely to change, is Prince Harrys dedication to his favourite role: that of father. In emailed statements to US celebrity magazine People and the BBC this week, he put his role as a dad into the limelight as one of lifes greatest joys [which] has only made me more driven and more committed to making this world a better place. On the topic of birthday presents, he added: The best gift Ive ever been given is, without doubt, my kids. I enjoy watching them grow every single day and love being their dad. Aside from his exercise habits, dog walks and school runs for Prince Archie and Princess Lilibet, the details of Prince Harrys day-to-day new life are limited just as he likes it. As he draws a line under his first memoir, deciding not to update it for the paperback despite the huge sales that would guarantee, he is said to be seeking to look forward rather than back. Whatever the age, my mission is to continue showing up and doing good in the world, he said. If his 30s saw Prince Harry find freedom, perhaps his 40s are the decade to finally find peace. Pal Hermann was 42 years old when the Nazis sent him to a death camp - it is not known where he died - Kate Kennedy A Holocaust survivor will be reunited with her fathers cello more than eighty years after the renowned Jewish composer was murdered by the Nazis. Pal Hermann, the distinguished Hungarian-born cellist and composer, fled his home in Berlin to hiding in France but was found by secret police and sent to a death camp in 1944, aged 42. His daughter, Cornelia Hermann, who was just seven years old when he died, never lost hope of finding the instrument which the family had stolen back after his capture, but were later forced to sell when they fell on hard times. According to a new book by Dr Kate Kennedy, the instrument was the nearest she could get to glimpsing her father and hearing his voice. Hermann had been given the 18th-century cello by a wealthy Dutch couple after he damaged his own in an act of flamboyance, using it as a dance partner at his patrons London townhouse in 1928. The replacement cello, made by Nicolo Gagliano, an Italian luthier, was rescued in a daredevil burglary by Hermanns relatives after his capture, but was later sold when the family needed money for Cornelias studies. Sam Lucas played the lost cello at a competition, unaware of it history - YouTube Dr Kennedy discovered that it had been played by a Carl Herzbruch in Cologne in the 1960s but subsequently disappeared. The author came across Hermanns story when writing her book Cello: A Journey Through Silence to Sound, and issued an appeal on social media for help. She noted that the cello was recognisable by the burnt Latin inscription on its ribs which reads: Ego Sum Anima Musica [I am the soul of music]. She wrote that she would love to have his concerto performed on it, and its owner know its true identity. Chance rediscovery Jian Wang, a cellist, read the book and happened to recognise Hermanns cello from his time on the Queen Elizabeth cello competition jury, when he had seen young musician Sam Lucas play the instrument in 2022. Mr Wang tracked the cello to the Robert Schumann Hochschule in Dusseldorf, where it had been loaned to star student Mr Lucas, who was unaware of the instruments history. Ms Hermann, 92, is said to be delighted that the cello has been located. She will have the opportunity to see the instrument being played at the Wigmore Hall in London on Sept 29. A few years ago, Ms Hermann found a manuscript of a cello concerto written by her father among family papers. It has now been edited, recorded and a section of it will be played by Mr Lucas on the very cello on which it was composed. Hermann was born in Budapest to middle-class Jewish parents in 1902. His family rarely attended synagogue and encouraged him to pursue his career as a cellist. In her book, Kennedy wrote: Jews were so integrated in his home town of Budapest that singling them out as different would have been absurd. And yet, Pals Jewishness would come to define him. Hermann made his breakthrough performing Kodalys Sonata for Solo Cello in Vienna at the invitation of the eminent composer Arnold Schoenberg, and moved to Berlin in 1923 to pursue music. Critics at the time had labelled him the already world-famous Hungarian cello genius and he had attracted comparisons to Pablo Casals. Patrons in London It was in London in 1928 that Jaap de Graaff and Louise Bachiene, arts philanthropists, took a shine to Hermann. The Dutch couple replaced his wrecked cello with the Gagliano through an extended loan agreement, though both parties knew he would not be able to pay it back. When Hermann embarked on a recital tour of the Netherlands, the couple encouraged their musical niece, Ada Weevers, to hear him play in Amsterdam. Pal Hermann was hailed as an international star by the time he was 21 - Kate Kennedy The pair fell in love and married in Amersfoort, near Utrecht, in 1931, before moving to Berlin where they lived a fulfilled life among kindred spirits. Ms Hermann, the couples daughter, was born in 1932, but the family fled to the Netherlands a year later when Hitler came to power. Ms Weevers died suddenly aged just 25 after reportedly becoming trapped in a gully while swimming in the North Sea. Hiding in south of France Ms Hermann was left with her non-Jewish family while Mr Hermann moved first to Brussels and then Paris before hiding in the south of France in 1939. By then, he had changed his name to De Cotigny and evaded the Nazis by living inconspicuously in a farmhouse owned by the Graaff-Bachiene family near Toulouse. Becoming restless and needing income, Mr Hermann moved to the city where he taught music and played in concerts before he was rounded up by a street razzia in Toulouse in February 1944. He was held at Drancy internment camp before being herded into cattle trucks as part of Convoy 73 on May 15 1944. Dr Kennedy said the train was destined for the Fort of Death near Kaunas in Lithuania a place of mass murder of Jews from around Europe and no record has been found of Hermanns fate. She added: If the facts of his life had been different, his work would be well known, programmed in chamber music festivals and recitals alongside Bartok or Kodaly. But instead, his work is only now being rediscovered, after a seventy-year silence. Jack White - Scott Legato At 9pm sharp at the 890-capacity Islington Assembly Hall, Jack White laid out his terms and conditions. Anybody who stands still and doesnt move their bodies tonight will be arrested, he declared while stalking the stage like a caged animal. What followed was less a concert and more a prolonged assault. The momentum was so sustained that Whites three-piece band continued playing between songs. At the end of the night, a walloping version of Steady, As She Goes his first song with previous outfit The Raconteurs sounded like an explosion in a Led Zeppelin factory. Despite having decoupled from previous bands, the taste-making 2000s duo The White Stripes, as well as The Raconteurs and Dead Weather, as a solo artist, Jack White remains a reliably popular draw both on record and onstage. In north London, his towering presence, fizzing with mania, provided a welcome sight. After all, what is rock and roll without its genuine rock stars? Naturally, it was sensational stuff. If the halls cream and maroon walls and its wooden stage gave the impression of a school theatre, the sight of Jack White tearing through any number of guitar solos put me in mind of Marty McFly, in Back To The Future, inventing rock and roll by running wild through Chuck Berrys Johnny B. Goode. Elsewhere, when referencing his hometown of Detroit, the 49-year-old was like a reincarnated Wayne Kramer, the late great lead guitarist from the MC5. Throughout, much that is good about loud and organic music seemed to have been amassed under one roof. With his percussive technique setting the tempo, White combined the roles of frontman and bandleader with frenetic aplomb. The sight of him whispering, or yelling, in the ears of his pummelling ensemble suggested on-the-fly jams and improvisations that gave the performance an air of electric unpredictability. The filthy blues-style shuffle of Archbishop Harold Holmes, from the recent No Name album, sounded like the soundtrack to an orgy in a swamp. White Stripes bangers such as Dead Leaves and the Dirty Ground, Fell in Love with a Girl and Seven Nation Army saw those downstairs bouncing on the balls of their feet. In the pronounced heat, at least one person fainted. Perhaps one shouldnt expect anything less from a man who looked like hed come to rock and roll all night. As the evening entered its final throws, White spoke with disdain of the constraints of a curfew that must surely have been anticipated in a venue affixed to a town hall. He decried decibel restrictions without which an audience of 1,400 people would likely have gone deaf. The nights most telling moment, though, came in the thick of the action. This is not the kind of rock and roll you get at Wembley Stadium for 400, White announced in something approaching a scream. Well, no, it is not. While erstwhile peoples bands such as AC/DC, Green Day and Oasis have bought into the video-screens and three-figure-ticket-price racket, Jack White appeared to be waging a one-person campaign for the soul of rock and roll (and for the rather reasonable sum of 55 quid a head, at that). This war, of course, may not be one he can win, but on the strength of his showing in north London, hell die trying. Jack White plays the Liverpool O2 Academy tonight and Brighton Chalk on Sunday. Channel 5 show Jay Blades: The West End Through Time was no longer available on My 5 Jay Bladess Channel 5 show appears to have been taken off the broadcasters streaming site following his prosecution for alleged controlling or coercive behaviour towards his wife. Jay Blades: The West End Through Time was not available on My 5 on Saturday. It comes after the BBC dropped a repeat from Fridays schedule of David & Jays Touring Toolshed, a programme combining Blades and Sir David Jason. The 54 year-old appeared at Kidderminster magistrates court on Friday having been charged by West Mercia Police. The charge relates to his estranged wife Lisa Zbozen, according to court documents. He made his name fronting the prime-time BBC show The Repair Shop, episodes of which and other shows he presents remained on the BBC iPlayer as of Saturday. Jay Blades made his name presenting the prime-time BBC show The Repair Shop - Guy Levy The BBC has refused to comment on the legal case or on the presenters future at the corporation. Since news of Bladess prosecution became public, Buckinghamshire New University revealed that he had resigned from his role as its chancellor with immediate effect. A two-part series, Jay Blades: The West End Through Time explores the origins of Londons theatreland, featuring interviews with both historians and people who currently work there. The furniture restorer was made an MBE for services to craft in 2022. Blades married Lisa Zbozen, a fitness instructor, at a beachfront villa in Barbados in 2013 He married Lisa Zbozen at a beachfront villa in Barbados in November of the same year. She shared a news article revealing that Blades had been charged with controlling or coercive behaviour. The presenter, who was granted bail on Friday, is next due to appear in court at Worcester Crown Court on Oct 11. The Repair Shop was last aired on Wednesday. Last year, it won the award for the best daytime show at the National Television Awards, and received a nomination this year but did not win. It is understood that the BBC is reviewing its scheduling. Channel 5s parent company, Paramount Global, has been approached for comment. Laura Loomer - AP/Matt Rourke Laura Loomer got her start as a truth-telling Right-wing activist by slipping into the background. She used hidden cameras and microphones to try and record embarrassing conversations with woke university officials or else members of the Hillary Clintons 2016 presidential campaign. Once describing herself as a proud Islamophobe, she was banned from Facebook, Instagram and Twitter. These days, the 31-year-old is very much front-of-stage as an outspoken supporter of Donald Trump, travelling on his private plane and showing up at events such as the 9/11 memorial ceremony. And some commentators have made reference to this apparent closeness to speculate, without hard proof, that her relationship with the former president may be more than platonic. Laura Loomer on her phone in West Palm Beach in the aftermath of the second assassination attempt on Donald Trumps life - AP/Terry Renna The television host Bill Maher suggested Ms Loomer and Mr Trump were involved in a arranged relationship. Ms Loomer was quick to reject similar claims made online, again without evidence. I should sue Bill Maher for Defamation. This is beyond the pale and its a complete and blatant lie, she wrote on X, formerly Twitter. I have never in my life seen such a coordinated attack by the mainstream media, the White House and leftist personalities to target a private citizen and investigative journalist simply because I flew on a plane and I support Donald Trump. She added: And its a full blown lie and incredibly disrespectful to President Trump and First Lady Melania Trump. Its very obvious some type of memo went out because the reaction to what I said is completely overblown and its a full blown character assassination campaign. The 78-year-old Mr Trump, who has frequently been photographed with his arm around Ms Loomer, has been warned of the potential danger of associating too closely with her by allies such as Congresswoman Marjorie Taylor Greene and Senator Lindsay Graham. Laura Loomer (left in striped top) takes a photograph of Donald Trump - AP/Matt Rourke This is such an important election. I dont think that she has the experience or the right mentality to advise, Ms Greene said. I do know this, that her rhetoric and her tone does not match the base, it does not match MAGA. The pair were attacked on social media in no uncertain terms by Ms Loomer for doing so. Trump defends his ally Laura Loomer On Friday, Mr Trump defended his association with her when she held a press conference in California. Lauras been a supporter of mine. She speaks positively of the campaign, Mr Trump said. I dont control Laura. Shes a free spirit. But Mr Trump later wrote on social media that he disagreed with the statement Ms Loomer had made about Ms Harris, appearing to distance himself from his cheerleader. He added: But, like the many millions of people who support me, she is tired of watching the Radical Left Marxists and Fascists violently attack and smear me, even to the point of doing anything to stop their Political Opponent, ME! Ms Loomer, writing on X, formerly Twitter, thanked someone who had applauded her activism. Thank you. I am a very tough person, she wrote. You cant be involved in investigating political corruption unless you have tough skin. The White House will smell like curry Last weekend, Ms Loomer said if Ms Harris - who is of Indian and Jamaican heritage - won the election the the White House will smell like curry. Mr Graham, the senator from South Carolina, denounced the remarks as abhorrent. But its deeper than that, he said. Some of the things shes said about Republicans and others is disturbing. Asked about such comments, Mr Trump said: Shes a strong person. Shes got strong opinions, and I dont know what she said, but thats not up to me. Shes a supporter. He added: I do know that she may have said something based on what youre telling me, but I dont know what she said, but Ill go take a look and Ill put out a statement later on. Earlier this year the New York Times reported Ms Loomer was being hired by the Trump campaign, though it was strongly denied. Laura Loomer arrives ahead of the Trump-Harris TV debate in Philadelphia - Reuters/Eduardo Munoz What appears certain is that Ms Loomer has become increasingly close to Mr Trumps campaign, and was seen departing his plane when he landed in Philadelphia for Tuesdays debate. She was seen with other members of the campaign team and was in the media spin room afterwards. Her presence comes just weeks after several former campaign staff from 2016 and 2020, have returned to his campaign team. Among them is former campaign manager Corey Lewandowski, best known for telling colleagues: Let Trump be Trump. Loomer insists 9/11 was an inside job The day after the debate Ms Loomer, joined Mr Trump in New York and Pennsylvania as he and others commemorated the anniversary of the 9/11 attacks even though last year she had posted on social media that 9/11 was an inside job. HAPPENING NOW: President Trump just visited the Shanksville Fire Department after visiting the memorial site of United Flight 93 and meeting with family members of 9/11 terrorist attack victims in Shanksville, Pennsylvania, she posted on X on Wednesday afternoon. NEVER FORGET! Some of the 9/11 survivors and people who lost loved ones that day questioned her presence. Its baffling to me that anyone within Trumps orbit would believe the inside job theory when Trump himself declared that Saudi Arabia was responsible for the attacks of 9/11, Sharon Premoli, who was on the 80th floor of the North Tower when it was struck but somehow survived, told The Telegraph. If Laura Loomer has unambiguous and indisputable proof of this old conspiracy theory that has never been proven thus far, the public would like to see it. Ms Loomer has also posted false claims about Haitian migrants in Ohio eating family pets. Mr Trump repeated the allegation himself during the debate, something that triggered alarm from his allies and led the moderators to say there was no evidence to support the claims. Two students and two teachers were killed in the shooting at Apalachee High School earlier this month - GETTY IMAGES Officials in Georgia have released the audio and records of emergency 911 calls received in the moments after a deadly high school shooting in which a police officer is heard to say: Active shooter! In one clip, the dispatcher repeats the words back to the officer. Another officer this time is heard to reply: Correct. We have an active shooter at Apalachee High School. Later in the audio, an officer is heard a little out of breath, as he says a suspect is in custody and he tells the dispatcher: Roll EMS. She tells the officer that emergency medical services have been dispatched to the school, located 50 miles east of Atlanta. Two students and two teachers were killed in the incident on Sept 4, and a 14-year-old pupil, Colt Gray, has been charged with four counts of murder. The teenagers father, Colin Gray, was also arrested and charged with several offences, including second-degree murder, after officials learned he had given his son the AR-15 semi-automatic rifle used in the incident as a gift. In another emergency call, a father calls the dispatcher about her daughter, the school psychologist, who is hiding in a trailer with students but cannot lock the door. My daughter just called, shes in a trailer, the father said. Shes trying to hide behind a desk with the kid that she has in there with her... but shes upset because she cant get the door locked. In another emergency call made public on Friday, a person tells the dispatcher her daughter has just called from the school. My daughter calling me crying. Somebody go boom, boom, boom, boom, the caller tells the dispatcher. The dispatcher replies: Maam we have officers out there, OK? The woman says: Oh yes, please at Apalachee High School. Some of the calls were picked up by neighbouring Gwinnett County dispatchers given the high call volume. Barrow County 911, what is your emergency, the dispatcher says. The Gwinnett dispatcher says: Hey, Barrow, this is Gwinnett, we have a caller on the line who said he got a call from his girlfriend advising of an active shooter at Apalachee High School. The dispatcher from Barrow says: I have units on the scene there, I cannot confirm or deny what is going on there. The teenager is to be tried as an adult. However, officials have said he will not be eligible for the death penalty if found guilty. The teenagers mother, Marcee Gray, had called the school before the shooting began and asked administrators to check on her son after he texted her saying: Im sorry, mom. The exchange took place at an undisclosed location in Ukraine. The number of captives exchanged through UAE mediation is now 1,994. Photograph: Ukrainian Presidential Press Service/Reuters More than 100 Ukrainian prisoners of war will be able to return to their families after an exchange of captured members of the Russian and Ukrainian armed forces. The prisoner swap on Saturday, mediated by the United Arab Emirates, involved 206 military personnel from both countries. Ukraines president, Volodymyr Zelenskiy, said that of the 103 Ukrainian warriors who were released, 82 were soldiers and privates and 21 were officers, including police officers and border guards. Later, he said that his forces incursion into Kursk had helped bring about the prisoner exchange. In his nightly video address Zelenskiy thanked his forces for their work on the prisoner exchanges, and added: In particular, our operation in the Kursk region gave a necessary boost. Photographers captured the moment that the smiling and emotional Ukrainians, wrapped in their countrys flag, embraced their fellow soldiers after being swapped at an unknown location in Ukraine. They looked pale and thin, and all of the men released had shaved heads. One kneeled on the ground, his national flag draped around his shoulders, and stared down at his homeland as he made an emotional phone call. In return for their freedom, Ukraine has handed over 103 Russian military personnel taken prisoner in the Kursk border region when Ukrainian forces launched a surprise incursion in August. The Russian defence ministry said in a statement that all these Russians were now in Belarus, where they are being provided with the necessary psychological and medical assistance, as well as an opportunity to contact their relatives. It is the second such swap since Ukraines incursion into the Kursk region, and occurred after mediated negotiations between the two countries. UAE officials said that the number of captives exchanged through its mediation efforts now stood at 1,994. On Saturday, Ukraine made a new call on the west to allow it to strike deeper into Russia, after a meeting on Friday between Joe Biden and Keir Starmer failed to produce a visible shift in British and US policies on the use of long-range weapons. Zelenskiy has been pushing for months to use British Storm Shadow missiles, which can strike targets at least 190 miles (300km) away, to bomb airbases, missile sites and other military targets inside Russia. So far, the US has only allowed Kyiv to use American-provided weapons to strike within a limited area inside Russias border with Ukraine. Russian terror begins at weapons depots, airfields and military bases inside the Russian Federation, the Ukrainian presidential adviser Andriy Yermak said on Saturday. Permission to strike deep into Russia will speed up the solution. On Thursday, Vladimir Putin warned western leaders that allowing Ukraine to use western-made long-range missiles would amount to Nato being at war with Russia. At Fridays foreign policy summit with Starmer at the White House, Biden said he did not accept that and then told reporters: I do not think much about Vladimir Putin. On Saturday, a senior Nato military official said Ukraine would have a good reason to strike deeper into Russia using western weapons. Adm Rob Bauer said the law on armed conflict gave a nation the right to defend itself and that did not stop at its border. He said: In military terms, you do (those attacks) because you want to weaken the enemy that attacks you in order to not only fight the arrows that come your way, but also attack the archer. So, militarily, there is a good reason to do that; to weaken the enemy, to weaken its logistics lines, fuel, ammunition that comes to the front. The survivors are seeking $2m per claim. Photograph: Gerald Herbert/AP With a self-imposed deadline looming to file a plan to reorganize New Orleans bankrupt Roman Catholic archdiocese, a committee representing about 500 survivors of clergy sexual abuse in south-east Louisiana on Friday proposed that the organization, its affiliated churches, ministries, schools and their insurers should pay more than $1bn to settle their claims. The archdiocese quickly answered with its counter-proposal: $62.5m, or more than $900m less. Looking at it another way, the survivors are seeking $2m per claim the church is offering $125,000 on average. Related: Gotta save lives: Louisiana nurse saves motorist during Hurricane Francine The vast majority of the money in the abuse claimants proposal roughly $800m should come from insurance companies, according to the plan filed on Friday in US bankruptcy court by a negotiating committee representing the abuse creditors. Meanwhile, the archdiocese should pay $84m and its affiliates known as apostolates should chip in $133m. In the churchs competing plan, the archdiocese was prepared to offer $50m and its apostolates $12.5m. Nothing additional would come from the archdioceses insurers. The churchs proposal includes non-monetary considerations, but it did not immediately file any of those details. New Orleanss archbishop, Gregory Aymond, has said he planned to disclose documents on clergy abusers that the church has long fought to keep secret. In a letter to Catholics in the region, Aymond said the church was negotiating actions that we publicly pledge to take to continue our commitment to ensuring our parishes, schools, and ministries are safe places for all to grow in faith, be educated, and to participate in ministry. The archdiocese has already paid about $40m in legal and professional fees to go through the bankruptcy process so far well over the $7m that the church initially claimed that the proceeding could cost. And none of those costs will be paid by insurance, church officials have told WWL Louisiana, a CBS affiliate. Any final settlement plan would have to be approved by the majority of abuse survivor claimants. The San Diego archdioceses 2007 bankruptcy settlement was the most lucrative for abuse claimants, with the church and its insurers paying more than $198m to 144 victims an average of $1.4m per claim. San Diegos archdiocese declared bankruptcy again in June. James Adams, an abuse survivor, former president of the New Orleans archdioceses fundraising board and ex-member of the creditors committee, said the victims initial offer is more than fair. Adams alluded to how Aymond, early in the proceeding, informed the Vatican in writing that insurance would cover the vast majority of the bankruptcy and that is the case in Fridays proposal from abuse victims. He noted how the archdiocese is embarking on a $75m restoration project for its Saint Louis Cathedral, which is $12.5m more than what the church was offering victims to settle the bankruptcy. Is a soul less valuable than a building? Adams said. Archbishop Aymonds long-awaited plan gives his answer loud and clear. One of Adams attorneys, Soren Giselson, echoed him, saying in a statement that the survivors committees proposed plan would fairly compensate more than 500 people were abused as children by pedophile clergy enabled by the archdiocese. The creditors plan also lays out exactly how each abuse claim would be valued by a neutral reviewer. It proposes using a score of one to 100 for each claimant based on the severity, duration and frequency of the abuse they allegedly suffered, how many church officials allegedly abused them, and how they were affected for the rest of their childhood and through adulthood. The creditors proposal also would require the church to change the way it reports and responds to child molestation allegations, which, for decades, were handled internally without being reported to police. The proposal would require the archdiocese to report to law enforcement all abuse whether or not the abuser is dead, the victim is now an adult and no matter how many years have passed since the crime, which is the opposite of how the church dealt with such claims during the decades-old clergy molestation crisis that preceded the archdioceses bankruptcy. This is just the opening public salvo in the negotiations for a settlement. It comes after more than four and a half years of expensive legal wrangling, marked by acrimony, secrecy and even a criminal investigation into cover-ups with sworn police statements describing an alleged child sex ring at the archdiocese. US bankruptcy judge Meredith Grabill has recently put the first public pressure on the two sides to reach an agreement or pull the plug on chapter 11 reorganization. She assigned a business turnaround expert to determine whether it was viable for the churchs bankruptcy to be resolved successfully, with a public report on the question due on 23 October. One reason for the difference of opinion in the value of the proposed settlements was the Louisiana supreme courts decision to uphold a 2021 law allowing child-molestation victims to pursue monetary damages over long-ago abuse. Church institutions have consistently argued that it is unconstitutional to force them to potentially pay damages on abuse allegations that were filed in court too late while abuse victims advocates say the upholding of the law has considerably driven up the potential value of their claims. In August, attorneys for the archdiocese and the creditors promised Grabill that the two sides would present their dueling reorganization plans to the court by Monday. Aymond, who is the corporate leader of the archdiocese and each of the churchs 188 apostolates, announced that affiliated parishes and schools would also have to share in the cost of settling the bankruptcy. One of the apostolates on the hook for a portion of the settlement is Christopher Homes, about a dozen apartment complexes the church owns and operates as low-income and senior housing. A major Boston-based real estate investment firm, Hayden Glade, made an offer shortly after the start of the archdiocese bankruptcy case to purchase Christopher Homes for $150m. Sources tell WWL the deal would have let the church pay off the businesss debts and clear about $75m. Hayden Glade managing partner Elliott White, himself a survivor of child sexual abuse, said the archdiocese dismissed his offer out of hand. But he has not given up hope that the church might ultimately accept his offer, which would cover more than half of the total amount survivors are demanding from all of the archdioceses affiliates. I made an offer, and to move forward, [the church said] Id have to release what Im guessing would be several million dollars to the church, but without having a purchase contract, White said. We didnt have the opportunity to say we need to see this money go to aid the victims. We just were sort of told no. drone textbook Russia has published a drone studies textbook for 14- and 15-year-olds, part of a Kremlin project to produce a new generation of drone specialists. The Prosveshchenie publishing house teamed up with Russian drone manufacturer Geoscan to produce the textbook, which contains 34 hours of tuition for an exam. Mikhail Lutsky, author of the textbook and head of educational projects at Geoscan, said: The manual will be of interest not only to teachers of Labour (technology) studies, but also to teachers of related disciplines, such as computer science, physics, the basics of security and defence of the motherland. Russian schools started teaching a compulsory Labour (technology) course on Sept 1 designed to introduce drone studies into classrooms. The full-colour illustrated textbook teaches children the classification of different drones, how they are built, the electrical components included in drones, the basics of flying a drone and how they are programmed to fly on autopilot. Prosveshchenie, which means enlightenment, is controlled by the Kremlin and is one of Russias biggest and oldest publishing houses. Drone studies textbooks are being given to schoolchildren in Russia The Kremlin uses school textbooks to push its propaganda and manipulate children. Shortly after the Kremlins invasion of Ukraine in February 2022, it ordered Prosveshchenie to remove inappropriate references to Kyiv and Ukraine in textbooks. Prosveshchenie said on its website that the new textbook was part of a Kremlin project to teach skills for working with drones in selected schools across Russia. This is the first educational publication on unmanned technologies, created for the implementation of the federal project: Personnel for Unmanned Aerial Vehicles, it said. By 2030, about one million specialists in unmanned aviation will be trained in the country. Drones have become an important part of the Kremlins arsenal for its war in Ukraine. It has imported thousands of Shahed drones from Iran and built a drone factory in Kazan, central Russia. Many of the workers building the drones at the new factory are students from a nearby technical college. Vladimir Putin has ordered military and nationalistic lessons at schools to push his propaganda and to normalise his invasion of Ukraine and Russias war footing. Click here to view this content. These buns are forbidden, one Islamic advice site opined - chris brignell / Alamy Theres a useful website IslamQA.org that aggregates answers to questions about Islamic practice. Are KP Skips Prawn Cocktail crisps now halal as they are labelled suitable for vegetarians? that sort of thing. Such detailed casuistry is, I think, also familiar to practising Jews. Plenty of questions on IslamQA.org regard crosses. The answers rely on the authority of the legal experts quoted. Is the embroidery stitching technique called cross-stitch forbidden in Islam? (Answer: No.) What are views about eating hot cross buns? Answer: It is impermissible to buy and eat hot cross buns, as there is resemblance with the disbelievers and it promotes their false ideology and views. There are many halal foods in the market, some of which are tastier than the hot cross bun. I have a Christian colleague and a few days ago, he said that his computer was dead, and I jokingly said Rest in peace and made a cross on my chest, like Christians do... I did istighfar [sought forgiveness] and reiterated kalimah [prayer professing faith]. Did this action make me leave the folds of Islam? Do I need to get my nikah [marriage contract] done again? Please reply in detail as I am really worried. Answer: As a matter of precaution, it was correct of you to reiterate the kalimah and it will be advisable for you to renew your nikah. It is well to be aware of such opinions. Otherwise one risks tempting believers to go against their consciences all for the sake of a bun. Crosses pervade British culture. They are in place names: Charing Cross, Kings Cross. The royal cypher on pillar boxes has a cross on the little crown; the Union flag has several crosses. The British Red Cross declares: The red cross emblem has no intentional religious meaning, but since 1876, some countries have used a red crescent emblem. From an Islamic point of view, the Cross is a powerful symbol of Christian belief. Islam reveres Jesus as a prophet, but does not accept that he died on the Cross. In the fourth surah of the Koran, a reply is given to those who say: We killed the Messiah, Jesus, son of Mary, the messenger of Allah. The reply is: But they neither killed nor crucified him it was only made to appear so. To Christians, saying that Jesus did not die on the Cross is contrary to their central belief, which is that Jesus rose from the dead. The heresy called docetism taught that Jesus only appeared to have a human body. That is not the belief of Muslims, who are certain that he had a human body. The Islamic belief is that Jesus was taken up into heaven. Of course, Muslims strongly deny that Jesus is God. Christians are widely seen by Muslims as worshippers of the Cross. This would be a sin of shirk associating a creature with God, who is alone to be worshipped. Today is the Christian feast of the Exaltation of the Holy Cross. Historically, it marked the restoration to Jerusalem in 629 of the relic of the Cross of Christ, which had been captured by the Sasanian Empire of the Persians, a pre-Islamic state. Catholic and Orthodox Christians worship the Cross with reference to the One who died upon it: they kiss it and bow before it. Anglicans of a sacramentalist outlook agree. Avowed Protestants disagree, but treat crosses with reverence. Buns are generally acceptable to Christians, except on Good Friday for those fasting. Oh, and prawn-flavoured crisps with no prawns in, advertised as suitable for vegetarians, were judged halal. A building damaged by the Israeli army in el Far'a refugee camp, northern West Bank, on 29 August 2024. Photograph: Xinhua/Rex/Shutterstock A sniper killed a UN worker on the roof of his home in the northern West Bank, the UN has said, as friends and family gathered in Turkey to bury a US-Turkish activist who had been killed by the Israeli military at a protest six days earlier and around 30km away. Sufyan Jaber Abed Jawwad, a sanitation worker with the UN agency for Palestinian refugees, was the first Unrwa employee killed in the West Bank in more than a decade. Shot in the early hours of Thursday morning in el Fara camp, he left behind a wife and five children. The war in Gaza has overshadowed spiralling conflict in the West Bank, which has seen weeks of Israeli military operations and violence has reached unprecedented levels, placing communities at risk, Unrwa said. Civilian infrastructure, including water and electricity networks, have been destroyed, with precarious access for communities to basic supplies, the agency said in a statement about Jawwads death. Unrwa has been forced to suspend services to refugees because of the unacceptable risk to staff and beneficiaries. The violence was thrown into the international spotlight last week when an Israeli soldier killed 26 year-old US-Turkish activist Aysenur Ezgi Eygi at a protest in Beita. She was in the town with International Solidarity Movement, a group dedicated to bringing observers trained in non-violent methods to protests. On Saturday hundreds of people gathered for her burial in the Turkish coastal town of Didim, where her coffin was carried by an honour guard from the Turkish military. Many in the crowd carried Palestinian flags, and photos of Eygi. Eyewitness Jonathan Pollak, an Israeli protester, said she posed no threat to troops when she was killed and that the shooting came during a moment of calm, following clashes between stone-throwing protesters and Israelis firing tear gas and bullets. The Israeli military said she was shot indirectly and unintentionally by one of its soldiers who were targeting violent protestors. Her family have called for an investigation and the shooting drew criticism from US officials including president Joe Biden, who said he was outraged and deeply saddened. The refugee camps of the northern West Bank, including Tulkaram, Jenin, Nur Shams and el Fara, where Unrwa employee Jawwad was killed, have been a particular focus over weeks of Israeli military operations. The Israeli military said Jawwad was killed by a sniper during an operation in the camp. It said he was throwing explosive devices at its troops from his home, without providing evidence. It was found that the terrorist was known to Israeli security forces and he had been complicit in additional terrorist activities, spokesman Lieutenant Colonel Nadav Shoshani said in a statement. UNWRA regularly provides lists of all staff members in Gaza and the West Bank to the Israeli government, and was not informed of any concerns about Jawwad before he was killed. Staff learned about the Israeli allegation from a statement on the social media site X. The killing came days after Israeli airstrikes on a school-turned-shelter in Gaza killed six UNWRA staff members, bringing the total number of agency employees killed in this war to at least 220. Israels military said three of the dead Unrwa workers were Hamas employees, without providing evidence. An independent review of previous Israeli claims that Unrwa staff were members of terrorist organisations found that the country was yet to provide any supporting evidence. It was led by former French foreign minister Catherine Colonna. Britains Sonay Kartal reached the first WTA Tour final of her career when opponent Eva Lys retired through illness at the Jasmin Open Tunisia. Kartal came through qualifying in Monastir and has enjoyed a career-best week, which could culminate in her maiden main tour title when she takes on Rebecca Sramkova in the final on Sunday. Kartal, a former junior rival of Emma Raducanu, was only on court for 24 minutes before German Lys called it a day trailing 5-1. Get well soon, Eva Sonay Kartal advances into the final after @evalys_ retires due to illness. Final score: 5-1. #JasminOpenByLilas pic.twitter.com/ciYOcHI3NS wta (@WTA) September 14, 2024 The 22-year-old from Brighton will now aim to join Katie Boulter as a British WTA Tour winner this season, while victory would see Kartal break into the top 100 for the first time. Obviously no-one wants to win a match like that, said Kartal. Shes a super nice girl, which makes it even tougher. Obviously Im happy to be in the final but its definitely not the way I would have liked to have won today. It will also be a first WTA Tour final for Slovakian Sramkova, and Kartal added: Im sure were both going to be feeling a bit heavy, were both going to be a bit nervous, but ultimately it will be who can put on the best performance on the big stage. It has been a standout summer for Kartal, who reached the third round of Wimbledon as a qualifier before winning two lower-tier tournaments in the UK and making the final round of qualifying at the US Open. Jadon Sancho stepped off the bench to inspire Chelsea to a 1-0 victory at Bournemouth as he set up Christopher Nkunkus 86th-minute winner on the south coast. Sancho had not featured in the Premier League for 12 months after a spat with Manchester United boss Erik ten Hag last season and despite settling the rift this summer, he joined the Blues on loan on transfer deadline day. Enzo Maresca had watched Chelsea initially struggle at Vitality Stadium with only a Robert Sanchez penalty save from Evanilson after 38 minutes able to keep it scoreless at the break. Maresca turned to Sancho during the interval before he sent on Nkunku and the pair linked up late on when the French forward slotted home to earn the new Blues manager a narrow second Premier League win. Cole Palmer was fit enough to start after he missed international duty with England, but Enzo Fernandez was ill which meant Moises Caicedo was made captain. Bournemouth were without Chelsea loanee Kepa Arrizabalaga one of 25 players to leave the west London club this summer due to the terms of his loan, but he was present and witnessed an action-packed start. Marcus Tavernier smashed a dipping effort from 25 yards against the crossbar after four minutes before Noni Madueke had a shot deflected wide down the other end. Cherries record-signing Evanilson headed off-target soon after as the hosts got plenty of joy down Chelseas right-side with Axel Disasi used as a makeshift full-back. After Tavernier squandered a decent opening, the Bournemouth left winger got in again midway through the half and found Evanilson, who teed up Justin Kluivert but his half-volley was impressively saved by Sanchez. Chelsea had struggled to fashion chances with Palmer tightly marked and yet Jackson should have scored in the 34th minute when Levi Colwill played him in, but he fired straight at Mark Travers. Unfortunately, Eva sees his spot-kick saved. Keep going lads https://t.co/Wj6dn0gnbr AFC Bournemouth (@afcbournemouth) September 14, 2024 It looked costly 60 seconds later when Bournemouth were awarded a penalty after Evanilson raced on to Wesley Fofanas poor back pass and was brought down by Sanchez. However, the blushes of Fofana were spared when Evanilson saw his low shot pushed wide by Sanchez to continue his goal drought since a 40million move from Porto. Lewis Cook and Milos Kerkez had pot shots for Bournemouth before half-time and Maresca had seen enough with Sancho sent on for his Chelsea debut in place of the anonymous Pedro Neto. Sancho was immediately involved as he found Marc Cucurella inside the area, but his cutback evaded Madueke. Another chance was created by the Manchester United exile soon after when he teed up Jackson, who curled wide before Sancho became the latest player to be booked by referee Anthony Taylor for dissent. Kluivert had forced Sanchez into another good save by this point but Chelsea were in the ascendancy and Maresca introduced Joao Felix in place of the frustrated Madueke with 28 minutes left. Jackson went close again after another marauding run by Cucurella but fresh from a new nine-year contract, the Chelsea forward watched his low effort get deflected wide. The final roll of the dice by Maresca was to bring on Nkunku, who produced the goods with four minutes left. Sancho made space on the left and brilliantly threaded a pass through to Nkunku, who got in between Marcos Senesi and Illia Zabarnyi too easily and fired into the corner to put Chelsea ahead. There was still time for referee Taylor to book Felix and Antoine Semenyo in stoppage time, which set a new Premier League record after 14 players in total were shown yellow cards at Vitality Stadium. theallergenfreekitchen.com Top Tips for Allergy Friendly School Lunches Many parents struggle with school lunches when their kids have multiple food allergies. The information may also help with conditions such as coeliacs disease or Eosinophilic Esophagitis (EoE). There is so much to consider when sending lunches.What do we send? How can we make the kids feel the same as their friends? How to keep food warm for lunch. Check out our tips and tricks for sending leftovers for school lunches. Find out how we pack our kids soups and warm meals. IPGGutenbergUKLtd/Istockphoto Seeking a Medical Accommodation Plan with the School There are lots of different accomodations that can be requested. They may include access to hot water of a microwave. Where the kids will eat their lunch, allowing extra time are others. Being allowed to have access to water throughout the day are all things that may be allowable as accessibility items. Accommodations the school can make for kids with allergies and food restrictions for medical reasons. Some conditions such as EoE are considered disabilities in some states or areas. There is usually a duty to accommodate by the school. Private schools without public funding may fall under different regulations depending on where you live. All medical accomodations should be done in partnership with the school and your medical team. In our experince, usually medical substantiation is needed from the treating doctor. Drazen Zigic/Istockphoto Why Ask for Accomodations? Those accommodations could be allowing extra time for your child to eat, especially if they are undergoing feeding therapy, have dysphagia, or esophageal constriction. One thing we have added in ours is that the parents need to be contacted 2 weeks before any class parties involving food or fun lunch days. This is so we sort out what we will be sending or if it is a high risk food we can figure out other options with the school. Another reason to ask for the accomdations is to make sure a medical plan is in place if your child has an allergic reaction or food impaction. Signs and symptoms should be listed ,as well as what to do, and steps to follow after the reaction or impaction has subsided. Our Experience There was one class where ice cream was being made in plastic bags by shaking cream, sugar, ice and rock salt. The teacher informed us the dairy usually ends up all over the desks and kids so we had to decide with our kids medical team if it was even safe for them to attend that day or not, if alternative activities couldnt be planned. In the end the teacher was excellent and switched to a safe activity but the forewarning allowed the time to change what was used in class. It was through great communicaton with our kids' teachers that we came to a suitable alternative. gpointstudio/Istockphoto Back-up Snacks We also send a bag of treats that are safe for when there are class treats that are unexpected. Usually parents in our schools are advised not to send food for the class for birthdays but it does happen every now and again and we are prepared. monkeybusinessimages/Istockphoto What Can be Requested? Some parents request microwave access for their kids in the nurses room. It could include such requests as a staff member assisting the child with the microwave and helping them clean out the surfaces before use to reduce cross contacts. What each school can provide and will provide may change based on where you are located and the accommodation laws where you live. monkeybusinessimages/Istockphoto How to Handle Fun Lunch Days Twice a month our schools do what they call Fun Lunches. The parents go online and order lunch from a set restaurant that delivers the whole schools orders and each child is matched up to their order. Why We Don't Do the School's Fun Lunch The cross contact risk for our kids at this particular school with the set procedure is too much of a risk for our kids. Our medical team and us have made the decision that the kids dont eat food that is prepared outside the home. It works for us, but your medical team and comfort level may be different than ours. If one child could have a fun lunch and one cannot, it would be up to you to decide what works best for your family. Instead of ordering from the restaurant for those meals we are notified at least 2 weeks before the Fun Lunch date with all the parents as to the restaurant and options. Rob and I then brainstorm what we can send that is our own version of the food being offered. This has helped our kids feel included and fit in. KatarzynaBialasiewicz/Istockphoto How We handle Fun Lunches It was a lot of work at first but now we pop it in our weekly meal plan every two weeks. Sometimes dinners are specifically planned so the leftovers can be used. One example is tacos are made the night before and a bit of meat, fillings, and a corn tortilla are put aside and saved for lunches for each child for a taco bell lunch day. The next morning we would send the kids with a homemade taco so their lunch is just like their friends. The kids often can order juice boxes so we send ones from home and if a dessert is offered we grab whatever is closest from our freezer. We make cupcakes, cookies, and other baked goods and freeze them just for days like this. Enjoy Life, Partake, and Made Good all make top allergen friendly cookies that may be suitable as a treat to save time. This works really well for our kids and hope it does for yours too, if you give it a go. rez-art/Istockphoto Top Tips for Allergy Friendly School Lunches -10 Lunch Ideas Soups Our kids eat soup often for lunch. It is one of our go to meals. They have everything from German Eintopf, Split Pea and Ham, Chicken Noodle, Chicken Tortilla, Potato and Leek, and so much more. There is a a full list of soups on our site. jabiru/Istockphoto Allergen Friendly Lunchables As kids this was THE food that was eaten. It was what everyone had to have. While they are not as common now the kids still love them and they are super easy to make. An allergen friendly cracker like Laiki Rice Crackers or Made Good Stars in one part of a bento box. Dairy free cheese slices like Daiya or Violife in another. All topped off with allergen friendly meat - either slices from the leftover roast beef we had for dinner or Applegate Slices. monkeybusinessimages/Istockphoto Subs A Gluten and top allergen friendly hot dog bun, such as Little Northern Bakehouse, with whichever veggies and dressing the kids would like. Sliced meats like in a French dip or chicken salad with vegan mayo, even meatballs in tomato sauce are always great for subs. Isaac Lee/Istockphoto Pizza and Pizza Rolls A couple slices of leftover homemade pizza, or Daiya pizza are always a hit. Sometimes we send a Little Northern Bakehouse bagel with pizza or marinara sauce on it topped with dairy free cheese shreds and all toasted in the oven until the dairy free cheese is slightly melty and sent for lunch. The same dough we make the pizzas from is also used in these rolls. We put whichever fillings the kids would like inside. We have this recipe for Allergen Friendly Pizza Rolls. Olgaorly/Istockphoto Wraps and Sandwiches The wraps tend to be burritos, tacos, or chicken and lettuce with a vegan caesar salad dressing all wrapped up. We usually wrap a bit of parchment around them to keep them wrapped before they are put in the bento box. The options are endless for sandwiches from chicken salad to sunflower butter and jam. These are a pretty standard fare for our kids. The older ones like some of their sandwiches with the tomatoes on the side and they assemble them at lunch so the bread doesnt get soggy when they have BLTs. Plateresca/istockphoto Mac and Cheese Served in a preheated insulated container like we would soup we sometimes send daiya mac and cheese or a homemade dairy and gluten free mac and cheese. OksanaKiian/Istockphoto Pancakes, French Toast, or Waffles Sending a couple of pancakes, apple or pear sauce and yoghurt is one of our favourite treat lunches. We use toppings like bananas or berries to bring a lot of flavour to the kid's meal. This meal is great as it can be eaten cold from the lunch kit or microwaved at school. The one big thing we do is skip the syrup or the kids will make a sticky mess trying to pour it. If they must have syrup, put the syrup on the pancakes at home so it soaks into them instead of in a side container. Send loads of wet wipes if syrup is a must. OlgaMiltsova/istockphoto Chicken Nuggets In the insulated container homemade chicken strips or nuggets are always a lunch our kids look forward to. Natthaphon Thanaruethai/Istockphoto Poutine This Canadian staple is one we have sent in an insulated container for Fun lunches. It is homemade fries reheated in the air fryer topped with Dairy free cheese shreds and smothered in gravy. It is supposed to be curds but we havent found any that are safe for the kids. DronG/istockphoto Salads Older kids love this kind of meal. We use leftovers to make fun salads that we send with the older kids for lunch. Their favourites are Taco Salads and Dairy Free Cobb Salad. These are great when no reheating facilities can be accessed. We send the lettuce base in a larger container and a small bento box or smaller ingredient containers in the large container and the kids assemble the salad themselves. We do this so some ingredients don't go soggy, like gluten free croutons. graletta/Istockphoto How to Pack Hot Foods so They Will Still be Warm at Lunch We LOVE to send our kids to school with hot lunches but we do not have access for them to a microwave. It just isnt feasible at their school so we send everything that needs to be warm in an insulated container, like a Thermos. This is one of our biggest our top tips for allergy friendly school lunches everything from leftovers to soups are sent with our kids. Over half the school year is cold here in our part of Canada so we like them to have a warming lunch. OKrasyuk/Istockphoto Pre warm the container The trick to keeping the food warm is to pre-heat the insulated container with warm water. It shouldnt be boiling water but warm. Boiling water can make the container too hot and the food will be too hot for the kids to eat. Loosely place the lid over the container opening so the heat stays in the container to warm it up. While the warm water is in the container this is the perfect time to heat up the food. medusaphotography/istockphoto Heat the Food Up Heat the leftovers or food up as per the recipe reheating instructions but if there are microwave and stove top or oven instructions, skip the microwave ones. We find that the food cools faster when heated in the microwave and put in an insulated container and can be cold by the time the kids go to eat it. Make sure the food is properly reheated following food safety guidelines. lithiumcloud/istockphoto Fill the container When the food is ready to pop in the container, carefully dump out the water. Dry out the container, if needed, we recommend this for items like fries or chicken fingers. Layer in the food, if it is leftover dinner like mashed potatoes, peas, and turkey topped with gravy. Leave a bit of room at the top, this is especially important for liquids like soup, so they are less likely to splash or spill. Put the lid on so the container doesn't leak. Put the container and utensils in the kids lunch kit. puhimec/istockphoto Opening it at school Make sure the kids can safely open the containers on their own without the lunchroom supervisor help, if needed. They should also be able to safely open the container and that the contents will not burn anyone if the contents are spilt. Hazal Ak/Istockphoto What About Lead and Insulated Containers? Some double walled water bottles have a bead of lead solder to seal it. In many products it is painted over to seal it and keep the harmful metal away from those using the bottle. With wear and tear this seal or cover may become exposed over time. We recommend to always check ANY item over before use to make sure it is safe for you. Checking the item, checking websites like LEAD SAFE MAMA to find lead free containers and water bottles, and figuring out what works for you are all important pieces to the puzzle of what you deem safe for your family. The blog 3 Little Plums also has recommendatons and discusses the topic of if the meal containers contain the same lead solder. Check out the 3 Little Plums findings HERE and which meal containers they recommend for kids lunches. ddukang/Istockphoto The Deal with Compostable Straws and Cutlery There is quite a bit of controversy over the glue used and the materials in some of the more eco-friendly utensils and straws. There are some products that use the shaft of the wheat, not the grain. Other products like some paper straws that use glue that some parents question. With Regards to Gluten Most of the questions come from the coeliac community who has been bringing up the safety for those who cannot tolerate gluten and the utensils made from wheat and the glue on paper straws. At the time of publishing Celiac Canada has this break down of types of straws it deems safe: "Here is a breakdown of some commonly used straws and their safety for the celiac and gluten-free community: Paper Straws . SAFE. Single use. There is no evidence to suggest that these straws contain any gluten. Bamboo Straws . SAFE. Reusable. Made from a sustainable ingredient. Good for hot and cold liquids. Silicone Straws. SAFE. Reusable. Good for multiple time use. Great for kids and come in fun colours! Stainless Steele Straws . SAFE. Reusable. Easy to clean. Pasta Straws. UNSAFE. Pasta straws are just that, tubular lengths of uncooked pasta that do contain gluten. We have found some gluten-free pasta straws, but these would be special order on-line, and unlikely to be served in a restaurant or other food establishment." Halfpoint/Istockphoto What About For Wheat Allergies? This does not however address if the straws and other products are safe for those with wheat allergies. An allergy to wheat is generally to the protein in the wheat and not the gluten. They are two different parts of the wheat. This is why Coeliacs may be able to consume de-glutened wheat starch but those with an allergy cannot. It is incredibly difficult to find information if these products are safe for wheat allergy sufferers. If you do use them it is best to discuss this with your medical team and determine the risks and safety for your family before use. We prefer to avoid them at this time with our kids, that is our personal choice. As we do not eat from restaurants, at this time we can avoid most of these products and for utensils, straws, and plates or bowls we use our own. Hasan Ashari/Istockphoto What Do We Use Instead of Compostable Utensils? Generally we avoid wood and pressed utensils as they can trap allergens if they are accidentally put into contact with our kids allergens. Instead we send plastic childrens utensils to school with our kids. A trick we use with multiple kids is we colour code them to the kids. Each always has the same utensils that are from their colour set. We also have soy free silicone straws for each child that are only for them. As more information and testing is done on the wheat based utensils we will reassess what we send with our kids. For now this works and we can ensure our kids are safe. In addition to packing a set of utensils in their lunch box we also have a sealed plastic bag with an extra set, in case they lose their other set. It is up to each person to determine what they are comfotable with, just like any product used to make the food this extends to what is used to eat it. IKEA Products We Love For Packing Lunch Utensils We love the Ikea utensils. They are sturdy and can be put through the dishwasher many times. The KALAS is made from polypropylene rather than wheat, bamboo or corn. HydroFlask Insulated Containers That Keep Food Hot The HydroFlask is a fabulous one but there are other really great containers that will keep your food warm. (See lead safe mama for lead free options) These are the holy grail in our lunch making endeavours. DragonImages/istockphoto Hot Bags/ Stay Warm Lunch Kits We have had other allergy parents tell us that heated lunch kits are one of the products that make their lives easier when making lunches. We have not tried one yet and do note that if you are travelling on an airplane with one to check if they are accepted for use on your carriers. Kenishirotie/Istockphoto Bento Boxes Our kids love being able to have fun foods. Some of our kids are pickier than others and they hate it when one of their foods touches another food. We send their meals in bento boxes to help encourage them to eat as much as they can. Charles Ellinwood /istockphoto Sauce Containers Dips are something that get sent a lot for our kids as we often need to get more food into them due to their small size and eating aversions. It is also the easiest way for us to get our kids to eat veggies, other than hiding them in other dishes. The containers need to be as leakproof as possible. These ones work well for us. Andrey Zhuravlev/Istockphoto Microwavable Containers A few great sealing microwavable containers are always on our back to school shopping list. We make sure nothing that cannot go in the microwave isn't packed in them. We also get a couple for each child in case they forget one at school or lose it. winterling/istockphoto Ice Packs In the summer months and to keep items chilled we use reusable ice packs in the lunch kits. For foods such as coconut based dairy free yoghurt cold packs work well. Just dont use an ice pack with a hot meal or they get colder quicker. Tatiana/istockphoto Freezer Pop Bags Sealing freezer bags are the best way to send make your own dairy and nut free yoghurt tubes they are also great as we find it cheaper to buy tubs of yoghurt and portion them out ourselves. Use a wider funnel to helo make the packing of the tubes mess free. We also freeze the yoghurt in the uber skinny bags for a two in one lunch kit cooler/food. SolStock/istockphoto Top Tips for Allergy Friendly School Lunches Tip 1 Send everyday use plastic utensils, like Ikeas Kalas instead of wheat based utensils or dispoasble ones. Tip 2 Practice opening and closing the food storage containers with the kids. Lunchroom supervisors are often overworked. They may not be able to open the containers everyday with time to spare for the kids to eat. The supervisors may also have just finished opening another childs container. They may not have time to wash their hands before opening allergen friendly containers. Using containers that keep the food warm and ones the kids can open themselves are a huge bonus. Tip 3 Request to be put on the fun lunch email list so you can plan lunches to match. Tip 4 Freeze treats when baking so there are always some on hand for birthdays and special lunch days. We have a section of our freezer with cupcakes, iced, frozen and in individual containers ready to be popped in the lunch bag on celebration days. Tip 5 Having a couple of sets of microwave safe containers in case the kids forget them at school or lose them. Tip 6 Teach the kids how to use a microwave and what NOT to ever put in them. Tip 7 Dont send items that can be difficult for children with EoE to swallow or easy to choke on. This will change with each child. shironosov/Istockphoto Putting it all together We hope these top tips for allergy friendly school lunches make the daily task of packing lunch for your allergy kids less of a chore and a lot easier. Use what works for you, not all of it will work for every family but some bits may help make lunches a bit more bareable. With a few accommodations at school, making your own mock fun lunches and using the right containers lunch can go from a battle to something you both look forward to. We like to say to our kids that they can eat just about everything their friends eat but with different ingredients that are safe for them. This article originally appeared on Theallergenfreekitchen.com and was syndicated by MediaFeed.org. Doucefleur / iStock More from MediaFeed A small SUV against a green background Image source: Upsplash/The Motley Fool I once bought a used Nissan Altima for just $5,000 and drove it for 10 years, racking up over 200,000 miles on the odometer. The car was exceptionally easy to maintain, with just a few minor repairs and one major fix over that period. In addition to its low maintenance costs, the car's low value allowed me to get cheap car insurance -- a win-win for me. Unfortunately, not all cars are as reliable as my Altima was. If you're in the market for a car that'll go the distance -- both in fuel economy and with the fewest repairs -- here are five that could save you thousands of dollars over the coming years. These vehicles could save you thousands Some cars have an above-average miles per gallon (MPG) rating, but the vehicle brand has less-than-stellar reliability ratings -- and vice versa. So, I've narrowed down this list of cars based on the brand's low maintenance and repair costs and a high combined (city and highway) MPG. I also chose different brands for this list so there'd be a variety of vehicles (and not just Toyotas!). All of the vehicle brands on this list will cost less than $3,000 in maintenance and repairs over a six- to 10-year period, according to Consumer Reports, and they're all more fuel efficient than the 24.4 MPG for the average car. 1. 2024 Toyota Prius LE The latest Prius LE hybrid is far ahead of its predecessor in styling but hasn't abandoned its fuel efficiency streak. The compact sedan gets up to a combined 57 MPG and starts at $27,950. Meanwhile, maintenance costs for Toyotas are estimated to be just $2,290 over a six- to 10-year time frame, the lowest of any automotive brand. 2. 2024 Hyundai Elantra Hybrid Another compact sedan takes one of the leading spots on this list, thanks to the hybrid Elantra's combined 58 MPG rating and Hyundai's above-average reliability. The cost to maintain this car brand for up to 10 years will set you back just $2,655. You can snag a 2024 Elantra for $26,250 -- far below the average price of a new car at $47,218. 3. 2025 Honda Civic Sport Hybrid New styling and updated hybrid options for the latest Honda Civic make this compact sedan a great choice if you're looking for efficiency and reliability. The Civic Sport Hybrid model gets up to 50 MPG combined, and Honda's reputation for reliability pays off, with the average Honda costing just $2,580 over a six- to 10-year span. The starting price of the Civic Sport Hybrid model will cost you $28,750. 4. 2025 Lexus ES 300h As the only luxury vehicle on this list, the Lexus ES 300h's price tag is a bit steeper at $44,615. You'll get more bells and whistles at that price, as well as a larger midsize sedan and an impressive combined fuel economy of up to 44 MPG. And with a Lexus, your average cost of maintenance and repairs for up to 10 years is an estimated $2,850. 5. 2024 Nissan Versa S CVT Last but not least, is the Versa S CVT, which has a shockingly low starting price of just $18,350 and a combined MPG of 35. That mileage isn't as impressive as that of other cars on this list, but it's still far above average. The cost to maintain most Nissans is also low, at just $2,730 over a six- to 10-year period. Shop for this before you buy Saving money with a fuel-efficient vehicle that's cheap to maintain is a smart move, but you could be throwing some of that money away if you don't do one important thing before you buy: Shop around for the best car insurance. Hybrid models can cost up to 7% more to insure than their gas-powered counterparts, which means it's a good idea to do a little comparison shopping for coverage once you're ready to buy. Now, if you'll excuse me, I need to see if I can convince my wife that spending money on a new car can save us money. Alert: highest cash back card we've seen now has 0% intro APR until nearly 2026 This credit card is not just good it's so exceptional that our experts use it personally. It features a 0% intro APR for 15 months, a cash back rate of up to 5%, and all somehow for no annual fee! Click here to read our full review for free and apply in just 2 minutes. We're firm believers in the Golden Rule, which is why editorial opinions are ours alone and have not been previously reviewed, approved, or endorsed by included advertisers. The Ascent does not cover all offers on the market. Editorial content from The Ascent is separate from The Motley Fool editorial content and is created by a different analyst team.Chris Neiger has no position in any of the stocks mentioned. The Motley Fool has no position in any of the stocks mentioned. The Motley Fool has a disclosure policy. Family members of students and staff at Apalachee High School called 911 to find out about their loved ones after a mass shooting that left four dead unfolded on Sept. 4, newly released audio reveals. The 911 call center in Barrow County was inundated after the shooting began at about 10:20 a.m. when authorities say 14-year-old Colt Gray began his rampage. Some callers were met with an automated message that there was "high call volume," according to the audio reviewed by USA TODAY. "Sir, my daughter goes to the school next door to Apalachee. Is there a school shooter?" one caller said. "We do have an active situation at Apalachee High School right now. We have a lot of calls coming in and we do have units on scene," a 911 operator responded. Authorities also released audio of communications between officers on the scene as they cleared classrooms and discovered injured and deceased victims. In one recording, an officer describes applying a tourniquet to an injured female victim. "She's gonna need to get out of here pretty quick," he says. Barrow County officials told USA TODAY that some 911 calls are exempt from being disclosed as public records if they contain the voices or cries of children. "What is going on at the high school, at Apalachee High School," one man asked a 911 operator who said she could not confirm any information. "My son just texted me. He's a student in there right now, he says people are dying." A man told a 911 operator that his daughter worked as a school psychologist at Apalachee and was working with a student in a trailer "next to where the shooting was happening." The man said his daughter tried to hide behind a desk with the student but "shes upset because she cant get the door locked." "I want them to be aware that shes in a trailer and she cant lock the door and if they can check on the trailers... hopefully, they can check it and get her out," he said. NEW DETAILS REVEALED: Apalachee High School suspect kept gun in backpack, hid in bathroom, officials say Over an hour after the shooting started, one call came from a woman who identified herself as Gray's aunt and said she was calling from Florida. My mom just called me and said that Colt texted his mom my sister and his dad that he was sorry, and they called the school and told the counselor to go get him immediately, the woman said through tears. She said she saw that theres been a shooting, and Im just worried it was him. According to an earlier report from The Washington Post, the teen's mother told her sister that she called the school half an hour before the shooting began and said there was an "extreme emergency" with her son. Gray is being charged with murder for the deaths of students Mason Schermerhorn and Christian Angulo, both 14, and teachers Ricky Aspinwall, 39, and Cristina Irimie, 53. Eight other students and one teacher were injured. Gray's father, Colin Gray, also faces charges of involuntary manslaughter, second-degree murder and cruelty to children. The release of the 911 calls comes amid ongoing services for some of the victims planned for Saturday. A memorial service for Schermerhorn, a ninth grader who "loved Disney World and LEGOs," was scheduled for Saturday afternoon at a civic center and attendees were asked to wear his favorite color, red. Cristina Irimie, a math teacher and pillar of her tight-knit Romanian Orthodox community, was set to be remembered at a separate service in the afternoon. This article originally appeared on USA TODAY: Apalachee High School shooting 911 calls released A coalition of voting rights groups on Friday sued the Alabama secretary of state and attorney general over a policy they say illegally targets naturalized citizens to keep them from voting in the upcoming November election. The lawsuit alleges that a recent policy intended to remove noncitizens from Alabama's voter rolls "undermines the fundamental right to vote" by relying on faulty information that discriminates against naturalized citizens, disenfranchises eligible voters, and wrongly refers cases for criminal prosecution. "Alabama is targeting its growing immigrant population through a voter purge intended to intimidate and disenfranchise naturalized citizens," the lawsuit said. MORE: DOJ task force, formed in 2021 to fight election threats, has brought only 20 cases The lawsuit centers on a policy initiated last month by Alabama Secretary of State Wes Allen, whose office began the process of removing 3,251 people from the state's voter rolls because they had previously been issued noncitizen identification numbers. His office also referred the list of registered voters to Alabama Attorney General Steve Marshall for potential criminal prosecution on the grounds that federal law prohibits noncitizens from voting in national elections. "I have been clear that I will not tolerate the participation of noncitizens in our elections," Allen said in a statement announcing the purge of voters. The lawsuit alleges that the purge of voters wrongly included naturalized citizens who were once issued noncitizen identification numbers before gaining their citizenship. PHOTO: A voter enters a polling place to cast their ballot in the state's primary, March 5, 2024, in Mountain Brook, Ala. (Elijah Nouvelage/Getty Images, FILE) In announcing the policy last month, Secretary of State Allen acknowledged the possibility that some of the voters included in the purge may have since become citizens, and said that those voters could re-register to vote ahead of the election. Plaintiffs alleged that the policy is "designed to target naturalized citizens for removal" and unfairly burdens eligible voters with re-registering to vote. People born outside of the United States can become naturalized citizens by meeting requirements that include being a lawful permanent resident for five years or marrying a U.S. citizen, as well as demonstrating proficiency in English and passing a civics test. Famous naturalized citizens include Arnold Schwarzenegger, Ryan Reynolds, and Melania Trump. Roald Hazelhoff, a naturalized citizen who moved to the United States from the Netherlands in 1977, joined the lawsuit after he received notice that his voting registration had been inactivated following the purge. Despite attempting to fix his registration status, Hazelhoff is unsure if he will be able to vote in the upcoming election, the lawsuit said. "It intimidates naturalized citizens and discourages them from voting by suggesting that by registering to vote, they are committing a crime and will be referred for criminal investigation," Kate Huddleston, senior legal counsel at Campaign Legal Center, told ABC News. The plaintiffs asked a federal court in Alabama to issue an injunction to stop the policy because it violates the Constitution, the National Voter Registration Act, and the Voting Rights Act. MORE: Election officials continue to face threats, harassment ahead of November A spokesperson for the Alabama secretary of state, when asked for comment by ABC News, declined to comment on active litigation. The lawsuit is being brought by a group of advocacy groups -- including the Alabama State Conference of the NAACP, Alabama Coalition for Immigrant Justice, League of Women Voters of Alabama, and League of Women Voters of Alabama Education Fund -- as well as four individual plaintiffs who allege their voting rights have been threatened by the policy. The suit names Secretary of State Allen and Attorney General Marshall as defendants, as well as the chairs of the board of registrars in Elmore, Jefferson, Lee, and Marshall counties. "We are committed to doing all that we can to ensure that every voter votes and that every vote is counted despite what obstacles are put in our path," said Benard Simelton, president of the Alabama NAACP. The lawsuit comes amid a nationwide push by Republican lawmakers to tighten voting requirements ahead of the November election. Last month, Texas Gov. Greg Abbott announced that over one million ineligible voters had been removed from his state's voter rolls, including 6,500 noncitizens, while Tennessee officials in June asked over 14,000 residents to provide proof of their citizenship to remain on voter rolls. Despite heightened concerns about illegal voting, research has suggested that actual instances of noncitizens voting are exceeding rare. A 2017 report prepared by the nonprofit Brennan Center for Justice found that there were only around 30 incidents of noncitizen voting across the 23.5 million votes that were studied -- a rate of 0.0001%. "Secretary Allen implemented the Purge Program as part of a nationwide, bad-faith effort falsely claiming that noncitizen voting is prevalent in American elections," the lawsuit said. "[E]vidence overwhelmingly shows that noncitizen voting is vanishingly rare in the United States and that voter purges aimed at alleged noncitizens primarily prevent eligible naturalized citizens from casting ballots." Alabama officials sued for allegedly suppressing new citizens' voting rights originally appeared on abcnews.go.com Fall baking is about to get so much better. Dotdash Meredith Food Studios There are more than 7,500 types of apples grown worldwide, according to Washington State University. While orchards and grocery stores narrow down that selection, it can still feel daunting to know which kind of apple to stock up on. The best apple for snacking is a simple choice: whichever type you enjoy the flavor and texture of most. But the choice is not quite as straightforward when you want to cook the apples. So we turned to the folks who know best to help us find the ultimate apples for baking. Below are tips from two farmers who collectively grow 43 types of apples and a produce inspector who lives in a city that some call the apple capital of the world. Meet the Farmers Randy Davidson, a Wenatchee, Washington-based produce field inspector for Whole Foods Market Angie Eckert, vice president of retail operations at Eckerts Family Farms in Belleville, Illinois Rob Shenot, a sixth-generation apple farmer and the owner/operator of Shenots Farm & Market in Wexford, Pennsylvania Qualities of the Best Baking Apples The classification of baking and eating apples is arbitrary, because literally any apple could be used for either use depending on the preferences, says Shenot, a sixth-generation apple farmer. Still, our expert panel agrees that two details make apples really shine in baked goods, including: Tart flavor. According to Shenot, the most important quality for baking apples is the level of tartness. Apple desserts like pies, tarts, crisps, crumbles, and even apple butter and applesauce all call for some type of sweetener, so a naturally tart quality in baking apples is ideal. In tandem with the sugar (or honey, brown sugar, maple syrup, or other featured sweetener), this combination creates a dynamic flavor profile that enhances the richness of baked goods. explains Eckert. The Goldilocks texture. When cooked or baked, some apples turn to mush, and others stay too crunchy. The best baking apples are firm with a crisp texture that stand up to heat without turning mushy, says Davidson. The fruit should be firm when fresh, then soften just enough when baked, Eckert adds. The Best Apples for Baking, According to Farmers Peter Dazeley/Getty Images Shenot concedes that choosing just one best apple variety for baking is challenging. Eckert echoes that sentiment, noting that each variety brings its own unique qualities to the table. However, if Eckert had to pick just one best apple for baking, regardless of whether the fruit is destined for pies, crisps, quick breads, or other fall treats, shed select a type of apple that Shenot is also sweet on: Jonathan. Jonathan apples have the right amount of tartness to complement the sweetness of desserts, and their texture holds up well but softens beautifully in the oven, providing a tender bite without losing its shape. This is an ideal choice for baked goods where you want the apple to retain some structure, Eckert says. Shenot recommends a blend of varieties for apple-infused baked goods, especially when making applesauce or pies, he tells us. To lend a smooth texture and a little more sweetness that plays nicely with Jonathans tartness, Shenot and Eckert love mixing Golden Delicious with those Jonathans. Golden Delicious apples are a reliable and versatile baking apple due to their ability to maintain their shape while still softening in a pleasant way. As for the second best, Id go with the Golden Delicious as the second-best baking apple, Eckert says. It becomes tender during baking, offering a melt-in-your-mouth texture thats perfect for pies, cakes, and other desserts. The natural sweetness of the Golden Delicious also adds a mellow flavor to baked goods, allowing you to use less sugar in your recipes. If you cant findor dont love those types of applesyou can build your own signature baking blend that showcases the tartness level and texture you enjoy most. Consider any of these farmer-approved baking-friendly apples, depending on your exact use and personal preference. Smoother and Sweeter Idared McIntosh Pink Lady Firmer and More Tart Stayman Melrose Granny Smith Honeycrisp The Worst Apples for Baking Due to their firm texture, there are certain apples that are best reserved for snacking or featuring in salads, fruit salsas, slaws, and other raw preparations, the farmers explain. These include: Gala Fuji Evercrisp And theres one apple variety that could qualify as the worst apple for baking, if you ask Shenot: I dont recommend Red Delicious for anything really. I use them to balance out a tart blend of cider. Otherwise, theyre useless in my opinion. Read the original article on All Recipes. Full Frame Shot Of Tarot Cards (Tatana Maramygina / EyeEm / Getty Images ) Chances are, youve encountered someone pulling tarot cards on TikTok or Instagram. Tarot, which began as a game of playing cards in 15th century Europe, has seen a cultural resurgence of late, one made evident on social media. Most often, tarot is used as a form of cartomancy in which practitioners draw cards to gain insight around a situation. The 78 cards in the deck each represent a different energy. Through interpreting the cards that arise in a reading, people can make predictions or simply tell a story. But tarots uses are more versatile than pop culture makes it seem. The cards can be used as a companion for therapy, a springboard for journaling, or a storytelling resource. Essentially, they can be anything you want them to be. While you can certainly visit a tarot practitioner for a reading, you can also learn to read your own tarot cards. That way, you can define your relationship with them and determine how they can fit into your life. Becoming acquainted with all 78 cards can be daunting and challenging, especially in the beginning. The deck is separated into 22 Major Arcana cards, which are thematically significant, and then 56 cards split up into four suits, each with a different energy. Each card has a different interpretation, and takes on new meaning when pulled in conjunction with other cards. Hearing advice from professional readers can help guide your journey because everyone has their own tips. As a professional astrologer and tarot reader, I find that taking notes in a journal of all the cards youre pulling on a regular basis is helpful. My great grandmother, who read tarot during the Great Depression, taught me that if you are seeing similar cards over and over that they are trying to tell you a message. Keeping track of your tarot pulls can help you see the patterns and themes to pay attention to. Below, we spoke to prominent and skilled tarot readers for must-know tips for beginners and experts alike. First, clear your mind and awaken your intuition Tarot is reliant on your intuition. When shuffling and pulling cards, youll stop at the cards that feel right, and then give interpretations based on what strikes you. Trusting yourself is essential. So, before you start, make sure you feel relaxed and in tune with yourself. Consider meditating or taking a few deep breaths before beginning to shuffle. A scented candle could also transport you into the right mindset. Mariah Oller, Charlotte-based Tarot reader and creator of the Tarot of Life deck, explained the importance of getting grounded. Grounding gives you the space to ask the questions you want answered and to receive clear guidance from the reading. Oller said. Grounding helps us be present. It allows us to articulate our questions and take action towards our goals. Start with an effective question Tarot cards are often used to provide insight on a subject matter. When approaching the cards with a question, its best to ask something open-ended question, rather than a yes or no. This way, the cards can be the start of a story, rather than a closed door. For example, instead of asking, Will I ever find love? you can ask, How can I attract a partner? or What obstacles stand in between me and opening myself up? You can also ask general tarot card questions, like the following: What should I be focusing on? How are my mind, body, and spirit doing? What do I need to know? Shuffle the cards with a question in mind Tarot reader Caitlin McGarry, who resides in Mallorca, recommends keeping your intention or question in mind while shuffling and choosing cards. Let the energy transcend between your fingers and the cards. When you feel as though you are done shuffling, then you can start choosing cards or cut different piles to place them in and pick one, McCarry said. McGarry recommended starting with one-card readings before diving into spreads. In spreads, each placement has a different significance; the cards, put together, tell a story. Choose a beginner-friendly spread to guide your reading You can use a "spread" to guide your reading. A spread assigns each card that is dealt a different meaning. For example, a "past, present, future" spread designates the first card pulled corresponds to the past, the second with the present, and the third with the future. Tarot reader Kerry Ward recommends beginners try three-card readings. She provided some ideas for three-card readings, and encourages you to swap out questions. Figuring out a relationship Their true feelings for me? What we need to talk about? Potential of this relationship in the future? Seeking career direction The talent/strength I need to build my career around? The purpose I serve in this world? My next step towards this pathway? Personal development goals What does the universe want me to know about myself? What challenge would help me grow and thrive? How can I be of service to others? Before looking up the meanings, decide on one yourself So, youve shuffled and pulled a few cards. Whats next? Before reaching for the tarot book or looking up a meaning online, try defining the cards yourself. Close your eyes and meditate on the significance of the card. What images do you see from the card? What thoughts are coming to you? Consider how the images make you feel, Sarah Potter, a New York-based tarot reader and author of The Cosmo Tarot, said. For Potter, this exercise is a reminder that there is no correct way to interpret the cards. We relate and connect to the tarot and cards on an individualistic basis. There is not one meaning but our own relationship to it, she said. Pick a deck that speaks to you There are countless tarot decks available for purchase. The artwork on each deck provides a different interpretation of the energies and stories associated with each tarot card. Many decks are in conversation with the images created by Pamela Colman Smith for the Rider Smith Waite tarot deck, which was created in 1909 and remains a popular option. When youre in the market for a tarot deck, Bay Area tarot reader Staci Luna said simply put to go with the one you like, with art that speaks to you. A tarot deck that tells a visual story, the clearer the imagery on the card, the less you need to memorize, Luna said. Make sure you love your tarot deck," Kerry Ward, author of the Good Karma Tarot, said. "If you dont resonate with those cards, get excited when you see them, and have a connection to the pics, then its not going to happen. Rachel True actor and author of True Heart Intuitive Tarot, Guidebook And Deck said she always shuffles through the cards before purchasing. I like to first see how the cards art resonates for me on a visceral level, then I note which emotions are coming up and which part of the imagery Ive focused on. This is usually a big key into what your subconscious is trying to tell you, she said. Luna dispelled one lingering myth: You can totally buy your own deck, and ignore superstitious beliefs that you must be given a deck. When should you read your own tarot cards? Ward said that it's always the right time to consult the cards but it's worth coming up with a ritual so you can track your progress. "Maybe you make a ritual of doing a reading at New Year, your birthday, the start of each season, the 1st of the month, every New Moon ... whatever date resonates. And, of course, when you feel youve got an unanswered question or issue, or a big decision to make, or a heartache to heal," she said. Look up your birth card Birth cards are the cards associated with different aspects of your natal chart specifically your sun sign, your ruling planet, and your most dominant element. Check out our guide to finding your birth card and why it's worth taking a deep dive into the cards that may speak to you. tarot card birth chart (Courtesy Kerry Ward ) Check out a few of the tarot books While creating on your own interpretations of the cards is important, you may find it helpful to read books about tarot in addition to the guidebook accompanying most decks. A few of our suggestions for tarot books? "Modern Tarot" by Michelle Tea, which blends memoir and personal experience for each card. "Tarot for Change" by Jessica Dore, pairing insights from psychology with tarot cards. "Seventy Eight Degrees of Wisdom" by Rachel Pollack, considered by many to be the ultimate tarot authority. "The Easiest Way to Learn the Tarot Ever!!" by Dusty White, an interactive and frankly hilarious workbook that makes tarot accessible "Tarot Masterclass" by Paul Fenton Smith, which offers detailed breakdowns of each card's meaning as well as tips for becoming a professional tarot reader. Create a ritual for caring for your cards As you begin to use tarot cards, youll develop rituals that work for you. Many readers like to practice spiritual hygiene on the cards, resetting them and ridding them of energies from a reading. Astrologer and spiritualist Shawnte Cato, whos based in San Diego, says that its important to cleanse tarot cards in between readings because certain energy could still be hanging around influencing the cards themselves, or even your own energetic environment. Just as theres no one right way to read the cards, theres no right way to reset them, either. Tarot reader, astrologer and author Theresa Reed (who also goes by The Tarot Lady on social media and is based in Milwaukee) recommends the following tip to cleansing tarot cards. One of my favorite ways to cleanse a tarot deck is what I call the crystal sandwich. I place my deck on a large, flat crystal. Then, I place selenite wands on top of the deck. After 24 hours, my deck is cleansed and ready to go, Reed said. Another hack Reed suggested is to put the cards in the deck back in its original order from the Fool all the way to the King of Pentacles. Make sure every card is right side up. Then, shuffle the deck. This wakes my deck up every time, she said. Cato uses the lunar phases to restore energy. Place the deck near the window under a new or full moon along with dried lavender to bring vitality back to the cards. Keep in mind that none of these practices are necessary. As you forge your own relationship with the cards, youll discern whats necessary for you. Sleep on it, literally New York-based tarot reader Aerinn Hodges offered a unique (and dreamy) way of understanding the cards. An alternative to meditating on your daily draw is sleeping on it literally! Sometimes Ill place a card under the bed where my head rests. When Im ready to drift off to sleep, I imagine myself descending into the image on the card and inviting its spirits and energies into my dream life. The images and experiences that come up in my dreams will often illuminate new ways of understanding the card that my waking mind may not have realized," Hodges said. Think of tarot card reading as a journey As you build a tarot habit, take note of the cards you pull and the interpretations that come to you. Remember the cards are not set in stone, as New York-based tarot reader Mercedes Viera said. Just because you get a certain set of cards over a situation today, doesnt mean thats how theyll remain tomorrow. Energies shift and change, so do the cards, they said. North Carolina-based tarot reader Bronx, publicly known as Tarot by Bronx, urges new readers to create a comprehension of the tarot based on experiences and their own understanding. There are cards that can be more challenging to receive or more uplifting, but there arent just good or bad cards. Life and tarot arent black and white. Its up to you as a reader to acknowledge the in-between meanings. Bronx, adding that tarot is a tool to understand our subconscious desires and needs. We are given the information; its up to us to take it in and listen. Like everything, tarot takes time. But, you can develop a relationship with the tarot and cards through these tips. The most important thing is to forge a personal connection with each card and learn to trust yourself. As tarot reader Nancy Hayes, whos based in Colorado put it, Tarot is a journey, and and it takes time, trust and intuition. Be OK where you are starting and be open to learning. This article was originally published on TODAY.com Wind turbine blades await transport at a port in Yancheng, east China's Jiangsu province, on Sept. 8, 2023. [Photo/Xinhua] The recent visits by Spanish Prime Minister Pedro Sanchez and Norwegian Prime Minister Jonas Gahr Store to China highlight the growing potential for cooperation between Europe and China, especially in the area of clean energy and green transition. Their visits reflect the shared interest in stabilizing relations and deepening collaboration on sustainable development. By engaging in constructive dialogue, Spain and Norway demonstrated their will to facilitate green transition, a crucial pathway to addressing the global climate crisis. Trade disputes, not least those related to tariffs on Chinese EVs, need to be resolved without derailing broader efforts toward a green economy. Spain, one of Europe's largest EV producers, plays a crucial role in this dynamic. During his visit, Sanchez voiced Spain's readiness to expand collaboration with China in areas like cultural exchanges, trade and particularly EVs. Spain's position as a major player in the automotive industry, coupled with China's strength in EV production, presents significant opportunities for their win-win cooperation. Likewise, Norway, following talks between Chinese and Norwegian leaders, issued a joint statement with China on the establishment of dialogue on green transition. A leader in renewable energy and EV adoption, Norway has long been committed to reducing its carbon footprint. "We welcome cooperation and trade with China in a broad range of areas ... We want to cooperate on areas where we have overlapping interests with China, such as addressing climate change and the green industrial transition," the Norwegian prime minister told Xinhua ahead of his visit to China. Norway's strategic insight in the maritime and shipbuilding sectors, which also touch upon greener, more efficient transportation, aligns with China's expertise in green technologies, hence a mutually beneficial partnership. This collaboration on green economy, as widely deemed a win-win scenario, enables Europe and China to strengthen their positions in the global market thereby contributing to international climate goals. Rising up to challenges and braving headwinds, China and Europe are capable of forging meaningful partnerships that transcend political differences, especially when it comes to the shared goal of sustainability. Romania has set up displacement camps and launched rescue operations after floods killed at least four people and destroyed thousands of homes in the east of the country. Military boats and planes are being used to move people to safety, and Prime Minister Marcel Ciolacu has said the priority now is to prevent further loss of life. Recent days have seen torrential rain sweep through central and eastern Europe, swelling rivers and triggering flood warnings in the Czech Republic, Poland, Austria, Slovakia, and Hungary. The flood barriers in Czech capital Prague have been raised, while in parts of Poland residents have been evacuated. The four dead people were found in the southeastern Romanian region of Galati during a search and rescue operation, emergency services confirmed to the AFP news agency. "Dozens of people were rescued from their homes in 19 areas of the country," they added. Four southern provinces in Poland are among the areas at greatest risk [Polish Police] In Poland, interior minister Tomasz Siemoniak said there was a "difficult situation" in the areas surrounding four rivers. In the southwest, the River Biala has exceed safe levels by two meters, and there is particular concern about the nearby towns of Morow and Glucholazy. Glucholazy resident Piotr Jakubiec said he had prepared sandbags and pumps to divert the water but that it was "impossible to predict what's going to happen". "This is the second time in my life that I've seen such a phenomenon. It's a nightmare for the people who live here," he said. Another resident, Zofia Owsiaka, said that everyone in the town was "scared" and that there seemed to be "no hope of the rain stopping". "Of course I'm scared. Water is the most powerful force of nature. Everyone is scared," she said. In the town of Wroclaw, thousands of residents had to use the staircases of the high-rise blocks because the lifts were shut amid flooding fears, local media reported. Prime Minister Donald Tusk has sought to reassure the public that the forecasts are "not overly alarming" and that the threat does not extend across the whole country. Workers in Prague have been closing floodgates on the River Vltava [ MARTIN DIVISEK/EPA-EFE/REX/Shutterstock] In the Czech Republic, the highest flood alert had been declared in 38 different locations. In Prague, flood barriers have been raised, embankments have been closed to the public, and the zoo has been closed. On Friday morning, a one-metre-thick steel gate was used to close off the so-called Devil's Canal or Certovka, which runs through the city. The gate is part of a nationwide network of flood defences installed to prevent a repeat of catastrophic damage caused by flooding in 1997 and 2002. Attention is focused this weekend on central and eastern parts of the country, especially North Moravia, where 50 people lost their lives in 1997. The Jeseniky mountains could receive some 400mm over the next three days, and that water will then cascade down the River Oder (Odra in Czech) and on towards Poland, passing a number of towns and villages on the way. The GeoSphere Austria weather map on Friday showed a large band of rain across Central Europe [GeoSphere Austria] In Austria, which officials say experienced its hottest August since records began, many regions are expecting 10-20cm of rain in a matter of days. In parts of the north, over 20cm is forecast. Storm warning centre UWZ said some parts will see previous records for the entire month of September "surpassed in just a few days". Manuel Kelemen, a forecaster for Puls24 TV, said that "what we're experiencing is extraordinary, if not unprecedented". Flooding and landslides are also possible, with gale force winds expected in capital Vienna, and heavy snowfall in the west has also caused travel disruption. Continuous heavy rain is also expected across the border in the German state of Bavaria. Extreme precipitation is becoming more likely in Europe, as across much of the world, due to climate change. A warmer atmosphere can hold more moisture, which can lead to heavier rainfall. Chappell Roan is truly in nearly every way possible taking the world by storm. It's hard to miss the Missouri native, now queer pop icon. Her catchy hits "Pink Pony Club," "Hot To Go!" and "Good Luck, Babe" are continuously played on the radio with the latter ranked No. 8 on the Billboard Hot 100 chart. On Wednesday night, she made her music awards show performance debut at the MTV Video Music Awards, also sweeping up the VMA for "Best New Artist." And in October, her star-studded face will grace the cover of Rolling Stone magazine. The cover story, which is already accessible on the Rolling Stone website, provides a raw, in-depth look into Roan's quick claim to fame, much of which has been overwhelming for her. Chappell Roan attends the 2024 MTV Video Music Awards at UBS Arena on Sept. 11, 2024 in New York. Since gaining more widespread attention earlier this year following the release of her album, "The Rise and Fall of a Midwest Princess," Roan has been outspoken on issues that are important to her notably those involving the LGBTQ+ community. The popstar consistently works with local drag queens who open for her concerts, publicly declined an invitation to perform at the White House for Pride Month and next month, she's donating a portion of the proceeds from her concert in Rogers, Arkansas to The GLO Center in Springfield, Missouri. But last month, Roan's name was thrown into the public spotlight for a different type of speaking out. Chappell Roan accepts the Best New Artist award. Chappell Roan posted TikTok videos addressing 'predatory behavior' from fans On Aug. 19, Roan posted two videos to TikTok sporting a T-shirt and messy bun addressing individuals who have exhibited "predatory behavior" toward her. In the first of the two videos, Roan poses several questions: "If you saw a random woman on the street, would you yell at her from the car window? Would you harass her in public? Would you go up to a random lady and say, 'Can I get a photo with you?' and she's like, 'No, what the f**k?' and then you get mad at this random lady? Would you be offended if she says no to your time because she has her own time? Would you stalk her family? Would you follow her around? Would you try to dissect her life and bully her online? This is a lady you don't know and she doesn't know you at all. Would you assume that she's a good person, assume she's a bad person? Would you assume everything you read about her online is true? I'm a random b***h. You're a random b***h. Just think about that for a second, okay?" She goes on, in the second video, to explain that even though these exhibitions of predatory behavior may be seen as "normal" for celebrities, she won't tolerate them. "I don't care that this crazy type of behavior comes along the job, the career field I've chosen," she says in the video. "That does not make it okay. It doesn't mean I want it, doesn't mean that I like it. It's weird how people think that you know a person just because you see them online and then listen to the art they make. I'm allowed to say no to creepy behavior, okay?" As of Thursday, the two videos had more than 32 million views on TikTok, collectively. Within just a few hours, the videos began circulating on social media, with many fans concerned about just who Roan was talking about, with some suggesting the artist was ungrateful for her fan community's support. A few days later, Roan posted a statement on Instagram addressing the videos. "For the past 10 years, I've been going nonstop to build my project and it's come to the point that I need to draw lines and set boundaries," she opened the statement with. "I've been in too many nonconsensual physical and social interactions, and I just need to lay it out and remind you: women don't owe you sh**." Roan goes on to explain that when she is on stage, in drag, performing she is at work. But otherwise, she is not in "work mode" and doesn't owe people anything. "I am specifically talking about predatory behavior (disguised as 'superfan' behavior) that has become normalized because of the way women who are well-known have been treated in the past," Roan explains in the statement, clarifying the direction of her TikTok videos. Though Roan has spent more than a decade honing her craft, for many, she popped out of nowhere, rising to stardom "overnight." Chappell Roan is not alone in discomfort with fame, experts say Since Roan's various statements, several female musicians have stepped forward, showing their support for Roan, including Lady Gaga, Paramore frontwoman Hayley Williams, Charli XCX and Billie Eilish. Elton John has also shown tremendous support to Roan, speaking with her in several interviews and playing her songs on his radio show, "Rocket Hour." Rebekah Moore, assistant professor of music at Northeastern University in Boston, Massachusetts, said unfortunately, Roan's recent outcry is "very familiar territory." "I can say without hesitation that every woman I have booked and had more than a causal conversation with has disclosed some form of harassment, abuse, sex assault, violence that she has experienced as a result of being on stage, as a result of being someone who is perceived as being more publicly accessible," Moore said. Throughout her career, Moore has worked in the live music production industry, often working directly with artists. Rebekah Moore is an assistant professor in the department of music at Northeastern University in Boston, Massachusetts. As a fan of Roan already, Moore said she really liked both the TikTok videos and following Instagram statement because of how direct Roan was. "I thought she was framing what had happened to her in terms that would get the attention it deserved, so being very blunt about her outrage and wanting to reestablish boundaries," Moore said. A notable and tragic story that has resurfaced following Roan's statements is that of pop-punk musician Christina Grimmie, who was assassinated at age 22 at a concert in 2016. Following her concert at The Plaza Live in Orlando, Florida, Grimmie met with fans for autographs and photos. As she prepared to go in for a hug with a man who she assumed was a fan, he shot her four times, before killing himself. She died later that evening. Singer Christina Grimmie attends a Macy's iHeartRadio rising star in-store performance on May 16, 2015, in Whitehall, Pennsylvania. One of the factors that has driven predatory "super fan" behavior in recent years is social media, Moore said. Social media breeds a new form of parasocial relationship "We are encouraged through the nature of social media, through the nature of fandom ... to perceive ourselves as being in some sort of relationship with artists whose music we love," Moore said. "Whether or not the songs are actually about them (artists), we interpret these songs as something that reflects their inner workings, their emotional realities, their relationship realities. We think we know them because of their art." This type of one-sided relationship, between a fan and a public figure, is known as a parasocial relationship. Holly Holladay, an associate professor of media, journalism and film at Missouri State University, has studied fan and celebrity parasocial relationships extensively. In 2013, she co-published a report dedicated to research on Lady Gaga's Little Monsters fan community. Holly Holladay is an associate professor of media, journalism and film at Missouri State University. Holladay said there are typically two categories into which fans fall in parasocial relationships. The first is the "obsessive loner," a fan with "86 Chappell Roan tattoos" who stalks the musician's every move online. The second is the "hysterical mob," who Roan was addressing in her public statements. These "fans" take direct action to more or less take advantage of a celebrity's lack of public boundaries. Social media has added another layer to this dynamic, allowing fans to feel even closer to those they admire. "Social media, and this is especially true for social media manned by the celebrity themselves, reduces that distance, reduces that barrier, so that intimacy and the feeling of knowingness is very much increased," Holladay said. "It used to be ... when you learn information about a star, you learn through these very carefully selected channels ... so like celebrity gossip magazines, interviews or press releases. Now, it's almost as if you have a much more direct contact with a celebrity." Chappell Roan In Roan's case, it appears she manages her own social media, especially when she posts videos like the two on TikTok featuring a more relaxed face and attire in comparison to her on-stage persona. Feeling a sense of hometown protection over Roan, Holladay said she feels sympathy for her. "I challenge any of us to imagine what it would be like to have everybody giving attention to you overnight," Holladay said. A 'non-manufactured' persona Perhaps one of the reasons why folks feel so connected to Roan is because of her authenticity, on and off stage. "She feels so non-manufactured and that's funny because her whole persona is drag, camp," Holladay said, adding that she believes Roan is "radically special" in the way she is representing the LGBTQ+ community. Moore echoed Holladay. "I think for rural queers, we didn't have a model quite like this," Moore said. Reading from her diary as she accepted her "Best New Artist" VMA on Wednesday night, Roan remained authentic to her audience. "For all the queer kids in the Midwest watching right now, I see you, I understand you because I'm one of you," Roan said during her acceptance speech. This article originally appeared on USA TODAY: Chappell Roan wants privacy amid fame, 'predatory' fans. Here's why. A federal judge has blocked in five states the implementation of a Biden administration rule that aims to cut methane emissions and conserve natural gas produced on federal lands. Former President Trump appointee Judge Daniel Traynor granted the request from five states to temporarily block the rule from taking effect while their case against it plays out. The rule in question requires companies that drill for oil and gas on public lands to come up with a plan to reduce wasted gas and cut down on the release or burning of excess gas. It also makes companies implement programs to repair and detect methane leaks. Oil and gas can be co-occurring, and sometimes oil companies will release or burn off some of the gas which tends to be less valuable than oil that they produce. The main component of gas is methane, which is between 27 times and 30 times as potent as carbon dioxide when it comes to heating up the planet over a 100-year period. In their request for the rule to be blocked, North Dakota, Montana, Texas, Wyoming and Utah argued that the rule is an overreach, contending that regulating emissions and energy issues should be left to the states. In his ruling for the North Dakota district court, Traynor sided with the states, calling the rule a significant impingement on their rights. Interior Department spokesperson Giovanni Rocco said the department was reviewing the decision but did not provide further comment. When the rule was issued, Interior Secretary Deb Haaland described it as an effort to use modern technology and best practices to reduce natural gas waste. The rule mainly applied in the 10 states that are collectively responsible for 99 percent of oil produced on federal lands: Alaska, California, Colorado, Montana, New Mexico, North Dakota, Oklahoma, Texas, Utah and Wyoming. Copyright 2024 Nexstar Media, Inc. All rights reserved. This material may not be published, broadcast, rewritten, or redistributed. For the latest news, weather, sports, and streaming video, head to The Hill. Alan Smith ran as a write-in Democratic candidate in 2022 because he wanted answers from his state senator, Jake Hoffman, one of the 11 Arizona Republicans who signed a fake electoral certificate declaring that Donald Trump had won the state in 2020 after President Biden won the state by 10,457 votes. "I did not want him unopposed. I wanted them to spend some money. I wanted him to have to put up a fight. I wanted him to try to explain himself," Smith said. He didn't get that satisfaction, in large part because Hoffman did not debate him, so in 2024 Smith, 70, of Mesa is running again as the official Democratic nominee in the deep-red Republican district. Smith is one of dozens of people across the country who are running longshot campaigns for local and state office in an effort to oust Republican elected officials who baselessly question the outcome of the 2020 presidential election, or who have interfered with certifying subsequent elections. Hoffman has since been indicted by a state grand jury for signing the fake electoral certificate, which was sent to former Vice President Mike Pence in order to sow doubt about the results of the Electoral College's vote to certify Joe Biden's victory. The "fake elector" scheme, which involved officials in several states, was intended to help Trump overturn his defeat in the 2020 election. Hoffman has pleaded not guilty and told the Arizona Republic the investigation was a "political witch hunt" and a "naked attempt to weaponize government and tamper with this November's presidential election." I've heard we don't stand any chance to win out here as Democrats in this district, but I am a firm believer that we need to contest every race, Smith said. He said the indictment improves his odds in the race. Hoffman is one of 18 people indicted by a state grand jury as part of the Arizona attorney general's ongoing investigation into the "fake elector" plan. Last month Arizona Superior Court Judge Bruce Cohen scheduled a Jan. 5, 2026, trial date for the defendants, who include former Trump lawyer Rudy Giuliani and former Trump White House chief of staff Mark Meadows. Trump himself is listed in the indictment as an unindicted co-conspirator. Alan Smith is running for Arizona Senate One defendant has pleaded guilty, a second agreed to cooperate in exchange for having the charges dropped. Hoffman did not respond to attempts to reach him for comment. Smith gave a litany of other policy reasons for why he's challenging Hoffman, but the electoral certificate is the main driver, he said. "He didn't even care how the people of Arizona had voted. He was going to say that Trump had won this state, even though all of their court cases, all of them had been dismissed," Smith said. With Democrats down only one seat in the Arizona Senate, winning the historically Republican district, which includes part of Mesa and other suburbs east of Phoenix, could result in a tie between the parties in the chamber. Smith won 33,000 votes, or 35%, when he ran as a write-in candidate against Hoffman in 2022. Hoffman received 60,000 votes, or about 65%. Smith said that outcome, and the charges brought against the fake electors, makes him believe he has a chance. He's not just reaching out to Democrats and independents, but Republican voters as well, Smith said. "People are turned off by his fake elector scheme," Smith said. "Even the Republicans are turned off by Jake Hoffman here." Smith said because of his age he won't run again if he loses, but that local Democrats have built a bench of people who are interested in running for the seat in the future. Naming office holders who contest the election Smith and Banks are just two of the dozens of candidates who are challenging the 334 public officials from lieutenant governor to local boards that certify election results in the swing states of Arizona, Georgia, Michigan, North Carolina and Wisconsin who have denied election outcomes, tried to overturn an election or made statements to undermine an election. Public Wise, a left-leaning nonprofit group that advocates for representative democracy, identified the officials earlier this year. Several of the candidates USA TODAY spoke to acknowledged how unlikely it would be to win these seats, which are normally Republican-leaning, but they all said that challenging the incumbents is essential to hold them accountable. "Having these folks run against election deniers also checks the election deniers," said Lauren Gepford, executive director of Contest Every Race, a group that works to ensure Democrats are on the ballot in as many contests as possible, which recently endorsed several of them. "Our theory is that they're going to be more reluctant to promote conspiracy theories around election denying and that they'll see it more as a losing issue, rather than one that they'll promote to appeal to their base." In an effort to highlight the people challenging those local and state officials, earlier this month Contest Every Race endorsed 42 candidates in swing states who are challenging officials who dispute the results of the 2020 presidential election. "For our first endorsements, we really wanted to focus on where there'd be the biggest electoral consequences, and we're really focused on those who are running against election deniers or in seats that have been held by election deniers, because we believe allowing those folks to go unchecked leads to more extremism," Gepford told USA TODAY. Gepford, who was previously executive director of the Missouri Democratic Party, said Democrats had largely given up on difficult-to-win seats in order to focus resources on holding the seats they have and flipping easily winnable seats. Contest Every Race was created to counter that trend and build a bench of Democrats, and support, in difficult to win areas. The candidates they recruit to run at the local and state legislative levels get training, mentorship and financial support. If Democrats aren't challenging them just because they represent very red districts, then what they are saying is going unchallenged or might not even be widely known, Gepford said. "If you just think about what you've had on your ballot when somebody's running unopposed, do you hear about that election? No, not very much," she said. "But when there's two folks running, and there's actually a competition, there's going to be debate, articles, coverage, and the issues are so much more likely to come to light." Refusing to certify Joy Banks, 70, watched in horror when two Republican county supervisors in Cochise County, Arizona refused to certify the 2022 midterm election results despite the board of supervisors having no evidence of legitimate problems with the election count. The supervisors said they had concerns about the county's election equipment, which state officials had confirmed were tested and properly certified. "It was unbelievable, and attention was so huge that we felt so helpless here. We felt totally helpless, like, isn't someone going to stand up and do something?" Banks said. "This absolutely upset me to the core." After several weeks, a judge ordered Republican county supervisors Tom Crosby and Peggy Judd to certify the results. Both have been charged by Arizonas attorney general with conspiracy and interfering with an election officer. Crosby and Judd both pleaded not guilty and recently pushed to have the charges dropped. "The Republican Party in Cochise County has... just blew all the trust I ever had in them as actually being upright and able to follow the law," Banks said. Banks had already held a nonpartisan position on the Huachuca City Council, and had thought before about running for a more prominent position. As the southeastern Arizona county was thrust into the national spotlight, Banks said it felt like she had to run, even if it was "simply to make a statement, to be able to say the things that I have been able to say," in the campaign. Now she is the Democratic nominee for the traditionally Republican-held 3rd district County Supervisor seat against a Republican and two independents. One of the independents is a popular former sheriff, and Banks said she hopes his involvement will split the Republican vote. "My number one talking point, unfortunately, is, I'm going to obey the law," she said. Crosby declined to comment. Judd did not respond to a request for comment. More: Cochise County supervisors face a legal battle and possible fines. Who will pay for it? The sparsely populated county stretching along the U.S.'s southern border is majority-Republican. Banks knew it was a long shot, but with Democrats feeling "super charged" in 2024, she's hopeful about her chances. Even though Judd is not running for reelection, Crosby is expected to win reelection. Banks is vying for one of the other two positions. Joy Banks is running for a county supervisor position in Arizona Her opponent Frank Antenori told USA TODAY that the two Republican commissioners who tried to block certification might have caved to pressure in 2022, but it isn't right to paint him or all Republicans with the same broad brush. A former state senator, Antenori said he would follow the law. "Had I been on the board at the time, I would have certified the canvas. It's that simple. The reality is, the law requires that unless there's a rampant example, a tangible, provable example of fraud," he told USA TODAY. Jan. 6, 2021 High School civics teacher Cameron Schroy, 28, of Greencastle, Pa. grew increasing frustrated watching the actions of Doug Mastriano, the right-wing state senator who is known nationally for rejecting President Biden's 2020 victory in Pennsylvania. Cameron Schroy of Greencastle, Pa. is challenging state Sen. Doug Mastriano in 2024 Mastriano organized a lengthy public hearing on the accuracy of the election in 2020, which Trump's lawyers attended and Trump participated in remotely. He also helped organize the effort in Pennsylvania to send a fake slate of electors to Congress. The state senator also attended Trump's "Stop the Steal" rally on Jan. 6 2021, organized buses bringing rallygoers to Washington, and went to the Capitol during the hours rioters fought police for control of the Capitol building. He was scheduled to speak on the Capitol steps, but said the day after the riot that when it was apparent that this was no longer a peaceful protest, my wife and I left the area. This spring the Pennsylvania Senate ethics committee declined to investigate a complaint related to efforts to overturn the 2020 election brought against Mastriano by a fellow senator. Mastriano was the unsuccessful Republican nominee for governor in 2022, but he still serves in the state Senate, and Schroy decided he could no longer teach his students about democracy without running to defend it himself. "Being a civics teacher and someone who stands up and talks in front of students about democracy and the transition of power and the separation of powers, I couldn't stand idly by while the most dangerous member of the Pennsylvania State Senate went unchallenged," Schroy told USA TODAY. "He deserves to at least have his feet held to the fire and [be] held accountable for his actions on January 6." The Franklin County Democratic Committee chairman stepped up to challenge Mastriano for Pennsylvania Senate when no other Democrat raised their hand. "Systemic voter fraud is not an issue in the United States, and it's like you're protesting Santa Claus," Schroy said, of Trump and his allies disproved allegations that Biden benefited from illegal voting. More: Far-right candidates struggled in midterm election. Who's to blame? Experts say Trump, GOP Mastriano did not respond to attempts to reach him for comment. Democrats consistently run in the district, which includes part of Adams and Franklin Counties in south central Pennsylvania despite Republican voters holding a majority. And with local grumbling about Mastriano's repeated efforts to win higher office, Schroy said he saw an opportunity. "I think everyone in this country, whether it's an office for dog catcher up to the president of the United States, people deserve a choice on that ballot," Schroy said. Schroy said believing that the 2020 election was stolen, when there is no evidence of widespread fraud or malfeasance should be disqualifying because public officials must be able to distinguish fact from fiction. "If this is what you think about the election, when the facts are in front of you, what are you going to think about how to pay down the deficit?" Schroy said. "Are you just going to deny that tax revenues are too low and spending is too high? What are you going to do about all these other issues if you're just going to reject facts?" This article originally appeared on USA TODAY: Democrats try longshot bids to challenge 2020 election skeptics Erling Haaland scored a brace in Saturday match, falling just short of the historic hat trick. (Photo by Naomi Baker/Getty Images) (Naomi Baker via Getty Images) Erling Haaland might have fallen just short of more history on Saturday, but he is well on his way to making more. The 24-year-old sensation scored two first-half goals in Manchester City's 2-1 win over Brentford on Saturday. With the brace, Haaland has now racked up nine goals this season after just four games. Unfortunately, Haaland fell short of his third consecutive hat trick by a post, to be specific. A shot from Haaland in the 81st minute almost gave him the milestone, but it hit the left post and kept him at a brace. Time expired before Haaland was able to get another quality shot off. Even without the hat trick, Haaland is well on his way to breaking his own single-season Premier League scoring record, which he set in the 2022-2023 season. That year Haaland's first in the Premier League he scored 36 goals, breaking both the single-season record and the debut season record. If Haaland had been able to get that third goal, he would have joined an exclusive club, becoming just the fourth person (and the first person since 1946) to score three straight hat tricks. Still, this is not Haaland's first chance to achieve that milestone: He was in a similar situation at the start of the 2022 season, getting back-to-back hat tricks before only getting one goal in Man City's third game. Haaland was also originally questionable for Saturday's game, with Man City manager Pep Guardiola telling reporters on Friday that the Norwegian striker had lost a close family friend this week and might not be available for selection. Haaland posted on Instagram on Thursday, expressing his sadness of the loss of 59-year-old Ivar Eggja, who was an important part of the young striker's life. Despite the tragedy, Haaland showed out and put up the points to lift his team over Brentford. Man City sits firmly in first, with an aim to win the Premier League for the third straight season. LOYAL Three families were displaced by a fire that destroyed three buildings in downtown Loyal overnight. Crews were dispatched at 7:39 p.m. Thursday and worked to control the scene until about 8 a.m. Friday, according to Loyal Fire Chief Scott Radue. The cause of the fire is still being investigated. The three properties impacted are 226, 230 and 232 North Main Street. Each contained at least one apartment unit and a business or nonprofit organization. Those include a laundromat, a fitness center and a food pantry. Everyone in Loyal is devastated over the loss of these three buildings, but no one was hurt. I think thats just something that we can all be thankful for, said Mayor Carmen Englebretson. The displaced families are being assisted by the American Red Cross. Donations are welcome and can be dropped off at the Loyal Legion. The Loyal Fire Department was assisted at the scene by fire departments from the city of Greenwood, city of Thorp, village of Spencer, the town of Grant, the town of Chili and Central Fire & EMS District. The Loyal Police Department and Clark County Sheriff's Office also assisted at the scene. Its a small town Main Street. All your businesses are on Main Street or the streets around it. These buildings were 100 years old, Englebretson said. The older people in Loyal remember what they were 50-60 years ago. I worked in the grocery store that is the fitness center when I first moved to Loyal. My husband rented his tux out from the (food pantry) building. Theres a lot of memories in these old buildings, but no one was hurt. No life was lost. We made it out safe, Englebretson said. The buildings can be replaced, the people can find new homes, we can rebuild. Heres how you can help A fundraising account for displaced victims of the fire is set up at Citizens State Bank of Loyal called the Loyal Fire Fund. A Venmo account has been set up for donations with the account name @loyalfirefund. There is also an account at the bank for the Loyal Food Pantry to replace equipment lost in the fire. Donations of clothing, toiletries and food are welcomed in the first phase of donations. These things can be dropped off at Loyal American Legion until 8 p.m. Friday, from 9:30 a.m. to 2 p.m. Saturday and more dates and hours to be announced later. Details for clothing sizes and other needs can be found on the City of Loyal Facebook page. Local election news: Tim Walz visits a Ukrainian bakery, rallies volunteers in Wausau campaign stop Wood County inspections: See how favorite Marshfield & Wisconsin Rapids restaurants did Erik Pfantz covers local government and education in central Wisconsin for USA TODAY NETWORK - Wisconsin and values his background as a rural Wisconsinite. Contact him at epfantz@gannett.com. This article originally appeared on Marshfield News-Herald: Loyal fire displaces three families and destroys 3 downtown buildings Michaela Mabinty DePrince, a shining star in the ballet world who once danced in a Beyonce video, has died too soon at the age of 29. DePrince's family confirmed the news of her passing on social media, writing in a Friday post they were making the announcement with "profound sadness." DePrince's death was "sudden," but other details surrounding the circumstances of her death were not immediately available, according to the post. "The family thanks you for their privacy as they navigate this very difficult time. Services will be private," according to the post. Decades before DePrince became a world-renowned ballerina, she was living at an orphanage in Sierra Leone, hoping to be adopted, according to reporting by Glamour. And she was. She was raised by the DePrince family in New Jersey, where she began to take dance classes. DePrince competed and by age 14, won a prestigious scholarship to attend the Jacqueline Kennedy Onassis School in New York City, a pre-professional ballet training program at the American Ballet Theatre, Glamour reported. DePrince starred in "First Position," a 2011 documentary following six young dancers. She also released two books about her life "Taking Flight: From War Orphan to Star Ballerina" and "Ballerina Dreams." Michaela Mabinty DePrince danced in Beyonce's 'Freedom' music video DePrince was hand-picked by Beyonce to star in a music video for "Freedom," a track off of the singer's 2016 album "Lemonade," according to reporting by The Wall Street Journal. The then 21-year-old DePrince thought it was a joke when she heard that Beyonce wanted her to dance in the video, but reality quickly set in as she boarded a flight to New Orleans from Amsterdam, the Journal reported. DePrince even got to meet the pop star. She said I looked like I was a creature from another planet, DePrince told the Journal. "She walked up to me and said, Its such an honor to have you here. I was really cheesy and said, The honor is mine. I was on cloud nine. She also recalled interacting with Beyonce and Jay-Z's oldest daughter, Blue Ivy, who showed off moves she had just learned in ballet class to DePrince, the Journal reported. Beyonce's "Freedom" is currently being used as a campaign anthem by Vice President Kamala Harris in her bid for the presidency. Beyonce performs "Freedom" at the 2016 BET Awards in Los Angeles, California U.S. June 26, 2016. More about Michaela Mabinty DePrince DePrince landed gigs at a number of prestigious ballet companies over the years, including the Joburg Ballet, Dance Theatre of Harlem, Dutch National Ballet and most recently the Boston Ballet. "Her life was one defined by grace, purpose, and strength. Her unwavering commitment to her art, her humanitarian efforts, and her courage in overcoming unimaginable challenges will forever inspire us," according to an online tribute posted by DePrince's team. "She stood as a beacon of hope for many, showing that no matter the obstacles, beauty and greatness can rise from the darkest of places." The team acknowledged that while DePrince's time on Earth was "far too brief," her "brilliance and legacy will continue to shine in the hearts of all who were touched by her story, for generations to come." Michaela DePrince performs "Giselle" with the English National Ballet on Jan. 13, 2017. 'She will be truly missed,' sister says Mia DePrince shared some remarks about her sister, sharing in the social media post that she was in a "state of shock and deep sadness" following her sister's death. "My beautiful sister is no longer here. From the very beginning of our story back in Africa, sleeping on a shared mat in the orphanage, Michaela and I used to make up our own musical theater plays and act them out," Mia DePrince wrote. "We created our own ballets. She would choreograph, and I was the composer and conductor." Mia DePrince looks back on the years following her and her sister's adoption with fondness, writing that their parents "quickly poured into our dreams." And that the "beautiful, gracefully strong ballerina that so many of you knew her as today arose" because of them. "Whether she was leaping across the stage or getting on a plane and flying to third-world countries to provide orphans and children with dance classes, she was determined to conquer all her dreams in the arts and dance," according to Mia DePrince. "Michaela has left her footprints in the sand and on so many stages across the world. She will be truly missed. I love you, my beautiful Butterfly." Michaela DePrince is survived by her sisters Mia, Beelee, Jaye, Mariel, Amie, and her brothers Adam and Erik. How you can help The DePrince family has asked the public make donations to War Child, an organization that Michaela DePrince worked with closely, in lieu of flowers. "This work meant the world to her, and your donations will directly help other children who grew up in an environment of armed conflict. Thank you," according to the post. Boston Ballet also released a statement online, extending their love and support to Michaela DePrince's family. "We were so fortunate to know Mabinty; she was a beautiful person, a wonderful dancer, and she will be greatly missed by us all," they said. This article originally appeared on USA TODAY: Renowned ballerina Michaela DePrince dies suddenly at 29 The Archdiocese of New York has stripped suspected crooked cleric Father Pawl Bielecki of his "priestly faculties," officials said. The world is not his cloister. The Archdiocese of New York has stripped suspected crooked cleric Father Pawl Bielecki of his priestly faculties, officials said. The conman known as Father Paul and dubbed Friar Tummy Tuck used at least $650,000 in phony charity donations to fund a lavish lifestyle and plastic surgery, according to the feds. Bielecki exploited his position as a friar to gain the trust of victims across the country and steal hundreds of thousands of dollars from them, said Damian Williams, US Attorney for the Southern District of New York, which collared the clergyman on Aug. 17 on charges of wire and mail fraud. Getty Images Bielecki claimed he ran medical clinics in war-torn Lebanon, but he was 5,600 miles away in Manhattan. For the past eight years, the priest preyed on the compassionate, soliciting donations on local radio shows and crowdfunding websites for the non-existent Lebanese hospitals and ambulances, authorities said. Bielecki is currently cooling his collar in Westchester County Jail after being deemed a flight risk, federal authorities confirmed. Adding to to his troubles, he can no longer function as a clergyman. His ordination gives him the ability to function as a priest and perform the sacraments, but removing his faculties takes away his permission to perform priestly ministry, said Mollie Fullington, a spokesperson for The Province of St. Mary of the Capuchin Order, which is headquartered in White Plains. The Province of St. Mary of the Capuchin Order is headquartered in White Plains. J.C. Rice He cannot hear confessions. He cannot celebrate Mass publicly. He cannot perform baptisms or funerals or weddings, and cannot engage in counseling or spiritual direction. At this point, he is still a priest, but he cannot perform these functions, Fullington said. The Province of St. Mary is working with its superiors in Rome to address Fr. Pauls status as a member of the Capuchin Order, the spokesperson said. Ralph Succar, a Brooklyn community leader who helped raise thousands at a 2018 Bay Ridge fundraiser to aid Christian refugees in the Middle East, underscored that his fellow members of the Verrazano Rotary and Salaam Club of New York gave with good intentions. Beginning in 2011 Bielecki became a guest of the Province of St. Mary, which covers New York and New England and is headquartered in White Plains. J.C. Rice We were taken. What he did [scamming the community] was absolutely wrong and he should be exposed, Succar said. Bielecki exploited his position as a friar to gain the trust of victims across the country and steal hundreds of thousands of dollars from them, said Damian Williams, US Attorney for the Southern District of New York, which collared the clergyman on Aug. 17 on charges of wire and mail fraud. The Polish-born Bielecki entered the order of Capuchin Franciscans in Krakow in 1994 ironically taking a vow of poverty, which required him to renounce material things and to not hold any property or bank accounts. We were taken. What he [Bielecki] did was absolutely wrong and he should be exposed, said Ralph Succar, a Brooklyn community leader who helped raise thousands of dollars at a 2018 Bay Ridge fundraiser to aid Christian refugees in the Middle East. Gabriella Bass The order provides friars with a monthly $250 stipend, as well as a credit card, for expenses. He was ordained a priest in 2001, church officials said. Beginning in 2011 he became a guest of the Province of St. Mary, which covers New York and New England and is headquartered in White Plains. Dogs are undoubtedly some of the sweetest creatures on earth, especially when they've begun bonding with someone they love. They'll follow you wherever you go, whether it's to the bathroom or to the end of the Earth, and they'll wag their tail the entire time. They never hesitate to show affection, appreciation, and joy, which can rub off on their family members, too. Pup parents aren't the only ones who are influenced by a dog's unwavering love, and Maui the Golden Retriever found the perfect way to prove it. He and his human brother have the sweetest bond, which their parents captured in a priceless September 4 TikTok video. This duo is taking their cuddle game to the next level, and it's such a beautiful thing to witness! OMG--could they get any cuter? Anyone who's cuddled with a dog knows just how blissful it can feel, especially with a fluffy dog like Maui. It's like a weighted blanket, a stuffed animal, and a BFF all in one! Related: Golden Retriever Puppy Overcome with Joy Upon Picking Up Brother From School "I once saw a Golden Retriever in person," commenter @idk8987 admitted, "and I cant believe how huge and fluffy they are." Although I have no idea what it's like to live in a place where Goldens aren't common, I know exactly what it's like to feel excited about a fluffy dog. I see my neighbor's fluffy, white Samoyed every day, but every day, I can hardly stop myself from giving him a hug! It's always reassuring to know that other canine fans are just as fond of dogs as I am. There are over 500 comments praising Maui and his relationship with his little brother, but the most liked comment is about "Maui's smile." It's impossible to ignore the love he has for his brother, and his face truly says it all. Why Are Goldens So Popular? Golden Retrievers like this Hawaiian-named pup have been at the top of the canine popularity list for many years, and it's easy to see why. These dogs are docile, loyal, and very loving! Scenes like the one in this TikTok video are normal in Goldens' households, and that's not going to change anytime soon. These large dogs are just big enough to feel like protectors for little kids and weighted blankets for owners of all ages. But they're also gentle enough not to knock over children, which makes them a great choice for families. In fact, easygoing Goldens would be a perfect fit in almost any home! Maui and his brother are the epitome of sweetness, and there's only more to come from this dynamic duo as they continue growing up together. It's a fairytale friendship! Looking for more PetHelpful updates? Follow us on YouTube for more entertaining videos. Or, share your own adorable pet by submitting a video, and sign up for our newsletter for the latest pet updates and tips. You are here: World Flash China's Minister of National Defense Dong Jun on Friday called for joint efforts to safeguard world peace and stability, and to promote the development of a human community with a shared future. Dong made the remarks when respectively meeting with the defense ministers of Laos, Kyrgyzstan, Gabon, the United Arab Emirates and Cameroon, all of whom are in Beijing for the 11th Beijing Xiangshan Forum. He called for closer coordination, joint endeavors and mutual support for regional security and stability. The Chinese military is willing to synergize security strategies, deepen defense consultation, and advance exchange and cooperation with all sides, Dong said. During the meetings, the foreign defense ministers extended their congratulations on the opening of the 11th Beijing Xiangshan Forum and expressed their willingness to strengthen military cooperation. Avoid trick-or-treating problems with this etiquette guide for kids and adults. Halloween is more than collecting candy and dressing up it can be an etiquette trap for parents and children. Lots of kids are excited for Halloween, but some feel nervous about running through dark streets, ringing doorbells and asking strangers for candy. Being sensitive toward those who are braving Halloween for the first time or who have food allergies or disabilities, is important. Although kids may change their costume plans a lot before Halloween, some rules regarding etiquette are carved in pumpkin. Heres how to master the most common challenges on Halloween. When you're the one greeting trick-or-treaters If you or your child doesnt understand someones look, theres a kind way to ask about it. Don't say, "What are you?" or the dreaded, "So, what are you supposed to be?" According to Dr. Ali Griffith, an audiologist and speech pathologist, that's important when talking to kids with sensory problems whose costumes may have been adapted to their comfort. Say, Tell me about your costume instead of asking, What are you? Sheryl Ziegler, a psychologist and author of Mommy Burnout, tells TODAY.com. You don't want to indicate that you have no idea what a kid's costume is because they may have made it themselves. Instead, just ask them to talk about it. Kids are proud to talk about their costumes. When you're out trick-or-treating Trick-or-treating can be an exercise in good manners as well. Saying Trick or treat and Thank you makes people feel good, Ziegler notes. Those giving candy are in the Halloween spirit and look forward to making small talk. Its not a race. Older kids shouldnt step around or trample littles in line. Teach them patience now so theyll know how to conduct themselves when they eventually trick-or-treat without adults, she says. "The weeks leading to Halloween are thrilling, however the holiday itself can be stressful for many children and families," Francyne Zeltser, the clinical director of psychology, training and special projects at Manhattan Psychology Group, tells TODAY.com. "Unmet expectations can lead to disappointment and negative feelings." When it's your first time trick-or-treating A child's first trick-or-treating experience is a big deal, so prepare them by visiting fall festivals, decorating pumpkins, reading books about trick-or-treating or watching videos about Halloween, suggests Zeltser. Or, practice trick-or-treating. "Have your kid knock on your front door and say, 'Trick or treat' and 'Thank you for the candy,'" Zeltser tells TODAY.com, adding that doing so in costume will help identify any sensory issues early. If your child is spooked by decorations, drive or walk by some adorned homes during the day. "You can explain that the decor is just a machine or doll," she says. Then, on Halloween, trick-or-treat in a local area (around your block or within the school zone, for example) and limit the number of homes you visit: Zeltser suggests about five for a first-timer. If you're passing out candy to trick-or-treaters, your child can help answer the door. "Even if they stand behind you or watch from the window, they can still experience trick-or-treating," says Zeltser. When a child is neurodivergent "For children who are anxious or on the autism spectrum, Halloween can be intimidating," Renae Beaumont, assistant professor of psychology at Cornell University, tells TODAY.com. "There are too many unknowns." Costumes, for example, can be confusing, especially if your child has trouble distinguishing fantasy from reality, says Beaumont. If you're meeting up with other families to trick-or-treat, Beaumont suggests asking others to remove their masks when greeting your child so he or she clearly understands the costume isn't real. If you're answering the door for trick-or-treaters, consider wearing a tamer costume or posting warning signs if your decor has bright lights or spooky sounds. "It ruins the element of surprise, but you can still have a laugh without scaring children," Beaumont points out. Some children have trouble understanding the viewpoint of others when sharing their thoughts, says Beaumont. If you're worried they won't accept candy graciously, write out a script. Here's one she suggests if they don't like the candy offered. "For example, 'Thank you, I will save this for my friend' instead of 'Ew,'" says Beaumont. According to Griffith, Halloween could be difficult for children who are nonverbal and cannot say, "Trick of treat" or "Thank you" or for kids with developmental delays that may seem younger than their age. A child with poor fine motor skills may not be able to grab a piece of candy, for example. So people answering the door on Halloween may want to drop candy into children's hands or buckets instead of offering the bowl. "Being observant and having an open heart can change how we look at people," Griffith tells TODAY.com. When a child has a food allergy Show that your home is conscious of food allergies by participating in The Teal Pumpkin Project. Teal-colored pumpkins signal that a home is giving out non-edible treats like stickers or toys along with candy. Dr. Clifford W. Bassett, author of "The New Allergy Solution," suggests families make a plan for trick-or-treaters with food allergies. Bassett says kids should accept candy in original packaging on which ingredients are listed. Don't eat the candy out on the street, tempting as it may be: Wait until you're home so adults can examine it first. And take labels seriously, he added, especially language like, "may contain nuts" or "made in a facility that contains nuts." "Kids with allergies can also travel with safe snacks," he says. That way, parents can replenish their stash if they run out of options. Griffith says some children may get disappointed while trick-or-treating when presented with items they can't eat. If people giving out candy want to give safer treats, theres always nut-free or dairy-free candy or another prize like stickers. When your teen wants to trick-or-treat unsupervised Halloween is an opportunity for responsible teens to trick-or-treat with their friends, instead of parents. "It's a night for kids to have autonomy," Emily Kline, a Boston-based psychologist and author of the book "The School of Hard Talks," tells TODAY.com. Kline recommends asking teens, "Where are you trick-or-treating?" "Who will you be with?" "What's your buddy system?" "What time are you coming home?" and importantly, "What are you most looking forward to?" "You'll get the most leverage with your kid if you're excited as opposed to anxious," she explains. Kline challenges parents to not check in with teens throughout the night, especially if they've already agreed on a plan. "When kids reach an appropriate age to trick-or-treat alone, they've likely walked around the neighborhood alone during the day," Kline notes. If they're allowed to use their judgement, theyll become good at it." When is a costume cultural appropriation? Not every Halloween costume is a treat: Don't choose clothing that stereotypes or mocks someone's culture, traditions or race. And if you see a costume that crosses boundaries? "Give that person the benefit of the doubt," says Ziegler. "They may not be trying to offend." Parents need to be aware of how their child's costume could seem to others. If your kid's choice gives you a funny feeling, explore why. "You can also see if your child has a back-up idea," she suggests. This article was originally published on TODAY.com Feature Jenna Bush Hager Details Reasons for Setting Up Hoda Kotb and Lenny Kravitz Jenna Bush Hager is once again trying to set up Today cohost Hoda Kotb with the perfect partner, this time setting her sights on rock icon Lenny Kravitz. During the Thursday, September 12, episode of Today With Hoda and Jenna, the two broadcasters gushed over Kravitzs performance on an earlier edition of the morning show. Is he not the epitome of all the things? Kotb, 60, asked. Bush Hager, 42, then rattled off a few of Kravitzs dreamy characteristics. Everything Hoda Kotb Has Said About Dating, Relationships and Breakups Through the Years Well, hes so kind and hes very hot, Bush Hager gushed. [And] talented. After Kotb then pointed out that Kravitz, 60, likes to make music, meditate and walk around his house in the Bahamas barefoot, Bush Hager had an idea. I know someone else who meditates and wears leather, Bush Hager quipped. [She] doesnt live in the Bahamas, but would love to! Kotb, who held hands with Kravitz during a Today interview earlier on Thursday, laughed off her friends matchmaking attempt. Youre so, Kotb said before trailing off and moving onto a discussion about his performance at the 2024 MTV Video Music Awards. Bush Hager subsequently accused Kotb of trying to ignore [her] attempts. Uh huh, Kotb quipped affirmatively. Kotb has been single since 2022 when she and ex-fiance Joel Schiffman called off their engagement. (The exes still coparent their two daughters, Haley and Hope.) We have a really great working relationship, Kotb exclusively told Us Weekly in November 2022, specifically referring to the holiday season. We speak a lot and we make sure that we divvy it up so that it is great for him and great for us. The ultimate goal is when your girls are happy. Thats what we both say, Happy girls, thats all we want. And thats what we have. Since the breakup, Kotb has detailed her dating experience on Today and Bush Hager has been able to chime in with her own thoughts. The two women are not only colleagues, but close friends. Stars Who Played Matchmaker for Their Friends: Taylor Swift, Jesse Tyler Ferguson, Nicole Richie and More We really respect each other. We really love each other. I feel so incredibly lucky that I get to spend my time with her because she's so joyful, Bush Hager exclusively told Us in August. She's so positive. We all have things going on in our personal lives and to show up and choose joy, even when there's harder things in the world and in our lives and all of the things, it's like to have a partner that does that so effortlessly makes it fun, makes work so much fun. Kravitz, for his part, is also single. (He was briefly married to actress Lisa Bonet, with whom he shares daughter Zoe Kravitz.) nortonrsx/istockphoto Why we know so little about womens health Throughout history, doctors have considered womens bodies atypical and mens bodies the norm, despite women accounting for nearly half the global population and outnumbering men in the United States since 1946. Though policy and social changes in the 1990s have helped turn the tide, women remain underrepresented in research, sometimes grossly so. Many medical researchers even avoid conducting studies on female mice due to greater costs associated with purchasing and housing both genders and concerns that the fluctuating hormones and reproductive systems of female mice might confound the study results. Historical bias, policies designed to shield unborn children from exposure to drugs and treatments, and ongoing challenges to recruiting and retaining women in clinical trials and medical research limit the understanding of how women, and particularly women of color, experience disease and how best to treat them for many conditions. This may contribute to health care disparities, as biological gender can play a role in physiological, metabolic, hormonal, and even cellular differences that can influence how diseases present and the effectiveness of pharmaceuticals and medical devices. Failure to study medications and other interventions in a broad sampling of women has contributed to women experiencing adverse effects from medications at twice the rate of men. One 2013 study found that women with metal hip replacements were 29% more likely than men to experience implant failure, possibly due to anatomical differences and inadequate testing in women. And, despite heart disease being the leading cause of death in the United States for both men and women, the medical field only recognized that women experience different symptoms of the disease than men when the American Heart Association published a Guide to Preventive Cardiology for Women in 1999. Separate from biological gender differences, women also are less likely to receive appropriate prevention and management of heart disease due to gender bias. Theres still science that we dont know, says Barbara Bierer, MD, a hematologist/oncologist and professor of medicine at Harvard Medical School in Boston, as well as the faculty director of the Multi-Regional Clinical Trials Center of Brigham and Womens Hospital and Harvard Medical School (MRCT Center), a research and policy group focused on improving clinical trials. These are issues that are very important that do affect a products safety and effectiveness. Women shouldnt be put in a special populations category, adds Martha Gulati, MD, a cardiologist at the Smidt Heart Institute at Cedars-Sinai in Los Angeles, and director of prevention and associate director of the Barbra Streisand Womens Heart Center and president of the American Society for Preventive Cardiology. Its important to study women to find out how to care for [51%] of the population. We are the majority of the population. So, although women are special, we are not a special population. SeventyFour/istockphoto A timeline of women in clinical trials Women were already poorly represented in medical research before the 1970s, but progress in researching drugs and medical devices in women was further set back in 1977, when the Food and Drug Administration (FDA) created a policy to exclude women of reproductive potential from Phase 1 and 2 clinical trials unless they had a life-threatening condition, according to the National Institutes of Health (NIH) Office of Research on Womens Health. This was in reaction to a tragedy in the previous decade when a drug called thalidomide, which thousands of pregnant European and Australian women took for morning sickness, was found to cause severe birth defects and sometimes death for their babies. The drug had been tested and approved in Europe and Australia for its sedative effects, though it was never approved in the United States. Nevertheless, the FDAs policy to exclude women of reproductive potential from most clinical trials was interpreted broadly, excluding nearly all premenopausal women, including those who were on birth control, had sterile partners, or abstained from gender. It was not until nearly a decade later, in 1986, that the policy to exclude women from clinical research was revisited. And in 1993, the U.S. Congress passed a law requiring the inclusion of women in clinical research. As recently as 2019, women accounted for roughly 40% of participants in clinical trials for three of the diseases that most affect women cancer, cardiovascular disease, and psychiatric disorders despite representing 51% of the U.S. population, according to a 2022 study by researchers at Harvard Medical School. Concerns also persist about the lack of information about medications and other interventions during pregnancy, since pregnant people are even more commonly excluded from trials. The picture is even more bleak for women of color. The MRCT Center published an article in 2022 pointing out that often clinical trial data do not report the intersection of biological gender and race, and that some systematic reviews of clinical trials that report such information show significant underrepresentation of women of color. Given the number of people who are seen and [the amount of] products that are prescribed annually, we should be able to develop a better way of accessing real world data, Bierer, one of the study authors, says. For many reasons, people are now much more alert to the need to include different populations that have been historically underrepresented in clinical trials both for social justice reasons and for the scientific insights we can glean, which we hope in time will reduce health disparities. That will require a rethinking of how researchers recruit and retain participants, says Danielle Mitchell, CEO and founder of Black Women in Clinical Research, an organization focused on furthering the inclusion of Black women working in the field. Mitchells mission is to bridge the gap between Black communities and the clinical research field. She talks about clinical research at churches and hair salons. She hopes that the people leading clinical research will do their part by broadening their scope when it comes to hiring people at their research sites, from the receptionists to the coordinators to the principal investigators. When people go into a clinic, often times they dont see anyone who looks like them, Mitchell says, explaining that this creates missed opportunities to build trust and educate about clinical trials. From my perspective, we need to have those tough conversations with people about what happened in the past for people to consider clinical trials as a health care option." Grandbrothers/Istockphoto women in clinical trials timeline 1962: After thalidomide, a medication sometimes used for morning sickness in Europe and Australia, results in more than 10,000 deaths and birth defects in babies, the United States strengthens the authority of the FDA. 1977: The FDA creates a guideline to exclude women of reproductive potential from participating in early phase clinical research, except for life-threatening conditions. This is broadly applied and essentially excludes most women of childbearing age from medical research. 1986: An NIH advisory committee recommends that women be included in medical research studies. 1990: The NIH establishes the Office of Research on Womens Health, which leads a variety of programs aimed at advancing research into womens health and increasing representation of women as both participants and investigators in clinical research. 1991: Bernadine Healy, MD, is appointed the first female director of the NIH. 1993: The FDA reverses its 1977 guidance, officially mandating the inclusion of women in research unless there is a justification for exclusion (for example, if the disease only affects men). 1993: The U.S. Congress passes a law requiring inclusion of women in NIH-sponsored clinical trials. 1994: The FDA establishes the Office of Womens Health, which is charged with promoting the inclusion of women in clinical trials and the advancement of womens health care. 2001: The Institute of Medicine releases a report that demonstrates how gender difference influences biology and should be studied as a variable in clinical research. 2022: A Harvard Medical School study finds that women, as of 2019, were still substantially underrepresented in clinical trials for leading diseases. Jacob Wackerhausen/istockphoto Making progress Despite the late start in studying many aspects of womens health, there has been progress in increasing the inclusion of women in medical research, says Maria Brooks, PhD, a professor of epidemiology and biostatistics and co-director of the Epidemiology Data Center at the University of Pittsburgh School of Public Health. Brooks leads several national, large-scale studies, including one focused on menopause. Ive been working in the field for a long time, and Ive seen clear progress over these last 30 years, she says. Theres an emphasis on including women, and a focus on health conditions that everybody has but [that] might manifest differently in women than in men. However, when it comes to understanding and properly treating disease, there is still ground to cover in order to achieve equity between men and women, and particularly women of color. Experts say these strategies could help move the needle: Attract and retain a diverse group of women in leadership roles for medical and clinical research. Celina Yong, MD, the director of Interventional Cardiology at the Palo Alto VA Medical Center and an associate professor at Stanford University, conducted a study analyzing the gender of principal investigators for cardiovascular clinical trials and found that just 18% of the trials were led by women, but those led by women enrolled more female participants. For a long time, the field of cardiology has been male-dominated, Yong says. But more and more, were seeing women pursue the field, which is changing the pipeline for future leadership. gorodenkoff/Istockphoto Incorporate how biological gender differences affect medical care into medical education. Gulati, who gives lectures at medical schools about gender differences in the heart and in cardiology care, says many students tell her that they are learning about these differences for the first time from her lectures. Often, she says, male biology is still taught as the default, and learning about how female biology is different from organ systems to hormones to cellular differences is considered special interest. I think thats where we can try to solve things, she says. In medical education, [students] need to be educated on gender differences, not just about heart disease, [but for] every organ system, there should be a component about what is the same, what differs, and what is unknown. Students need to leave medical school understanding these differences. Jacob Wackerhausen/Istockphoto More robust and inclusive research and data collection. Just eight years ago, in 2016, the NIH instituted a policy that requires researchers with NIH funding to collect data on biological gender differences in preclinical research and animal testing, analyze the data, and report on differences in the findings. According to the policy, Appropriate analysis and transparent reporting of data by gender may therefore enhance the rigor and applicability of preclinical biomedical research. Still, Gulati says there is a lack of accountability when researchers dont follow through on their commitment to enroll a certain percentage of women in their clinical trials. Though the NIHs policies have helped move the needle, she thinks there should be measures in place to further progress, such as requiring a pause in the research until the pre-specified number of women are enrolled. Researchers can make further progress in recruiting women from other underrepresented in research groups (such as those with low socioeconomic status, older women, or those living in rural areas), by designing trials in a way that makes them more flexible and accessible for people with caretaking responsibilities or transportation issues, Brooks says. Its a challenge she hopes the field will embrace. I feel hopeful and confident that, in general, the research community has become aware and is quite dedicated to ensuring that we enroll and retain a broader group of research participants. This article originally appeared on Aamc.org and was syndicated by MediaFeed.org catinsyrup / iStock More from MediaFeed Some people really do leave money to their pets. And its legal. In June 2019, designer Karl Lagerfeld passed away. A chunk of his fortune, amassed after decades of helming the fashion house Chanel, was left to his cat, Choupette. There is no marriage, yet, for human beings and animals, he told CNN in 2013. I never thought I would fall in love like this with a cat. If the bequest seems unusual, its not. Estate attorneys told CNN theyre seeing more incidences of people making financial plans for their pets after they die. Some of the bequests are quite generous. Twenty-nine states have enacted pet trust laws since 2008, and now every state, plus Washington, DC, has some form of guidelines, according to the American Society for the Prevention of Cruelty to Animals. Its a twisty money matter, though. Pet bequests have been known to be challenged in court, sometimes successfully, by disinherited heirs. And since pets are considered, in all US states, property, you cant actually leave them money. But there are, increasingly, ways around that and pet owners-slash-parents who want to find them. Leona Helmsley, right, is shown in this July 4, 2000 photo with her dog Trouble during her 80th birthday celebration at her estate in Greenwich, Conn. - Helen Neafsey/Greenwich Time/AP Keeping a pet at home An animal cant inherit a house. However, if a person names a guardian for their pet, they can leave money and property to that person. And they can request that the guardian uses those assets to care for the pet, even in the deceaseds home. But there is no guarantee the guardian will follow those desires and, in many case, they arent legally bound to honor the requests. If a person favors pets over family, attorneys caution the reasons for disinheritance must be made clear. Sometimes people leave their children or grandchildren nothing out of anger and disappointment document incidents so the court upholds your wishes. In 2008, a New York probate court overruled controversial real estate mogul Leona Helmsleys $12-million bequest to her beloved Maltese, Trouble, knocking down the dogs share of her estate to $2 million. The judge also awarded $6 million to two grandchildren she had disinherited for reasons known to them, wrote Helmsley. It made headlines across Asia early this year when one elderly Shanghai mother announced she was leaving her $2.8 million estate, through a veterinary clinic, to her cats and dogs because her children never visited her. Setting up a trust So if a person wants to set aside money for their pets care with a legally binding obligation that its used only for the pets benefit, then they usually need to establish a trust. That was the route Majel Barrett-Roddenberry, actress and widow of Star Trek creator Gene Roddenberry, took. Before her death in 2009 at the age of 76, she set up $4 million trust for the couples dogs, and allocated an additional $1 million for an employee to care for them. (The arrangement triggered headlines Star Trek fortune goes to the dogs.) Karl Lagefeld at a viewing for his photo calender 'Corsa Karl and Choupette' at the Palazzo Italia in Berlin, Germany, February 3, 2015. Lagerfeld took the pictures of his cat Choupette for the calendar. - dpa/picture alliance/Eventpress/Sipa Florida attorney Peggy Hoyt, author of All My Children Wear Fur Coats, told CNN she urges her clients to leave comprehensive instructions for pet caretakers. They should have access to your home, care and feeding instructions for your pet, the name and contact information for your veterinarian and written instructions for the long-term or permanent care of your pet, she said. If a pet outlives its caregiver, state laws differ about who must take responsibility for the animal (The ASPCA offers an online guide, state-by-state.) Leslie Ann Mandel, widow of science-fiction author Arthur Herzog III, thought of pretty much everything for her cat, dog and more than two-dozen cockatiels, each bird identified by name, in her will. Specifically, a trustee was awarded $100,000 and access to additional funds, but the birds had to be allowed to remain living in the aviary of Mandels Wainscott, Hamptons home after her death (in 2015). Her chief demand: no cages. When things go wrong What could go wrong? A lot. Estate attorneys recommend people who want to add their animals to their wills set up a separate trustee for the trust who is not also the pets caretaker. Its far more likely that their wishes will being carried out if there is more than one person administering the terms of the trust. If hit with a layoff or slew of medical bills, even a well-meaning caretaker may be tempted to divert funds towards their own needs. A simpler solution is to leave a sum of money to an animal protection group. A handful of them, such as the Associated Humane Societies, run shelters throughout New Jersey which will care for cats and dogs after their owners have passed on. We require a $10,000 minimum donation for future care of the animal, said Director of Development Danielle Mania. But we dont want them to have to live out their lives in a shelter. We try to find them a new forever home. For more CNN news and newsletters create an account at CNN.com St. Louis County Prosecutor Wesley Bell vowed to "continue to work to prevent" the execution of a man he does not believe is the likely killer Marcellus Williams' Legal Team Marcellus Williams. A county prosecutor in Missouri says he is immensely disappointed that a circuit judge has denied his petition to vacate the conviction of a man on death row, who is set to be executed later this month and who he believes may be innocent. The Thursday, Sept. 12 order and judgment is the latest in Marcellus Williams years-long legal battle following his murder conviction in connection with the 1998 stabbing of St. Louis Post-Dispatch journalist Felicia Gayle. Williams is slated for execution on Sept. 24. In a statement following the decision, St. Louis County Prosecutor Wesley Bell noted the detailed and well-documented concerns regarding the integrity of the case. I believe that those who are convicted of committing crimes should be brought to justice, he said. And, for something as consequential as the death penalty, the evidence must prove the defendant to be guilty without a shadow of a doubt. He said the decision to move forward with the execution goes against Gayles familys own wishes. Missouri Department of Corrections via AP; Missouri Department of Corrections Marcellus Williams in mugshots taken in 2014 and 2023 during his more than 20-year incarceration. Related: Evidence of Marcellus Williamss Potential Innocence Came to Light in 2017. He is Slated for Execution in 26 Days At a hearing Aug. 28, St. Louis County prosecutors and Tricia Rojo Bushnell of the Innocence Project introduced DNA evidence which had been available for seven years but never heard by a court. At the hearing, the Missouri Attorney General's Office argued for his execution. In the county prosecutors motion to vacate, which was filed in January and led to the August hearing, Bell outlined key factors in the case, among them: bloody fingerprints and footprints, as well as hairs clutched in Gayles hands that did not match Williams'. County prosecutors, who noted in the filing that Williams had ineffective counsel and that prosecutors peremptorily struck multiple Black people from the jury based on race, have also acknowledged what Chris King of the prosecutors office called in an email to PEOPLE improper handling of evidence. DNA on the knife may have belonged to the lead prosecutor and an investigator on the case, supporting the allegation of mishandled evidence, per a DNA report and expert testimony referenced in the judges 24-page order and judgment reviewed by PEOPLE. Want to keep up with the latest crime coverage? Sign up for PEOPLE's free True Crime newsletter for breaking crime news, ongoing trial coverage and details of intriguing unsolved cases. That evidence was provided to a Board of Inquiry in 2017 but never before ruled upon. Last year, Governor Mike Parsons dissolved the board before they published their findings. Attorney General Andrew Bailey whose office did not respond to PEOPLEs request for comment soon after called for the new execution date. AP Photo/David A. Lieb Missouri Attorney General Andrew Bailey (left) with Gov. Mike Parson (right). Judge Bruce F. Hilton said in his order that such DNA evidence did not rule Williams out as the killer, since a crime scene investigator testified that the killer likely wore gloves. Hilton further noted that he believed the former lead prosecutor on the case had a good faith basis and reasons for handling the knife without gloves, which led to his DNA getting on the murder weapon because he did not understand trace DNA in 2001. The judge also said he was unconvinced of Williams claim of actual innocence in the first-degree murder charge and characterized the work of Williams lead defense lawyer, Joseph L. Green, who is now an associate circuit judge, as exemplary. Green testified in August that he believes Williams did not get our best, per a transcription of his testimony quoted in Hiltons decision. The Innocence Project confirms they have filed a pending clemency petition. We will continue pursuing every possible option to prevent Mr. Williams wrongful execution, Bushnell said in a statement. There is still time for the courts or Governor Parson to ensure that Missouri does not commit the irreparable injustice of executing an innocent person. In an email to PEOPLE, Johnathan Shiflett of the governors office said that following standard practice for a death row case he would consider clemency typically at least 24 hours prior to the scheduled execution date. Shiflett further noted that Parson has not said Mr. Williams should or should not be executed the Courts, in following state law, decide that. For more People news, make sure to sign up for our newsletter! Read the original article on People. Maya, an 8-year-old Pitbull mix, was found unconscious and lying on top of her disabled owner as a fire ravaged their house in the suburban Sacramento city of Roseville last month, according to Nicole Orlando, director of the Veterinary Specialty Hospital. A California woman is lucky to be alive after officials believe her dedicated dog helped protect her during a house fire. Firefighters found Maya, an 8-year-old Pitbull mix, unconscious and lying on top of her disabled owner as a fire ravaged their house in the suburban Sacramento city of Citrus Heights last month, according to Nicole Orlando, director of the Veterinary Specialty Hospital in nearby Roseville. The entire house was engulfed in thick smoke and flames with no escape route, Orlando told USA TODAY this week. Firefighters, who broke a window to reach Maya and her owner, used an oxygen mask on the dog for 15 minutes to revive her, after which she was rushed to the vet, Orlando said, adding that all her treatment was free. Maya remained at the hospital for two days as she was treated for smoke inhalation. She's still being treated for burn marks, including one on her tongue. "(She's) a very, happy sweet dog," Orlando said. The Veterinary Specialty Hospital said in a Facebook on Tuesday that Maya "hasn't lost her spirit." The hospital also had a small ceremony to honor Maya, her family and the firefighters who braved the flames to save them. "In a beautiful celebration of bravery, loyalty, and community, we all gathered to reflect on Mayas incredible act of protecting her mom, who is also on the mend," the hospital said, sharing pictures of Maya with the firefighters. Maya with the firefighters who helped save her and her family. What happened to Maya's family? Maya and her owners' house caught fire on Aug. 15, according to a GoFundMe page set up by the owners' daughter, Allison Hoffman. Although Maya saved her mother's life, the family lost a beloved kitten, Misty, in the fire and her mother suffered third-degree burns on more than 60% of her body and was being treated at the UC Davis Burn Center. "Although the structure still stands, my family lost everything," Hoffman said. "Our mother will need numerous skin grafts, rehab, and months of medical therapy." Melissa, who is Maya's owner, was wheelchair-bound after a stroke left her immobile, the GoFundMe said. She was unable to get out of house at the time of the fire but Maya remained with her throughout the ordeal. Melissa's husband, David Hoffman, told KCRA-TV that his wife did not receive any burn marks on her chest likely due to the dog lying on top of her. "It saved her life, Hoffman told station. David attempted to run inside and save his wife but the "fire was too big and too fierce," and he was unable to make it to her, the GoFundMe said. In an update on Aug. 24, Allison said Melissa was recovering and was taken off the ventilator and was awake and talking a little. The family's two other pet cats, Molly and Boots, survived and made it out safely. Dr. Jenny Jones making up "magic milk" for Maya. She ran to the store to buy all the additional supplies needed out of her own pocket. 'Unbelievable accident' "Times are hard right now and none of us are going to pretend like everything is going to be OK," Allison said. "Our hopes are one day we can make it to some sort of normal and move on from this absolutely unbelievable accident." While the total loss is estimated to be over $380,000, the GoFundMe account has a target of $10,000. As of Friday afternoon, more than $7,600 had been raised. Saman Shafiq is a trending news reporter for USA TODAY. Reach her at sshafiq@gannett.com and follow her on X and Instagram @saman_shafiq7. This article originally appeared on USA TODAY: California woman survives house fire after Maya the dog lies on her Michaela DePrince performing Giselle with the English National Ballet in January 2017 (Ian Gavan/Getty Images) Michaela DePrince, one of the ballet worlds brightest stars, has died. She was 29. An orphan of war in Sierra Leone, DePrince moved to the United States while still an infant and went on to perform with the Dance Theatre of Harlem, the Dutch National Ballet and the Boston Ballet. She rose to fame after being featured in the 2011 documentary First Position, and was also known for appearing in Beyonces visual album Lemonade and performing on Dancing with the Stars. Her death was announced in a statement from her team on her official Instagram page. With pain in our hearts, we share the loss of star ballerina Michaela Mabinty DePrince, whose artistry touched countless hearts and whose spirit inspired many, leaving an indelible mark on the world of ballet, and beyond, it read. Her life was one defined by grace, purpose, and strength. Her unwavering commitment to her art, her humanitarian efforts, and her courage in overcoming unimaginable challenges will forever inspire us. She stood as a beacon of hope for many, showing that no matter the obstacles, beauty and greatness can rise from the darkest of places. No cause of death has yet been announced. Michaela DePrince attending the American Ballet Theatre Gala in New York in June 2022 (Bryan Bedder/Getty Images for American Ballet Theatre) DePrince was born Mabinty Bangura in Kenema, Sierra Leone on January 6, 1995. She grew up as an orphan from the age of three after her father was reportedly shot and killed by the Revolutionary United Front and her mother starved to death. At the age of four she was adopted by an American couple, Elaine and Charles DePrince, and moved to Cherry Hill, New Jersey. DePrince went on to study classical ballet in the US, and was one of the stars of the 2011 documentary First Position, which followed six young dancers as they competed for a place at an elite ballet school. She made history by becoming the youngest principal dancer at the Dance Theatre of Harlem, before moving to the Netherlands to dance with the Dutch National Ballet. She then returned to the United States to dance with Boston Ballet. In 2012 she appeared on Dancing with the Stars, and in 2016 she choreographed her own dance moves to accompany the song Freedom on Beyonces visual album Lemonade. She said I looked like I was a creature from another planet, DePrince told the Wall Street Journal about meeting the pop superstar. She walked up to me and said, Its such an honor to have you here. I was really cheesy and said, The honor is mine. I was on cloud nine. Her 2014 memoir, Taking Flight: From War Orphan to Star Ballerina, which she co-authored with her adoptive mother has been published in 12 countries. In 2018 it was announced that Madonna was attached to direct a film adaptation. Boston Ballet paid tribute to DePrince following her death. Were sending our love and support to the family of Michaela Mabinty DePrince at this time of loss, said their statement. We were so fortunate to know Mabinty; she was a beautiful person, a wonderful dancer, and she will be greatly missed by us all. Three American citizens have been sentenced to death in Congo after being convicted on charges of participating in a coup attempt, with one telling a court that his father who led the failed effort "had threatened to kill us if we did not follow his orders." A lawyer representing 21-year-olds Marcel Malanga and Tyler Thompson Jr. and 36-year-old Benjamin Reuben Zalman-Polun, is now planning to appeal the verdict following the botched attack orchestrated by Malangas father, Christian Malanga, in May that targeted the presidential palace and a close ally of President Felix Tshisekedi. "We have seen that a military court in the Democratic Republic of Congo sentenced a number of defendants, including U.S. citizens, to death for alleged involvement in the May 19th attacks against the government," State Department spokesperson Matthew Miller said Friday. "We understand that the legal process in the DRC allows for defendants to appeal the court's decision. Embassy staff have been attending these proceedings ... We'll continue to attend the proceedings and follow the developments closely." When asked if he thought the court process was fair, Miller responded, "I don't want to pass judgment on the proceedings so far, because we are still in the middle of the legal process." AMERICANS IN ALLEGED CONGO COUP PLOT FORMED AN UNLIKELY BAND Six people were killed during the botched coup attempt, including Christian Malanga, who was fatally shot while resisting arrest soon after live-streaming the attack on his social media, the Congolese army said. READ ON THE FOX NEWS APP Marcel Malanga, who is a U.S. citizen, told a court during the case that his father had forced him and his high school friend to take part in the attack, according to The Associated Press. "Dad had threatened to kill us if we did not follow his orders," Marcel Malanga reportedly said. Other members of the ragtag militia recounted similar threats from the elder Malanga, and some described being duped into believing they were working for a volunteer organization, the AP adds. Marcel's mother, Brittney Sawyer, maintains that her son is innocent and was simply following his father, who considered himself president of a shadow government in exile. FLASHBACK: CONGO HASNT ALLOWED US ACCESS TO AMERICANS ARRESTED IN COUP ATTEMPT, EMBASSY SAYS Thompson Jr. flew to Africa from Utah with the younger Malanga for what his family believed was a free vacation, and Zalman-Polun is reported to have known Christian Malanga through a gold mining company. Thompsons family says he had no knowledge of the elder Malangas intentions, no plans for political activism and didnt even plan to enter Congo. He and the Malangas were meant to travel only to South Africa and Eswatini, his stepmother, Miranda Thompson, told the AP. "We urge all who have supported Tyler and the family throughout this process to write to your congressmen and request their assistance in bringing him home," their lawyer in Utah, Skye Lazaro, said to the news agency, adding that the family is heartbroken over the verdict. Sen. Mike Lee and a spokesperson for Sen. Mitt Romney said they are both engaged with the State Department over the matter. Weapons and military uniforms used by the accused of a failed alleged coup attempt are displayed at the first hearing of the trial at the Ndolo Prison in Kinshasa on June 7, 2024. In addition to the three Americans, a Briton, a Belgian and a Canadian were sentenced to death after being convicted of participating in the plot, along with 27 others. The Associated Press contributed to this report. Original article source: 3 Americans in Congo sentenced to death after coup attempt [Rocio Chacon / Ed Cross Gallery] One day when struggling to get to grips with a spreadsheet to calculate his annual budget for art supplies, an idea popped into the mind of Ghanaian visual artist Joseph Awuah-Darko. He could use the database to track his bipolar disorder, a mental illness that causes huge swings in a person's moods, energy and concentration levels. I'm a visual learner and I thought: 'Why don't I use colour as a language?' Awuah-Darko told the BBC. "Colour allows me to express things that I can't really capture in words. The 28-year-old started allocating to every hour a colour that represented how he was feeling at that point in time - with red being the most depressive state, and pastel blue the most positive. It became something that became addictive - and cathartic. And an interesting way of monitoring my life. One of Joseph Awuah-Darko's spreadsheets logging his emotions hour by hour [Joseph Awuah-Darko] Out of those meticulous digital records, the artist has also created a series of abstract oil paintings - portraits of his days. His first UK solo exhibition, Hows Your Day Going?, makes exterior his struggles with bipolar disorder, with which he was diagnosed at the age of 16 when he had a breakdown at school. Some days are better than others, as the blocks of colour in his worksheets show. He uses oil sticks to create vertical linear stripes on the canvas - in blacks, browns, reds, oranges, yellows, blues and greens. Red represents Joseph Awuah-Darko's most depressive state [Rocio Chacon / Ed Cross Gallery] Some paintings are almost as neat and precise as the coloured spreadsheet cells - others are less ordered. The artist does not wait for one paint to dry before he applies the next colour - and as the wet paints run into each other, new colours are created. "It's beautiful to see how, even though I have given these strict schematic colour assignments to my moods, emotions aren't sanitised. They are messy, and they flow into each other"", Source: Artist Joseph Awuah-Darko, Source description: , Image: Joseph Awuah-Darko This mixing, says Awuah-Darko, reflects the nuances and complexities of his own emotions. "It's beautiful to see how, even though I have given these strict schematic colour assignments to my moods, emotions aren't sanitised, he says. They are messy, and they flow into each other, he says. Awuah-Darko was born in London to Ghanaian parents, but he grew up in Ghana, has travelled a lot and now lives in the Belgian capital, Brussels. This was the first painting done for the Hows Your Day Going? series [Rocio Chacon / Ed Cross Gallery] The colours he uses to capture his emotions depend on where he is in the world - and partly reflects the nature of what I feel about the environment I'm in. The deep, warm blue-green of teal is a colour that he most associates with Brussels. Teal covers a whole range of emotions and energies that he feels - somewhere in the middle between the deep, disruptive depression of red and the positivity of lighter blues. He often paints while in a state of teal. I'm deep in thought and lost in the void of my own imaginations, he says. Im not exactly bursting with joy, he laughs, but I am engaging my mind and my hands in a way that I feel is productive. Joseph Awuah-Darko left Ghana as he wanted to live openly as a gay man [Rocio Chacon / Ed Cross Gallery] Yellow is what Awuah-Darko describes as a nuanced state of anxiety. It could be a moment of disappointment or rejection. "It's not an absolute negative, he says, but it is something that could break you down - if you chose to allow it to. The first painting Awuah-Darko created in the Hows Your Day Going? series is entitled June 15 PM. The date is the day recorded in the spreadsheet - and PM reflects that he finished the painting at night. The image holds particular emotional gravitas for the artist because he says it is when he accepted that his life was going to be based for the foreseeable future in Brussels - not Accra, Ghanas capital. This reflects Joseph Awuah-Darko's day on 17 June 2024 [Rocio Chacon / Ed Cross Gallery] Awuah-Darko left Ghana because he wanted to live openly as a gay man - and he felt he could not do that because of restrictive legislation passed by Ghanas parliament in February 2024. The bill - which is yet to be signed into law by the president - imposes heavy sentences on gay, lesbian and bisexual people, anyone who identifies as transgender, as well as those seen as allies. I created June 15th at a time when I was really reconciling with what it meant to be an immigrant. That was daunting, heavy, beautiful and exciting. Awuah-Darko was inspired to transform the spreadsheet diaries into paintings by a two-month artist residency he attended earlier this year at the Josef and Anni Albers Foundation in the US. The late German-born American artist Josef Albers put colour at the centre of his work and has inspired generations of artists. Sometimes Awuah-Darko uses a slightly different pallet to reflect moods - this records days on a trip to New York [Joseph Awuah-Darko] "At the residency, I learned about the power of colour as language, as vocabulary, and it really enhanced my ability to capture that in my abstract painting. Awuah-Darko also pays homage in his art to the bold and colourful work of Atta Kwami - one of Ghanas most respected artists who came from Kumasi but spent many years in the UK where he died in 2021. There's such an honesty about his painting and such a reverence for the colours he uses, which are so linked to his upbringing in Ghana and to how he viewed the world through lines and spaces. Another influence is Anni Albers, one of the worlds leading textile designers and printmakers, and wife of Josef Albers, who blurred the lines between the ancient craft of hand-weaving and modern art. Awuah-Darko drew on Albers' work for his most recent paintings - and also his own heritage. Joseph Awuah-Darko draws inspiration from his ancestral home - the birthplace of kente cloth [Rocio Chacon / Ed Cross Gallery] He comes from an influential family of financiers and chiefs in the south-central Ashanti region of Ghana. The ancestral home is very close to Bonwire, the birthplace of the world-famous kente fabric, and the artist grew up wearing the traditional multi-coloured cloth. He also learned how to weave it using a hand loom, stripe by stripe, colour by colour - a process that he finds cathartic and meditative. His paintings are reminiscent of kente cloth, and the process has, he says, been almost like weaving with paint. "Its super interesting to see how my heritage has manifested itself in my work beyond the way in which it obviously addresses my battle with depression. The exhibition Hows Your Day Going? by Joseph Awuah-Darko is on at Ed Cross Fine Art in London until 19 October 2024. You can find out more about bipolar disorder here You may also be interested in: [Getty Images/BBC] Go to BBCAfrica.com for more news from the African continent. Follow us on Twitter @BBCAfrica, on Facebook at BBC Africa or on Instagram at bbcafrica BBC Africa podcasts Beijing welcomes the world at 2024 CIFTIS, opening new doors for global trade 09:08, September 14, 2024 By Liu Qiyu ( People's Daily Online BEIJING, Sept. 13 (China Economic Net) - Themed "Global Services, Shared Prosperity", the 2024 China International Fair for Trade in Services (CIFTIS) kicked off with fanfare in Beijing on September 12. This years fair sees a strong turnout, with 85 countries and international organizations represented, alongside over 450 Fortune Global 500 companies and industry leaders. The spotlight is firmly on Chinas commitment to collaborating with the world to seize fresh opportunities in a rapidly globalizing economy. Australia Eyes a Leading Role in 2025 Marking its third year at CIFTIS, Australia is already looking ahead to next years event, where it will take center stage as the guest country of honor. Dominic Trindade, Minister (Commercial) at the Australian Embassy in Beijing, revealed that Australia is gearing up for an even bigger presence at CIFTIS 2025. Were not just here for this years eventwere preparing for the next 12 months with a range of initiatives designed to deepen trade in services between Australia and China, Trindade told China Economic Net. He emphasized how vital trade in services has become to strengthening the bilateral relationship, supported by the China-Australia Free Trade Agreement and an increasing number of high-level visits between the two countries. Trindade also pointed out the boost in people-to-people exchanges thanks to Australias inclusion in Chinas visa-waiver program. These interactions will help both nations recover economically and strengthen our ties, he noted. Portugal Welcomes Investment with Open Arms Portugal, with its National Pavilion launched at CIFTIS for the first time, is rolling out red carpet for foreign investment, according to Nuno Lima Leite, Managing Director for China and Economic and Commercial Counsellor of the Portuguese Embassy in Beijing. Leite emphasized Portugals openness to investors from around the world, as long as they meet national standards. Our doors are open to all, whether the investment is Chinese, American, or from elsewhere. What matters is that it meets our criteria, said Leite, stressing Portugals reputation as a non-discriminatory destination. With its strategic location in Europe and membership in the European Union, Portugal has long been a magnet for international investment, particularly in renewable energy, infrastructure, and tech sectors. Leite highlighted growing interest from Chinese companies, especially in the energy and automotive industries. He sees CIFTIS as a springboard for even deeper collaboration in key sectors like green energy and digital services. Were looking for partnerships that align with our vision for innovation and sustainable development, he added. Cyprus Highlights Deepening Ties with China For Cyprus, the focus is on solidifying long-standing trade and educational ties with China. Petros Petrou, Commercial Counsellor of the Cyprus Embassy in Beijing, underscored the depth of the relationship between the two nations, noting the strong foundation of regular diplomatic exchanges and expanding trade cooperation. Cyprus has been actively participating in Chinas major trade fairs these years, Petrou said in an interview with China Economic Net. This event is an excellent opportunity for us to showcase a wide range of Cypriot products and services, and weve seen significant growth in our trade with China as a result. CIFTIS Driving Global Economic Growth Since its debut in 2012, CIFTIS has grown into a leading platform for international trade in services, drawing more than 900,000 attendees from 197 countries and regions over the past decade. As the 2024 event gets underway, it continues to be a key force in driving global economic growth. With countries from all over the world fully engaged, CIFTIS underscores the growing importance of service trade in fostering global prosperity and unlocking new investment opportunities worldwide. (Source: CE.cn) (Web editor: Tian Yi, Liang Jun) Flash Chinese Premier Li Qiang meets with President of the United Arab Emirates (UAE) Sheikh Mohamed bin Zayed Al Nahyan in Abu Dhabi, the United Arab Emirates, Sept. 12, 2024. [Photo/Xinhua] With bilateral trade flourishing across various sectors, China and the United Arab Emirates (UAE) are making concerted efforts to explore new paths for cooperation, aiming for further win-win outcomes and strengthening their comprehensive strategic partnership. This focus was underscored during Chinese Premier Li Qiang's visit to the UAE, which concluded on Friday. In meetings with UAE leaders and business representatives, both sides expressed a strong will and offered valuable suggestions to enhance economic and trade collaboration while marking 40 years of diplomatic relations. While meeting with UAE President Sheikh Mohamed bin Zayed Al Nahyan on Thursday, Li noted that China is willing to further expand the scale of bilateral trade with the UAE and explore cooperation in new energy, electric vehicles, high-end manufacturing, biomedicine, digital economy and other fields. He hoped that the two countries could jointly make a forward-looking layout of emerging and future industries to cultivate more new economic growth points. For his part, Mohamed said the UAE looks forward to closer exchanges at all levels with China, giving full play to the mechanism of the high-level committee on China-UAE investment and cooperation, deepening practical cooperation in economy, trade, investment, energy, health, education, and other fields. Chinese Premier Li Qiang holds talks with Sheikh Mohammed bin Rashid Al Maktoum, vice president and prime minister of the United Arab Emirates (UAE), in Dubai, the United Arab Emirates, Sept. 12, 2024. [Photo/Xinhua] During talks with the UAE's Vice President and Prime Minister Sheikh Mohammed bin Rashid Al Maktoum, Li pointed out that China and the UAE are good partners on the path of common development. It is in the fundamental interests of both sides to strengthen cooperation and help each other succeed. Li said China is willing to share development opportunities with the UAE for better mutual benefit, welcoming more UAE investment in China and encouraging Chinese enterprises to invest and do business in the UAE. Also on the premier's visit agenda was the UAE-China Business Forum, which was held in Dubai on Thursday. Over 200 representatives from the governments, chambers of commerce, and businesses of both countries attended. While addressing the forum, Li said bilateral ties have matured over the past 40 years, and economic and trade cooperation has yielded strong results. China has been the UAE's largest trading partner for many years. The UAE is China's largest export market and second-largest trading partner in the Middle East. Official data showed bilateral trade between China and the UAE reached 94.98 billion U.S. dollars in 2023. In the first half of 2024, the figure reached nearly 50.11 billion dollars. Behind such success lies a profound inevitability, Li noted. As China-UAE economic and trade exchanges have spanned over a millennium, both countries have actively embraced economic globalization and worked together to create development miracles through opening-up. The premier called on enterprises from both countries to seize the new opportunities of high-quality Belt and Road cooperation and identify more areas of shared interests and opportunities for collaboration from the intersection of their strategic priorities. He also urged both sides to jointly seize the burgeoning opportunities in technological and industrial innovation, and harness innovation as a driving force. UAE business representatives spoke highly of China's development dynamism and market potential at the forum, expressing their eagerness to increase investment in China and expand cooperation with their Chinese partners. In his speech, Chairman of Dubai Chambers Abdul Aziz Abdulla Al Ghurair hailed China as a crucial market for the UAE business community and a preferred partner for UAE enterprises. Recognizing the growing significance of the Chinese market, the Dubai International Chamber has opened three overseas offices in China: Shanghai, Shenzhen, and Hong Kong, the highest number of offices in any single country. UAE Minister of Economy Abdulla bin Touq Al Marri shared recent data highlighting the vibrancy of economic exchanges: The UAE is now home to about 15,500 Chinese businesses, and the number of flights between the two countries operated by the UAE's national carriers has reached 44 per week, he noted. The minister invited Chinese enterprises to fully explore the growing opportunities brought by the UAE's increasingly favorable business environment to scale up investments, particularly in emerging industries. "We are confident that our shared visions and strategies to expand cooperation into new economic sectors will further strengthen and elevate our economic and investment relations," Al Marri said. By Simon Lewis WASHINGTON (Reuters) -Countries should treat the activities of Russian state broadcaster RT as they do covert intelligence operations, U.S. Secretary of State Antony Blinken said on Friday as he unveiled new sanctions aimed at alleged Russian overseas influence campaigns. The United States last week filed money-laundering charges against two employees of RT and imposed sanctions on Editor-in-Chief Margarita Simonyan over what officials said was a scheme to hire an American company to produce online content to influence the 2024 U.S. election. Blinken told reporters at the State Department that Russian media entities were "functioning like a de facto arm of Russia's intelligence apparatus." RT had moved beyond being a media outlet to possess cyber capabilities and conduct covert information and influence operations overseas as well, he said, citing new information, much of which he said came from RT employees. "Today, we're announcing that these Kremlin-backed media outlets are not only playing this covert influence role to undermine democracy in the United States, but also to meddle in the sovereign affairs of countries around the world," Blinken said. The war in Ukraine has sunk U.S.-Russian relations to new lows since President Vladimir Putin launched a full-scale invasion in 2022 and the Biden administration responded by arming Kyiv and rallying a broad international sanctions campaign against Moscow. RT has mocked the U.S. actions. Simonyan has said Washington is trying to prevent the broadcaster from operating as a journalistic organization and has vowed to get around sanctions to continue that work. Russia's embassy in Washington did not immediately respond to a request for comment. The U.S., Britain and Canada would cooperate on a diplomatic campaign to inform other countries of the Russian efforts, including by sharing evidence. "Each government, of course, is going to decide how it responds to this threat, but we urge every ally, every partner, to start by treating RTs activities as they do other intelligence activities by Russia within their border," Blinken said. UNACKNOWLEDGED NEWS OUTLETS The Biden administration on Friday was imposing sanctions on three Russian entity and two individuals linked to RT and to what it calls a "malign influence campaign" in Moldova, according to a State Department fact sheet. Moldova, which borders Ukraine, has become a key location for the tussle between Moscow and the West. The U.S. and allies in June accused Russia of carrying out a plot to sway the outcome of presidential elections in the country next month. Blinken said RT will almost certainly coordinate with Russian intelligence services to try to manipulate the poll. The department also identified news outlets secretly run by RT: website African Stream and Berlin-based English language platform Red. RT also hired a Paris-based journalist to run influence projects targeting French speakers, it said, without identifying the journalist. Russia was also conducting operations aimed at destabilizing the government of Argentina and escalating tensions with Argentina's neighbors, it said. "We expect that the Russian government will leverage RT, its affiliates, and its overall covert playbook in pursuit of these malign efforts," the fact sheet said. (Reporting by Simon Lewis; additional reporting by David Ljunggren and Chris BingEditing by Alistair Bell) By Jan Strupczewski BUDAPEST (Reuters) - Nine European countries protested on Friday against the International Monetary Fund's plans to resume missions to Russia, saying it would damage the reputation of the Fund to resume dialogue with a country that has invaded another. After Moscow's all-out invasion of Ukraine in February 2022, the IMF stopped its annual consultations with Russia, which the Washington-based lender of last resort does for all its members. But on Sept 2, the IMF's Russian executive director Aleksei Mozhin told Reuters the Fund would re-start online consultations on Sept. 16, and continue with an IMF delegation visit to Moscow for meetings with Russian officials until Oct. 1. "We would like to express our strong dissatisfaction with such IMF plans," the finance ministers of Lithuania, Latvia, Estonia, Finland, Sweden, Iceland, Denmark, Norway and Poland said in a letter to IMF head Kristalina Georgieva, seen by Reuters. Georgieva is attending a meeting of EU finance ministers and central bankers in Budapest and they will ask her about the IMF's plans there, EU officials said. "What recommendations does the IMF want to give Russia at the end of the consultation? How to better run a war economy?" one senior euro zone official said. The letter said that as an aggressor country, Russia should not get the benefit of IMF advice and noted that if the IMF went through with its plans, it would diminish the willingness of donor countries to support Ukraine through IMF initiatives, because it would undermine trust in the IMF. "Donors can choose other institutions like the World Bank or the European Bank for Reconstruction and Development," the senior official said. The letter also said any data Russia would provide the IMF would be censored to show the country's economy was allegedly doing well and resisting Western sanctions, making the IMF's assessment inaccurate. Moscow would also use mission for its own propaganda purposes and it would damage the IMF's reputation, it said. "We thus call on the IMF not to resume cooperation with Russia and to remain committed to the purposes and principles of the UN Charter," the nine countries said. "We urge all international financial institutions, including the IMF and its management, to continue refraining from the activities involving the aggressor state and not to resume dialogue as long as Russia continues its war of aggression against Ukraine," the letter said. The IMF said on Thursday its planned visit to Russia was in line with its regular obligations as well as those of Russia as a member country. The IMF's last annual mission visited Russia in November 2019, before the start of the COVID pandemic. There have been no IMF missions to Russia since the start of Russia's war in Ukraine. Many Western nations raised the possibility of Russias expulsion from the IMF in the aftermath of the Ukraine invasion, but that proved difficult because of reluctance from other members with large voting quotas such as China and India. (Reporting by Jan Strupczewski; editing by Philippa Fletcher) Alex Male was on the National Crime Agency's most wanted list [NCA] A man named as one of the UK's most wanted has pleaded guilty to conspiracy to supply cocaine and ketamine, and to money laundering. Alex Male, 32, from Weston-super-Mare, Somerset, appeared at Exeter Crown Court on Friday. He pleaded not guilty to conspiracy to acquire a weapon and ammunition. Male is due to appear back in court for sentencing on 18 October. The group he was part of supplied more than 130kg of cocaine worth 4.5m across the south west, including in Portsmouth, Trowbridge, Bristol and Bridgwater. Male was detained in Morocco on 21 January, after trying to enter the country using a fake passport and was extradited to England. Following his initial arrest by the South West Regional Organised Crime Unit (SWROCU) in June 2020, Mr Male broke his bail conditions and went on the run. He was thought to be living in Spain and later Portugal. He was added to the National Crime Agency's (NCA) most wanted list in January 2022 and was arrested four months later in Lisbon after arriving on a flight from Turkey. But his release was authorised in October 2022 after the custody time limit expired. 'Significant jail term' DCI Adam Smith from the SWROCU said: Male has repeatedly tried to avoid facing justice for the serious offences he committed, but today he finally pleaded guilty to his role in organising the supply of huge amounts of class A and B drugs and laundering the cash they made. Alex Male led a group who were funding luxury lifestyles by exploiting the drug use of vulnerable people. "Having already spent several months in prison in Morocco, he knows he can expect a significant jail term when he is sentenced next month." Follow BBC Somerset on Facebook and X. Send your story ideas to us on email or via WhatsApp on 0800 313 4630. Related Internet Links By Nellie Peyton PRETORIA (Reuters) -South Africa's President Cyril Ramaphosa defended the durability of his unity government on Friday, after a row over a contentious education bill exposed tensions between his ANC and its main coalition partner. Ramaphosa was addressing the media hours after enacting the bill, which has angered the African National Congress' (ANC) partner the Democratic Alliance (DA), amid a debate centering on the integration of schools that teach in Afrikaans. "We come from different histories ... and are driven by different ideological outlooks but ... the government of national unity is durable," Ramaphosa said, dismissing concerns it could collapse over disagreements. "I have confidence in the durability of the GNU because anything else is just too ghastly to contemplate," he said. Part of the controversial education bill gives the relevant department the power to approve school boards' language policies, which aim to prevent discrimination. The ANC says that students are being excluded from some schools because of the language they speak, which it says has been used as a proxy for racial exclusion - a veiled reference to Afrikaans, the tongue of South Africa's first white settlers, which was promoted by its white minority rulers under apartheid. The DA says the bill violates what they say is South Africans' right to teach and learn in their mother tongue. The party has struggled to shake off the image of a party of the white minority, many of whom speak Afrikaans as their first language. Earlier Ramaphosa said the bill would "resolve longstanding challenges in our education system," but the DA promised to fight it in court. Earlier this week it said the bill went against the principle of consensus-building of the government of national unity and threatened the coalition. "Primarily it's about the use of Afrikaans as a medium of instruction, which is seen as an attempt to exclude people that are not able to speak Afrikaans," Andre Duvenhage, a politics professor at North-West University, told Reuters. "In a way the ... legislation is an attempt at opening up these schools for all people," he told Reuters. The Basic Education Laws Amendment (BELA) Bill also makes one year of pre-primary school mandatory, reinforces a ban on corporal punishment in schools and regulates home-schooling. Ramaphosa said he would allow for three months of consultation on the most controversial sections of the bill and if a solution was not reached then implementation would go ahead. (Reporting by Nellie Peyton; Additional Reporting by Tannur Anders; Editing by Tim Cocks and Hugh Lawson) Paramedic Peter Cichuniec and his wife make their way to the courtroom after a lunch break on Dec. 1, 2023, at the Adams County Courthouse in Brighton, Colo. A judge in Colorado on Friday modified the five-year prison sentence for a paramedic who was convicted in the death of Elijah McClain to four years' probation. McClain was given a lethal dose of ketamine in 2019 after a confrontation with police. Peter Cichuniec, 51, was sentenced earlier this year after he was found guilty of criminally negligent homicide in the death of the Black pedestrian. Cichuniec was also found guilty of second-degree assault. Judge Mark Warner ordered the sentence reduction Friday, saying, "The court finds really there are unusual and extenuating circumstances and they are truly exceptional in this particular case, according to The Denver Post. Elijah McClain. Attorney General Philip Weiser argued in a court filing against the reduced sentence, that not only did the decision to reduce Cichuniecs sentence undermine the jurys verdict, but unlike other codefendants, Cichuniec, who was the highest-ranked paramedic on scene, knew he was injecting McClain with a lethal dose of ketamine. No other codefendant admitted to knowingly overdosing the victim for an improper purpose, and no other codefendant was convicted of second-degree assault as a crime of violence, a filing opposing the modification said. The filing also indicated McClains mother was against the move. A lawyer representing Cichuniec did not immediately respond to a request for comment Friday night. Police confronted McClain, 23, in Aurora on Aug. 24, 2019, after someone reported a suspicious person wearing a ski mask which McClains family said he regularly wore because of a blood condition that made him feel cold. Officers tackled McClain, and paramedics injected him with ketamine. After he was injected, McClain had no pulse in the ambulance, and he went into cardiac arrest and died six days later. A second paramedic, Jeremy Cooper, was also found guilty of criminally negligent homicide. He was sentenced in April to four years' probation for his role in McClain's death. Colorado's attorney general said he was disappointed that the judge had modified Cichuniec's sentence to probation. After considering the evidence, a statewide grand jury indicted Cichuniec and a jury of his peers found him guilty of his criminal acts that led to the death of Elijah McClain," Weiser said in a statement Friday. "We are disappointed the court reduced his sentence today, but we respect the courts decision. When Cichuniec was sentenced March 1, Sheneen McClain, Elijah's mother, said that the paramedic should be held responsible for her sons death. She left the courthouse after he was sentenced to five years in prison with her fist in the air. Im not OK. I never will be, she said then, adding that the paramedics "had the opportunity to save him." According to the AG's filing, a state law allows a reduced sentence after a prisoner has spent at least 119 days in the Department of Corrections. The provision, sometimes referred to as the escape hatch, had been used only 203 times to modify sentences between 1977 and June 2024. The law was deemed exceptionally rare and had only been used 22 times since its inception in Adams County, the attorney general said. A river otter. A river otter attacked a child at a marina in Bremerton, Washington, pulling the boy underwater and biting him before he was rescued by his mother. The rare attack happened Thursday morning as the child and his mother were walking on a dock at the Bremerton Marina in Kitsap County, the state's Department of Fish and Wildlife (WDFW) said in a news release. The child sustained scratches and bites to the top of his head, face and legs and was treated at a local hospital. The mother told authorities that the river otter pulled her son into the water and dragged him underneath. He resurfaced after a few moments, WDFW said. "The childs mother was able to lift the child out of the water while the otter continued to attack and was subsequently bit in the arm. The river otter continued to pursue the family as they left the dock," the agency said. Ken Balazs, with WDFW, said the child sustained minor injuries "due to the mothers quick actions and childs resiliency." The otter was captured and taken to the Washington Animal Disease Diagnostic Lab for further evaluation and testing for rabies. The U.S. Department of Agriculture Wildlife Services works to "trap and lethally remove" river otters from the marina, the fish and wildlife agency said. The animals are relatively common in Washington and can be found in fresh, brackish, or saltwater habitats, the agency said. Encounters with them are rare but they can be" territorial and, like any wildlife, are inherently unpredictable." Six other human-river otter incidents have been documented in the state in the last decade, according to the agency. Last year, a rabid otter bit a man and a dog in Jupiter, Florida, and in Montana three women were injured when an otter attacked them as they floated on inner tubes on a river. One woman had severe bites on her face and arms and was flown to the hospital via helicopter. In California, Matt Leffers said he was swimming at Serene Lakes in Placer County last September when otters bit him at least 12 times. He told NBC News affiliate KCRA 3 that he feared for his life and the animals were so "aggressive that, literally, I felt like they wanted to kill me." His wife had to rescue him on a paddleboat before taking him to the hospital. A Russian counteroffensive to recover parts of Kursk lost to Ukrainian forces following a surprise, cross-border attack is underway but is yet to gain momentum. Ukraine launched its assault last month, capturing scores of settlements, a move that stunned even Kyivs allies. But from the beginning observers have said it was unlikely that it would be able to hold on to its gains. Geolocated video shows that Russian units have retaken a couple of villages, but the situation remains fluid. Both the quality and number of Russian troops committed to the region are hazy, and reliable frontline accounts are few and far between. Ukrainian President Volodymyr Zelensky has acknowledged the start of Russias counteoffensive and says it intends to deploy 60,000 70,000 troops in the Kursk region. But he said Friday that the Russians have not yet had any serious success. Our heroic soldiers are holding on. The US has assessed that Russia would need up to 20 brigades about 50,000 men to expel Ukrainian forces from Kursk, but Defense Department spokesman Major Gen. Pat Ryder said Thursday that Russian actions so far were marginal and analysts have not seen the sort of mass or quality that would quickly drive out the much smaller Ukrainian force. Some high-caliber units do appear to be involved in the Russian counter-offensive geolocated video showed elements of the elite 51st Airborne Regiment involved in an assault on Thursday. But the Institute for the Study of War (ISW) assesses that little of the Russian grouping in Kursk is comprised of combat experienced units. Initial indications are that Russian forces may try to cut off Ukrainian troops near the town of Korenevo before beginning a larger-scale counteroffensive operation. A Ukrainian officer taking part in the Kursk operation told CNN Friday that the Russians had taken about two kilometers (an assessment shared by Russian military bloggers) on the western edge of the zone seized by the Ukrainians last month. The officer said poor communications were hampering their operations. An armed Ukrainian soldier stands on the street on September 10, 2024 in Sudzha, Kursk Region, Russia. - Oleg Palchyk/Global Images Ukraine/Getty Images Video surfaced of the Russian flag and incidentally, the flag of the Wagner private military company being raised in the village of Snahost. But the officer said the situation had stabilized and there was fierce fighting in another nearby village. There are also signs that Ukrainian units may be developing a new assault route into a different part of Kursk, near the town of Veseloe. This might be intended to distract Russian forces. By launching surprise offensives across the thinly defended border, Ukraine can pursue operational-level guerrilla warfare to support an overall strategy of exhaustion, says Robert Rose of the Modern War Institute at West Point. Despite the gathering Russian counterattack in Kursk, and mounting Ukrainian losses, Zelensky insists the incursion into Kursk is necessary and valuable, and has slowed Russian advances in eastern Donetsk, where the city of Pokrovsk is under immediate threat. Russias President Vladimir Putin is seeking to fully capture four eastern Ukrainian regions he already partly controls, and most of the fighting in the war has focused on this area. Zelensky told a panel in Kyiv Friday moderated by CNNs Fareed Zakaria that Russias advantage in artillery ammunition in the Pokrovsk area had been cut from 12-to-1 to 2.5-to-1, which he attributed to the success of the Kursk campaign. The speed [of the Russian advance] in the Donetsk sector was even faster before the Kursk operation. And not only in Donetsk [sector], but in the whole of the east, Zelensky said. While Russian momentum slowed in the first week of September, no significant units were withdrawn to fight in Kursk, although some were redeployed from less contested areas along the 1,000-kilometer (621-mile) front line. The Kremlin appears to prioritize the goal of progress in Donetsk over retrieving lost Russian territory for now. The Ukrainians have offered several reasons for the Kursk operation that it would force Russia to redeploy troops currently committed on the front-lines in Ukraine; that it would provide Ukraine with land to trade in any negotiations; that it would make a mockery of Putins red lines; and that it would provide a pool of prisoners-of-war to exchange (which it already has.) Zelensky claims that the Kursk operation has shown Putins warnings about the consequences of escalation to be hollow. Zelensky has now added another justification for the Kursk offensive: that it forestalled a Russian plan to take a large swathe of northern Ukraine as a buffer zone, a plan that would have swallowed regional centers. He told the Kyiv panel that information from our partners indicated that the Russians intended to create security zones deep inside Ukraine. The ISW, a think-tank in Washington DC, said Friday that the Russian military command may have intended additional offensive operations along a wider and more continuous front in northeastern Ukraine to significantly stretch Ukrainian forces. For now, such Russian ambitions are on hold. They still hold the advantage in firepower and men along most of the existing frontlines and will continue to use the tactic of intense bombardment - followed by infantry advances through the ruins of what has been destroyed - as a way of grinding down the enemy. The Ukrainians have several immediate priorities: creating and strengthening defensive lines in the east and accelerating the formation of new units. They are developing longer-range strike capabilities to degrade Russian infrastructure such as airfields and fuel depots. And they are demanding greater freedom to use precision western missiles in strikes deep inside Russian territory. War-displaced people spend time in a centre for displaced people in undisclosed location in Kursk region on August 29, 2024, following Ukraine's cross-border offensive into Russia's western Kursk region. - Tatyana Makeyeva/AFP/Getty Images Zelensky told Fareed Zakaria Friday that Russias guided aerial bombs, known as FABs, were responsible for 80% of destroyed infrastructure and Ukraine urgently needed to hit the airfields from which they are launched. This appeal appears to be gaining traction. UK Prime Minister Keir Starmer said at his meeting Friday with US President Joe Biden that the next few weeks and months could be crucial very, very important that we support Ukraine in this vital war of freedom. But the Biden Administration is wary of the consequences of what the Kremlin sees as an escalation that would bring NATO directly into the conflict. The Kursk incursion may encourage Ukraine to develop another tool that could fundamentally change Ukraines approach to fighting, according to Rose at the Modern War Institute. Ukraine cannot use manoeuvre to achieve a decisive victory over Russia. What it can do is use manoeuvre to exploit vulnerabilities, force Russia to over-extend, create chaos, encircle Russian forces, and capture Russian equipment. The crux, according to Matthew Schmidt, University of New Haven Associate Professor of National Security, is how Ukraine changes Putins decision-making, whether in Kursk or by much deeper strikes inside Russia, or both. Does it make him negotiate? Does it cause him to pull back or pause in Donetsk? Kursk may have succeeded in persuading Biden and other western allies to approve deeper strikes, Schmidt says - and If follow-on attacks can sustain the war deep inside Russia, so it affects Russians and then affects the Kremlins decision making. That would define it as a success. But we need to ask the bigger question, as the US eventually did in Iraq, says Schmidt. How does this end? For more CNN news and newsletters create an account at CNN.com Getty Images "Hearst Magazines and Yahoo may earn commission or revenue on some items through these links." Rachel Silverman* (22 at the time) hunched over in agony and curled up in a ball on her soft, well-worn couch. While many women her age were out socializing with friends and partners after work, her plans revolved around treating bursts of intense abdominal pain, which began in college with a bout of infectious colitis (inflammation in the colon due to, in Silvermans case, bacteria). Though antibiotics resolved that infection in the short term, she didnt know that a lifelong battle with her stomach lay ahead. The intense pain continued even after her illness subsided. She missed birthdays, had to work from home some days instead of going into the office, and routinely canceled plans due to stabbing stomach cramps. Silverman even spent an entire day of a brief trip to Spain in the hotel instead of seeing the sights due to a flare-up. Looking for answers, doctors ran tests (an MRI, endoscopy, colonoscopy, blood work, etc.) over a period of five years. Ultimately, they said she had irritable bowel syndrome (IBS)...a relief, in one way, to have a diagnosisand also disheartening, as there is no cure. IBS is a disorder known to cause abdominal pain and/or discomfort, and changed bathroom habits (patients may experience diarrhea, constipation, or even both). It affects people of all ages, according to the International Foundation for Gastrointestinal Disorders (IFFGD), which also states that around 5-10% of the worlds population has the disorderand 25 to 45 million in the United States alone. Even more, about two in three people with IBS identify as female. An IFFGD survey of nearly 2,000 people with the condition revealed that it took more than six-and-a-half years after symptoms began to receive a diagnosis of IBS. For Silverman, a diagnosis came sooner than others, thanks mostly to the fact that her father is a gastroenterologist. A lot of my patients will hear things, unfortunately, like the colonoscopy is negative, the CT scans are negative. Its just IBS; youll be fine. Its minimalized. But when you start digging into it, I tell my patients that disorders of gut-brain interaction, like IBS, can be the most complex of GI disorders. And the reason its minimalized is because we dont fully understand why they happen; so we dont explain them well, says Xiao Jing (Iris) Wang, M.D., gastroenterologist at Mayo Clinic, Rochester, and author of Boo Cant Poo . To make matters more complicated, IBS, its causes, and its symptoms often differ from person to person. With this in mind, and due to the various contributing factors of IBS, treatment tends to focus on symptom management rather than a cure. IFFGD states that the condition is a major womens health issue, leading to an increased risk of unnecessary surgery for extra-abdominal and abdominal surgery in women with IBS. Take hysterectomies and ovarian surgeries as examplesthe IFFGD says that these surgeries have been reported in patients with IBS as much as 47% to 55% and have been performed more often in the group than in other cohorts. Silvermans path to relief Luckily for Silverman, the now-29-year-old lawyer, her father (dad-doctor as she jokingly calls him) suggested she try hypnotherapy as a treatment not long after her diagnosis. When my dad told me I should try hypnosis, I was like, You are out of your mind. What do you mean I should be hypnotized? Silverman recalls of the 2023 conversation. I was almostnot offended because obviously, hes a doctor, he knows what hes talking about. But what makes you think a breathing exercise is going to help my stomach issues that literally nothing else has been able to help? She says the idea of trying hypnosis made her feel like her IBS was being painted as a psychological issue. What I learned was that really, everything is connected. Dr. Wang says this reaction is all too common. Its been a bit of a fringe practice until fairly recently. The reason for that really is that its hypnosis. People hear that youre going to do hypnosis, and theyre like: What are you talking about? This isnt real science. This is not real medicine, etc., she says. Perhaps hesitation around the practice has been spurred by pop culture depicting it as a pocket watch swinging back and forth and the person being told to squawk like a chicken. But this isnt the reality of the practice, and practitioners (and phone applications) are working to dispel this concept. In fact, hypnosis is not new; a variation of the practice dates back to the 1700s with Franz Mesmer, who used it with patients. Though he was later discredited due to his misguided notion that hypnotism used a type of occult force, scientists of the time saw promise in the practice. It eventually piqued the interest of Sigmund Freud, and ultimately it was used in WWI and WWII to tackle post-traumatic stress disorder (PTSD). Within the last 10 years or so, theres been an uptick with hypnotherapy for IBS. More than IBS, pain, Dr. Wang says. A part of this is due to having more research behind the practice. Theres data thats being generated within the psychogastroenterology community, Dr. Wang says. People have wider access to hypnosis thanks to phone applications and growing practitioners of the therapy, per the Cleveland Clinic , and more research on the topic is being performed, leading to a wider acceptance. Its become more accepted, more standardized, and has made it into more guidelines, and with that, it will become more accessible, explains Dr. Wang. Silvermans desperation for relief from the Russian roulette-style days of stomach pain outweighed her skepticism. So, at her fathers suggestion, she paid for a phone application called Nerva that led her through a hypnosis session specifically for IBS. The program that I did had a six-week, do it everyday type of thing. Every day there was a hypnosis sequence to listen to, Silverman says. She explains it as a type of guided meditation done through an app (though it can be led by practitioners in real life). Each session explains what happens in your body when performing hypnosis for IBS, helping tap into that gut-brain connection. Each session is 15 minutes; which doesnt sound like a lot, but when youre trying to fit it into a work dayits hard to find 15 minutes where you can just sit still and not do anything, she says. But she made the timeeither while working from home or even sitting in her officeand to her surprise (and delight), it worked. She went nearly six months without a flare-up. Glenn Rottmann, C.Ht ., a certified hypnotherapist and NLP practitioner who discovered self-hypnosis in high school via The Silva Mind Control Method (which aims to take readers on a self-improvement journey via self-hypnosis), says the therapy for IBS works by using the subconscious mind to create a changed response. We can work with the subconscious mind to lessen the bodys physical reaction to issues such as IBS. Through guided imagery and different techniques, we can change the bodys response to many irritants, he says. While Rottmann says that repeated practice has its benefits, Dr. Wang says some see relief for years after a single session. Rottmann believes in the powers of hypnotherapy so much he created a collection of videos on the subject, and created a course to instruct others on hypnosis and the link between the body and mind. Getty Images The gut-brain connection behind hypnosis The key to how hypnosis for IBS (and pain in general) works, lies in the link between the mind and body. Though the gut-brain connection is incredibly complex, Dr. Wang says its something that research is more recently focused on as ways to understand it develops. Its this incredibly complex interaction of the central nervous system with the enteric nervous system. And the enteric nervous system is something that not a lot of people know exists. Its an entire nervous system in your GI tract that actually runs on its own. It doesnt need input from your brain in order to digest your food or push forward your stool. She says that interstitial cells (called the interstitial cells of Cajal) fire and tell your muscles what to do all on their own. But just because it can function on cruise control, doesnt mean your brain cant interfere. But your gut is connected to the central nervous system, largely via the vagus nerve. With that vagus nerve integration, it speeds up your bowel or slows it down and kind of sends extra signals to modulate those internal pacemakers, is usually what we call them, she says. As its the same nervous system thats in the gut, thats in the brain, Dr. Wang says sometimes antidepressants may help patients with IBS for this very reason. But the gut-brain connection is difficult to regulate. Anyone whos tried to meditate or deep breathe, its really hard to turn off your stress response. You need to teach your vagus nerve how to regulate on its own again. Thats what we think about when we use hypnotherapy. How are we regulating this gut-brain interaction? Dr. Wang says many issues she, as a professional, sees these days stem from chronic stress impacting bodily functions. Were constantly diverting blood and resources away from our gut and that constant kind of lack of regular input; your gut just kind of goes haywire and does its own thing. Because it still needs to move and youre not giving it your input. To make matters even more complicated, your brain can actually exacerbate problems in your gut. My doctors told me that my IBS was pretty much directly correlated with stress, Silverman says. Which makes sense. It would always flare up doing law school finals; when I have important things happening at work. But I didnt really understand the actual connection between your brain and the IBS symptoms and how all of that functions in one unit ultimately causing my excruciating pain. That said, the gut-brain connection isnt only about nerves or your nervous system, Dr. Wang clarifies. Its also about the microbiome....what bacteria populations grow and wane in your gut actually change. Research has shown that people with IBS experience changes in their gut microbiome, which can lead to intestinal inflammation and pain, according to IFFGD . Getty Images How hypnosis for IBS works Though it may feel odd to look to one organ to treat pain in another, it makes sense to address the issue in the area where pain is processed: the brain. Certified hypnotists can work with the subconscious mind to lessen the bodys physical reaction to issues such as IBS, Rottmann says. Through guided imagery and different techniques, we can change the bodys response to many irritants, he explains. Some irritants may include stress and certain foods, per the Mayo Clinic . Dr. Wang says hypnosis helps by trying to decrease the amount of sensation that goes from your gut to your brain. With IBS and a lot of these disorders with gut-brain interaction (DGBI) issues, is that not only does the nerve fire too much but that the signal gets amplified. Theres increased myelination on those neurons that go from your gut to your brain. So instead of traveling down a dirt road, your signals are now traveling on a super-highway. It gets louder. It gets faster. We want to turn that signal down and thats the big goal of hypnosis. Its regulatory but its really how do we decrease the hypersensitivity response that has developed? Ultimately, she says, the goal is to turn down the volume of pain signals. Dr. Wang says this can be done in a few ways (medication, hypnosis, cognitive behavioral therapy, maybe even virtual reality one day). But the overall idea of those are actually very similar. We are using our own bodys brain imagery, mechanisms, and reactions to reverse some of the attention that our brain is paying to our gut. This is a little bit of a learned response, but its not volitional, Dr. Wang explains. My patients are not doing this to themselves. This is not their fault. This is not something we are asking them to use behavior to fix because they did it. Were asking them to use their behavior to fix something that has been done to them. That is so, so key. I dont want them thinking this is their fault. And, its a treatment option that can be done via app right from the get-go, or in-person, without side effects for most people, Dr. Wang says. The only group of people she advises to proceed with caution when undergoing hypnosis are those with a trauma history. If they have major psychiatric conditions, Id want to make sure they have a professional in the room at least for a couple of sessions to make sure they are tolerating it well, she says. Once in a while, in hypnosis, you can have an adverse reaction, bringing you to a state where youre reliving a past trauma or some fear or other kind of feeling that is difficult to control. Its not going to induce psychosis if you dont already have an issue with that. Its not going to bring on trauma if you dont have an existing issue with that. Rottmann also notes that we dont always get the result were looking for right away, but he says sometimes people find relief in as little as one session. However, everyone is different, and sometimes its a process of repetition to achieve the desired result that we want. There are many factors that influence this. The clients receptivity, the issue itself, and how much emotion and identity is attached to that issue. Getty Images What a hypnosis for IBS session may look like Rottmann says he begins each session with a progressive relaxation that starts by listening and focusing on what your hypnotist is saying. Then once I get them relaxed, we go into their imagination and do certain visualization techniques, Rottmann explains. Next, we do whats called a hypnotic induction. This is to get them relaxed and into their imagination. Once were there, I use different techniques, a combination of visualizations, direct suggestions, and reflection, to connect the mind and body. Dr. Wang says the trance state is nothing mysterious, and its something that everyone experiences. She says that a variety of mechanisms can bring you into that state. The trance state is the state that if you were driving along the highway and all of a sudden five exits passed and then youre like how did I get here? But, you were aware, you were awake. If someone cut you off you would be able to react, but your mind was so focused on what you were doing. Everybody has been there at some point, Dr. Wang says. Its a state that can be achieved as long as you are allowing the hypnosis to happen. Next up, deepening the trance state, in a way that you become more and more focused on what Im telling you. And its not like meditation, where youre trying to blank out your mind. Hypnosis is focused attention on what youre being told. So it is a lot easier for people who have trouble with meditation because youre not supposed to blank out your mind. Youre supposed to be listening, Dr. Wang says. Then, the therapy begins. So you just follow along and let things happen. Were kind of using those techniques that induce hypnosis to deepen the trance. Im basically drawing you into a deep enough stage, we give suggestions to your brain to basically stop paying attention to the pain. To turn down the pain signals. Thats why a recording on an app can work so well. Its guided imagery in this trance state that makes your brain more susceptible to rewiring. We put in a lot of suggestions to help even beyond the hypnosis session, and this will continue to help you heal, Dr. Wang says. She says, The behavior changes can help live with IBS, and in living with the IBS it actually gets better. Silverman says that the phone application she used would involve a recorded voice telling her to envision a clear colon. Each session places you in a different location, Silverman says the app puts her in a different location in her mind (like at the library, in a field, or even at the pharmacy). The voice then calms you down, Silverman says. She says it feels like meditation, and not necessarily like shes asleep. Then you are told to literally picture your stomach being fine. A situation where your colon is 100% normal, for lack of a better word. Where its clear and you have no pain and no anxiety about leaving because youre afraid youre going to be in pain, or something like that. Then they bring you back to real life and you can wake up. Finally, the patient is guided out of the trance state. Then they bring you back to real life and you can wake up, Silverman says. However, its important to note that the patient is not asleep during hypnosis; the person is conscious, aware, and works alongside the hypnotist throughout the treatment. The bottom line Professionals in both the healthcare and hypnosis communities think practicing the technique could help people with all sorts of conditions. I had a client with very severe rheumatoid arthritis pain in her hands. I used a pain management hypnotherapy technique with her, when she opened her eyes, the pain was gone, explains Rottmann. She started immediately crying out of the relief that she felt for the first time in years. To this day, the pain still has not returned. It may help those with neurological disorders as well. I had a client with a constant dry cough, almost like a tick, Rottmann says. She had this for years, after the first session it was 75% gone. We did one follow-up session and it has not returned since. More studies need to be done before your general practitioner recommends hypnotherapy as a treatment for other conditions. But, for now, the data is promising. The number of trained hypnotherapists is low, and the number that do trained gut hypnotherapy is even lower, though increasing more and more. But there are still not enough providers to treat everybody who needs their care. Thats why app-based hypnotherapy has become a thing. If you have an app, it doesnt matter if youre living in rural America, a big city, or a small suburb. These are going to be huge in terms of access. Right now this service is all out of pocket, so that limits who can use them. But a lot of apps are going through the FDA to get approved and we hope that eventually they will be covered by insurance, Dr. Wang says. That way, the apps (like Nerva and MetaMes Regulora ), will help a good percentage of standard IBS patients, and then those who are a bit more complex are the people who need in-person, she explains. You can also ask your gastroenterologist for recommendations, or the International Foundation for Gastrointestinal Disorders suggests checking out ibshypnosis.com to find a provider in your area. Dr. Wang says there are certain patients who will respond well to the therapy (mostly those who are willing to consider it and believe in the process of hypnotherapy), and she recommends it right off the bat, while others (say, who are not open to being hypnotized) she knows may not be eager to try it. I want to make sure that this isnt a salvage, or backup, therapy. This is an option that works really well and its more a matter of if youre a good candidate for it and willing to give it a shot, Dr. Wang says. Ultimately, Silverman feels optimistic about her future with the condition now that shes learned the benefits of hypnosis. She says shes more aware of things she can do to help prevent or reduce flare-ups. Continuing to meditate and do hypnosis sessions, doing things that calm my anxiety and manage stress. Its even helped me during flare-ups because I do the breathing exercises that I was taught. It doesnt get rid of the pain, but the breathing helps manage it, she says. As for those wondering if it really works: Dont knock it til you try it, Silverman says. Ultimately, it might not help everyone, but theres a very high possibility that it will help you at least a little. And when youre dealing with something that isnt really treatable, I say anything that might help is worth a shot. *Name has been changed for anonymity. You Might Also Like Shein and Temu have taken U.S. consumers by storm with their ultra-low prices and their ability to rapidly churn out trending styles far faster than competitors can. Key points Prices on Shein and Temu could rise by as much as 20% if the Biden administration successfully closes the so-called de minimis loophole. The loophole allows packages valued under $800 to avoid import duties and scrutiny at the border. Shein and Temu have said their low prices arent related to the de minimis exemption and instead, their innovative business models. The bottom of the barrel prices that have made Chinese-linked e-tailers Shein and Temu so popular with American consumers could soon rise if the Biden administration curtails their use of a trade law loophole. The companies, known for their $5 T-shirts and $10 sweaters, could see prices rise by at least 20% if the so-called de minimis provision is changed, a spokesperson for the Republican majority of the House Select Committee on the Chinese Communist Party told CNBC. The committee made the estimate after launching investigations into Shein and Temu more than a year ago. Neil Saunders, a retail analyst and the managing director of GlobalData, agreed the policy change would likely increase prices, but couldnt say by how much. If the de minimis exemption is removed, then the cost of products from marketplaces like Shein and Temu will rise. They will still be cheap marketplaces but they wont have quite the competitive edge on price that they do now, Saunders told CNBC in an email. That may lose them some market share or slow their growth, but they will likely respond by pushing into some higher-priced items to balance out their propositions. On Friday morning, the Biden administration announced plans to bar overseas shipments of products that are subject to U.S.-China tariffs from being eligible for the de minimis exemption. An obscure tariff law loophole thats been around since the 1930s, the exemption allows packages with a value of less than $800 to enter the United States without the shippers paying import duties and with less scrutiny than larger containers. The announcement comes after more than a year of scrutiny into the companies from lawmakers on both sides of the aisle and in particular, the House Select Committee on the CCP. Both Shein and Temu declined to tell CNBC if they will raise prices due the proposed changes. The companies also disputed that their low prices are driven by the de minimis exemption and said their business models allow them to offer their ultra-affordable rates. A spokesperson for Shein noted that the company supports de minimis reform and was recently accepted into a voluntary, pilot program with U.S. Customs and Border Protection where it agreed to provide additional data about packages and shipments. A risk to their competitive edge Over the last couple of years, the two companies have taken U.S. consumers by storm with their ultra-low prices and their ability to rapidly churn out trending styles far faster than competitors can. Shein is estimated to take in more than $30 billion in revenue annually, but its unclear what Temus sales are. Its parent company, PDD Holdings, saw $34.9 billion in revenue in fiscal 2023 a 90% increase from the year ago period. As the companies have become go-to shopping destinations, theyve taken market share from rivals that cater to similar consumer segments, such as H&M, Zara, Target, Walmart and Amazon. If Sheins prices were to rise by 20%, it would put its assortment closer in line with those competitors, which could make it harder for it to compete. For example, the average price of a dress on Shein was $28.51 as of June 1, according to data from Edited, a London-based research firm that analyzed the companys pricing strategy and shared metrics with Reuters. At the time, that price was well below the average cost for dresses at H&M and Zara, which were $40.97 and $79.69, respectively, according to Editeds data. However, if costs were to rise by 20%, that would make the average dress price on Shein $34.21 far closer to H&Ms average price. Theres no guarantee prices would rise 20% if the Biden administrations proposal takes effect. Still, taken together with the companys long shipping times, a smaller discount relative to Sheins rivals may lead some consumers to opt for retailers that are closer to home. Ultimately, while reforming the de minimis rules makes for a fairer and more level playing field, like any tariff it will end up costing consumers more, said Saunders. Scrutiny of a digital darling Last year, the committee began investigating Shein and Temu for slave labor in their supply chains and zeroed in on their use of the de minimis exemption, claiming in a June 2023 report that both companies didnt pay any import duties in 2022. Shein disputed that claim and said the company paid millions of import duties in 2022 and 2023. It has, however, acknowledged that cotton from banned regions has been found in its supply chain and said its working to rectify the issue. Temu didnt respond to inquiries about slave labor in its supply chain. As the Select Committees investigation into Shein and Temu revealed, the majority of products from Shein and Temu fall under the de minimis exception. This allows them to dodge U.S. Customs and evade the scrutiny other retailers face. The U.S. must urgently curb these shipments and force these companies to correct their anemic compliance practices, a spokesperson for the committee told CNBC. The spokesperson added that Congress must urgently make de minimis reform law. As scrutiny of Shein intensified, its hopes of pulling off a long awaited U.S. public offering dwindled. Lawmakers, eager to curtail the influence that Chinese-linked retailers were having on the U.S. economy and take steps they said would level the playing field for American companies, were unlikely to propose an outright ban of Shein and Temu, similar to what was done with social media company TikTok. Instead, numerous lawmakers called for the U.S. Securities and Exchange Commission to block Sheins IPO and targeted the de minimis exemption as the best way to curtail the companys growth. Now, more than a year into those efforts and Sheins own sputtering charm offensive, its plans for a New York IPO are all but dead and it has turned to London in hopes of finding a friendlier reception. In June, CNBC reported that Shein had confidentially filed for a public listing in London as it faced backlash in the U.S. Its unclear what impact the proposed de minimis changes will have on Sheins IPO plans. People surround two passenger trains which collided in Egypt's Nile Delta city of Zagazig, the provincial capital of Sharqiya province, Saturday, Sept. 14, 2024. (AP Photo) CAIRO (AP) Two passenger trains collided in Egypts Nile Delta on Saturday, killing at least three people, two of them children, authorities said. The crash happened in the city of Zagazig, the capital of Sharqiya province, the country's railway authority said in a statement. Egypt's Health Ministry said the collision injured at least 40 others. Train derailments and crashes are common in Egypt, where an aging railway system has also been plagued by mismanagement. In recent years, the government announced initiatives to improve its railways. In 2018, President Abdel Fattah el-Sissi said some 250 billion Egyptian pounds, or $8.13 billion, would be needed to properly overhaul the North African countrys neglected rail network. Video from the site of the crash showed a train car crumpled by the impact, surrounded by crowds. Men tried to lift the injured through the windows of a passenger car. Last month, a train crashed into a truck crossing the train tracks in the Mediterranean province of Alexandria, killing two people. Flash Patients who caught mpox and their family are seen at a mpox treatment center on the outskirts of Bukavu, the South Kivu province, the Democratic Republic of the Congo (DRC), on Aug. 31, 2024. [Photo/Xinhua] The Africa Centers for Disease Control and Prevention (Africa CDC) has called for further strengthening mpox detection and surveillance measures as the total number of cases in Africa climbed to 26,543, including 5,732 confirmed cases and 724 deaths, since the start of 2024. Africa CDC Director-General Jean Kaseya, while addressing a special online media briefing on the multi-country mpox outbreak in Africa Thursday evening, said the African continent reported 3,160 new cases during the past week alone, including 434 confirmed cases and 107 deaths, eventually bringing the total number of cases reported this year to 26,543. Noting that there has been an upward trend in the number of mpox cases since May, Kaseya said the recent upsurge in cases is mainly attributed to the increasing mpox detection capacity of African Union (AU) members. "With improved surveillance, community awareness, and disease management, we are beginning to detect more cases, which has resulted in a declining trend in the fatality rate," Kaseya told reporters. Data from the AU's specialized healthcare agency show that the cases were reported from 15 African countries across all five regions of the continent, with a case fatality rate of 2.73 percent. It said children under 15 years of age accounted for 41 percent of all confirmed mpox cases reported on the African continent so far, and males account for 63 percent of all confirmed cases. Noting that Eastern and Northern Africa regions are yet to report mpox-related deaths so far, the Africa CDC, in its latest mpox outbreak report issued Friday, said Morocco is the first country in the northern Africa region to report a confirmed case. Central Africa is the most affected region with 23,761 cases, including 5,588 confirmed cases and 720 deaths, while Eastern African countries have reported 1,644 cases, of which 19 were confirmed. Noting the rapid spread of the virus since May, mainly among countries neighboring the Democratic Republic of the Congo, a country that has emerged as the epicenter of the outbreak, Kaseya underscored the need to further strengthen cross-border surveillance measures across the affected countries. In mid-August, the Africa CDC declared the ongoing mpox outbreak in Africa as a Public Health Emergency of Continental Security (PHECS). Soon after the Africa CDC declared PHECS, the World Health Organization (WHO) also declared mpox a public health emergency of international concern, activating its highest level of global alert for mpox for the second time in two years. On Friday, the Africa CDC disclosed the launch of a joint continental response plan with the WHO. The six-month plan, running from September 2024 to February 2025, has an estimated budget of nearly 600 million U.S. dollars. Of this, 55 percent is allocated to mpox response efforts in affected countries, while the remaining 45 percent is directed toward operational and technical support through partner organizations. Pedestrians look toward a Waymo autonomous self-driving taxi stopped at a red light on March 14 in Los Angeles. Uber announced Friday it is expanding its partnership with Alphabets Waymo to offer robotaxi rides in Austin, Texas, and Atlanta beginning in early 2025. Shares of Uber jumped 5% on the news while Alphabet rose about 1%. Uber riders in those cities can be matched with a driverless Waymo car for some trips, according to the companies. The rides will only be available through Ubers app, unlike in San Francisco and Los Angeles where riders book through the Waymo app. A Waymo spokesperson said it had no plans to partner with Uber in San Francisco and Los Angeles. The expansion comes as Uber faces investor pressure to step up its autonomous vehicle strategy, especially ahead of Teslas planned robotaxi event slated for Oct. 10. Shares of Uber have fallen 9% since the Tesla event was announced and are off 17% from their 52-week highs. It may also slow Waymo encroachment into Ubers market share. An analysis from Bernstein estimated that, as of May 2024, Waymos 50,000 weekly paid rides made up approximately 2% of ride-sharing usage in San Francisco. Since then, Waymo has doubled its paid robotaxi trips to 100,000 a week, the company announced. Were thrilled to build on our successful partnership with Waymo, which has already powered fully autonomous trips for tens of thousands of riders in Phoenix, Uber CEO Dara Khosrowshahi said in a release. Ubers initial agreement with Waymo in Phoenix also included autonomous Uber Eats deliveries in the city. The expansion into Austin and Atlanta wont include Uber Eats at first, according to the Waymo spokesperson, but theyre exploring that possibility for the future. Waymo co-CEO Tekedra Mawakana said, Weve been delighted at the positive feedback from our Waymo One riders to date, and we cant wait to bring the comfort, convenience, and safety of the Waymo Driver to these cities in partnership with Uber. The expansion into two more cities is another step in Ubers advancement into the robotaxi space, after struggling to get a foothold and selling off its own self-driving division in 2020, now relying on partnerships with companies including Waymo, GMs Cruise and the SoftBank-backed U.K. startup Wayve to gain ground. Uber shares dipped in August after the company announced a multiyear partnership with Cruise to offer autonomous rides through its app next year. Wells Fargo analyst Ken Gawrelski cited Cruises previous safety challenges as a part of the investor skepticism, along with now-fulfilled hopes for a partnership with Waymo instead. Waymo has rapidly made strides in the self-driving race. It currently offers robotaxi services to the public in San Francisco, Los Angeles and Phoenix. It has logged more than 22 million miles through June of this year, and last week, it released a report that argued its vehicles are safer than human drivers. It began testing driverless cars on Bay Area freeways with Google employees in August. Some analysts were more hopeful for a potential Uber and Waymo expansion into San Francisco. But Atlanta and Austin, where Waymo has already begun testing, may still help sentiment. by Stefano Caprio Trying not to limit himself to the usual statements of state propaganda amid the universal conflict between Russia and the West, the Patriarch of Moscow spoke a few days ago in St Petersburg using philosophical and literary arguments to further explain the reasons why Russia today feels called to spread the great values that universal society has seemingly abandoned. The Patriarch of the Russian Orthodox Church Kirill (Gundyayev) spoke at the 10th Forum of "Unitary Cultures" in St Petersburg, in the solemn hall of the Mariinsky Palace, home to the city's Legislative Assembly, centred on Culture in the 21st century: sovereignty or globalism?" to reiterate the fundamental theses of the mission of the "Russian world" in our age. Trying not to limit himself to repeating the usual statements of state propaganda in the context of the universal conflict between Russia and the West, the patriarch sought to further develop philosophical and literary arguments of why Russia today feels called to spread the great values that universal society appears to abandon. It is, in some way, a matter of rediscovering the fundamental role of the Orthodox Church in militarist Russia, a role that Kirill had to yield to Putin in the phase of wars of the last 20 years, starting with the war with Georgia, the annexation of Crimea, and eventually the invasion of Ukraine. The patriarch did not initially back the president in his radical confrontation, but in the last two and a half years of war he could not (or did not want) to do anything but support the justifications of the conflict in the defence of traditional values, which the degraded West would like to erase from the conscience of Ukrainians, Russians, and all peoples historically linked to the "spiritual beacon" of super-Orthodox Moscow. The patriarchate has inspired this ideological line since the late 1990s, and now perhaps realises that it has gone too far in its claims to a global definition of religious and cultural truth. It is no coincidence that Kirill began his speech by emphasising the identity of St Petersburg, whereby "the small homeland always remains the city on the Neva", which represents the westernmost part of the Russian identity, culturally even more than geographically. The people of St Petersburg, according to the patriarch, "have never lost their inner spiritual, cultural and intellectual bond with the city". Unlike Kirill, President Vladimir Putin, who hails from Russias northern capital, represents the less evolved and erudite segment of the city, as Putin himself often claims, defining himself as a "man of the people" and certainly not as an intellectual from the aristocratic elites. Thus, Kirill's speech takes on deeper and more courtly tones, stating that "a serious reasoning on culture must always be axiological, that is, about values", elevating the definition that concerns precisely "traditional values", reiterated by Putin and all Russian politicians almost without real content to the point of boredom. Instead, "culture is what carries values within itself," explains the patriarch, otherwise, "without values no culture is preserved, which dissolves into dust... We know these cataclysms that have destroyed entire civilisations." This is the challenge Russian Orthodoxy wants to throw at the whole world, namely the preservation of tradition as a guarantee of the survival of true civilisation, the mechanism of transmission of values. Through a series of erudite quotes, Kirill comments on the very origin of the term "culture" starting from the concept of "cult", which justifies the axiological approach: What has value is what is holy for society in its historical development. The prevalence of religion over philosophy itself is a theme very dear to Kirill, who in his argumentation criticises the main theorists of Western rationalism, from August Comte and Ludwig Feuerbach to Karl Marx, who is well-known to us Russians. The patriarch has often linked this "positivist tendency" with the legacy of Latin scholasticism, a classic topic of theological polemics between Catholics and Orthodox, but now he is trying to go further, since "in our times this claim of philosophical superiority over religion is now recognised as inconsistent, especially after the end of the tragedy of humanist atheism of the twentieth century." Today's challenge, according to Kirill, is to find a new meaning of life in world societies, dried up from the sources of true spirituality. Marxist philosophy stated that man lives for future generations, but this is absurd, so what value can ones personal life have? If man is only a "transmission belt", even those who come after us will live without giving any meaning to existence. This is "a destructive relationship with the human personality, with the rational being that God has destined for high purposes", says the patriarch. There is a need for a new paideia, a process of education and rearing of man, the Greek term that gives rise to the very meaning of "culture". Today's world is no longer capable of rearing, it does not even transmit "physical culture and the aesthetic sense"; instead, it is necessary today "to make every effort to defend and protect the very foundations of culture, like a farmer who does not forget the seeds in the ground, which would end up smothered by wild nature. This is precisely the image that the patriarch wants to promote, comparing the Russian care for values with the "uncultured forest" of the West and, in general, of universal society, in what he calls the raskulturivanie (), the de-culturisation of the world. The Paris Olympics are an example of this degradation, with its irreverent symbolism and its gender diatribes. When I looked at the images of the inaugural processions on the Seine, the patriarch said, I said to myself: You cannot offend God this way! This is an incredible regression of Western civilisation, which seeks to smother all other cultures. People today, according to Kirill, "continue to use common words and follow habits, without asking themselves anything about their origin and meaning. To say thank you, Russians use the word Spasibo (), which derives from Spasi Bog ( ), "God save. There are many examples that the patriarch cites to indicate the roots of the sense of shared life, which must be found to avoid raskulturivanie, de-culturisation, and prevent it from becoming raschelovechivaniye (), dehumanisation in which culture loses its soul. The patriarch notes that "Christianity has never been the property of a single culture; it belongs to the whole world," and goes far beyond the concept of the "Christian world" because it values every national culture as a treasure of the whole world. Russian culture is no exception, but having gone through particularly hard trials, "which it has been able to face with courage", it is today the culture that can "enrich the whole world" and counter globalism that cancels and flattens different cultures, trying to make all men equal... These men will not be able to transmit values to future generations, in cancel culture, the culture of the click in which everything is allowed. Ultimately, Patriarch Kirill asks the question that divides the whole world today: Should the culture of the twenty-first century be sovereign or global? On a deeper level, should it be a culture, or an anti-culture? It is a question of "what man must be today", and the answer he proposes is podvig (, feat), the monastic term that indicates the sacrifice a person makes for the common good. Finally, turning to Pavel Florensky, martyr to Stalinist communism in the camps on Solovki Islands, he cites the words of the great Russian theologian: Do nothing that does not have a true taste for life, because just doing things can make you lose the meaning of everything. Taking up the thoughts of other Orthodox ideologues, the Russian patriarch tries to avoid excessively trite and radical syntheses, turning to thinkers like the theologian and political scientist Aleksandr Shchipkov, whose recently published essay on the "Crisis of the theory and practice of actions to defend human rights" focuses on the problem of conceptualising liberal (interpretations of) rights and the crisis of humanitarian institutions." Russians insist on highlighting the weakness of the Western conception of freedom, which has been transformed into a "dogmatic doctrine", a "false metaphysics", that makes it impossible to regain the true freedom of the "values" for which Russia is fighting today. There is a military war and an information war, but the Russian war is foremost a war of principles, calling for answers to the deepest questions in the contemporary world. RUSSIAN WORLD IS THE ASIANEWS NEWSLETTER DEDICATED TO RUSSIA. WOULD YOU LIKE TO RECEIVE IT EVERY SATURDAY? TO SUBSCRIBE, CLICK HERE. Francis reiterated his wish to visit Beijing, answering a question during the press conference on the return flight from his trip to Southeast Asia and Oceania. In his answers he gave his impressions of the four countries he visited: Singapore, East Timor, Papua New Guinea, and Indonesia. On the war in Gaza, he lamented, I do not think they are taking steps to make peace. Speaking about the US presidential elections, Francis stressed that abortion and rejecting migrants are both against life, telling voters that one must choose the lesser evil. Vatican City (AsiaNews) Pope Francis held a press conference during the long flight last night that took him back to Rome after a twelve-day trip to Asia and Oceania. In response to a question about the provisional agreement on episcopal appointments between the Holy See and China, which expires at the end of the month, the pontiff assessed the situation. Im pleased with the dialogues with China, he said. The results are good. Even for the appointment of bishops, things are progressing with goodwill. Asked also about his dream of visiting Beijing, Francis said, I believe China is a promise and a hope for the Church. Collaboration is possible, and certainly for conflicts. [. . .] I see China as an aspiration, meaning I would like to visit China. Its a great country, and I admire and respect China. Its a country with an ancient culture, a capacity for dialogue to understand each other that goes beyond the different systems of government it has had. I believe China is a promise and a hope for the Church. As for Beijing's possible contribution to conflict resolution in wars that stain the world, Francis cited the mission he entrusted to Card Matteo Zuppi, president of the Catholic Bishops' Conference of Italy, who is working in this area, and has contacts with China. The press conference was an opportunity to answer questions from journalists from some of the countries the pope visited over the past few days. To those from Singapore, he confided his amazement at what he saw, a developed, clean country with polite people, tall skyscrapers, and a great religious culture. The interreligious meeting I had was a model of fraternity. The pontiff saw clean, well-built skyscrapers for workers, which I appreciated. [. . .] The last day I was struck by that culture. And then Singapores international role. He noted, however, that he saw few children in Singapore, unlike East Timor. Perhaps thats something to learn The future lies with children. To those who pointed out that he did not mention the death penalty in Singapore, which is being enforced after a hiatus during the pandemic, the pope replied that it did not occur to him. The death penalty doesn't work. We need to eliminate it, slowly. Many countries have the law but do not carry out the sentence. A journalist from East Timor asked him whether he referred to sects, which are growing in the country, when, at the end of the Mass at Taci Tolu, he spoke of crocodiles threatening the local culture. I was not speaking about that, Francis said, but its possible. Because all religions must be respected, but there is a distinction between religion and sect. Religion is universal, whatever it may be. A sect is restrictive; it is a small group that always has a different agenda. Speaking about Papua New Guinea, he praised its arts, dances, and other poetic expressions. This struck me a lot. The missionaries I visited are in the forest, they go into the forest to work." To an Indonesian journalist who raised the issue of the exploitation of natural resources, which too often benefits narrow oligarchies, Francis replied that, This is a common problem in developing nations. Perhaps one of the things that need to be developed is social relations. I enjoyed my visit to your country. As for countries that do not respect the Paris Agreement on climate change, the pontiff lamented that on the environmental crisis "we talk, we talk but we don't do it. This is my impression." On the war in Gaza, with the never-ending news of brutal massacres, Francis said: When you see the bodies of children killed, when you see that assuming that some of the guerrillas are there, you bomb a school: This is horrible. I am sorry for saying this, but sometimes I don't see steps being taken toward peace. Regarding the presidential elections in the United States, a journalist asked him what advice he would give to Catholic voters who have to decide between a candidate who is in favour of abortion and another who would like to deport 11 million migrants. "Both are against life, the one that throws away migrants and the one that kills children," Pope Francis replied. In terms of political morality, it is generally said that not voting is ugly: You have to vote. And one must choose the lesser evil. Who the lesser evil is, I don't know; each person must think and decide according to their own conscience. Finally, came a question about sexual abuse in the Church, in the wake of the latest revelations in France about the Abbe Pierre, but also about former Archbishop of Dili, Carlos Felipe Ximenes Belo, whom many thought of when the pontiff referred to the issue in East Timor. Good people, people who do good and then, with so much good they have done, you see that they are ugly sinners," Francis said. This is our human condition. We must not say, 'let's cover up, let's cover up, so that it is not seen'. Public sins are public and must be condemned. "Working against abuse is something we all need to do, but not only against sexual abuse, against all kinds of abuse: social abuse, educational abuse, changing people's mindset, taking away freedom. [. . .] Abuse is a demonic thing because it destroys the dignity of the person, it seeks to destroy what we all are: the image of God. I am happy when these cases come out. by Nirmala Carvalho At a public commemoration, the governor attacked the educational work carried out under British rule, claiming that it sought to destroy Indias identity". In its reply, the local Bishops' Conference described the governors remarks as a gross distortion of history and a divisive rhetoric that undermines Indias unity. Chennai (AsiaNews) The Tamil Nadu Bishops' Council (TNBC) and the Tamil Latin Rite Bishops Nadu Council (TNLBC) have issued a "strong condemnation" of Tamil Nadu Governor R. N. Ravi for spreading false propaganda at a recent event. The latter is the commemoration a week ago, 7 September, of the 50th anniversary of the Mylapore Education Group in Chennai, which Governor Ravi praised for contributing to the rise of a new Bharat (Indian nation) and promoting education in Sanskrit (the sacred language of Hindus). His speech included, however, contemptuous comments about the educational work of missionaries under British rule, which, according to the TNBC, are both historically inaccurate and deeply "offensive. The governors remarks are a gross distortion of history, suggesting that Christians were allied with the British in efforts to undermine India. This is an absolute falsehood, the TNBC said. For Governor Ravi, Christian missionaries stole Indias riches and artistic treasures, creating a false identity for the people of the country, wrongly manipulating history. For Tamil Nadu bishops, this divisive rhetoric [is] aimed at the Christian community". Governor Ravis speech was not only hateful but a clear attempt to incite communal tensions, Archbishop George Anthonysamy of Mylapore said. The prelate stressed that Christians in India have been deeply attached to the country's traditions, values, and culture for a long time and are committed to its development. He called on the governor to stop promoting a policy of hatred and urged him to fulfil his constitutional duties and focus on unity among the people. Already in March of this year, Governor Ravi had lashed out at A Comparative Grammar of Dravidian or South-Indian Family of Languages", a work of linguistics written by a missionary, Robert Caldwell, in 1856, sparking an angry reaction from the Church of South India, which brings together Anglican, Presbyterian, and Methodist communities. Flash Kim Jong Un, general secretary of the Workers' Party of Korea and president of the State Affairs of the Democratic People's Republic of Korea (DPRK), met with visiting Secretary of the Russian Federation Security Council Sergei Shoigu on Friday, the official Korean Central News Agency (KCNA) said Saturday. During the talks, they had a wide exchange of views on the issues of steadily deepening the strategic dialogue between the two countries and strengthening cooperation to defend the mutual security interests and on the regional and international situation, and reached a consensus on the issues discussed, the KCNA said. Kim expressed his appreciation for the development of bilateral ties in all fields including politics, economy and culture, and said that the DPRK government would further expand cooperation and collaboration with Russia in the spirit of the Treaty on Comprehensive Strategic Partnership in the future, it added. The DPRK leader and Russian President Vladimir Putin signed the treaty in Pyongyang in June of this year. Flash China has always opposed the UK's so-called "six-monthly report" which makes irresponsible comments on Hong Kong affairs, a Chinese foreign ministry spokesperson said on Friday. On Sept. 12, the UK government issued the so-called "Six-monthly report on Hong Kong: January to June 2024." In response to a related query, spokesperson Mao Ning told a press briefing that since the return of Hong Kong, "one country, two systems" has been a success in Hong Kong, which is widely recognized. The national security law in Hong Kong and the Safeguarding National Security Ordinance, as well as the improved electoral system, have enabled Hong Kong to enter a new stage in which it has restored order and is set to thrive, its security, democracy and people's freedoms and rights are under better protection, and it enjoys more promising prospects for development, Mao said. Hong Kong affairs are purely China's internal affairs. It's been 27 years since Hong Kong's return and the UK must respect that fact and stop pointing fingers at Hong Kong affairs, Mao said. 14 September 2024 08:30 (UTC+04:00) By Farman Aydin, AzerNEWS Revanchism and irredentism are the ideologies that form the basis of Armenian society. This ideology always makes Armenianism monotonous in human society. This is an unchangeable principle for the existence of Armenians. Because this society spread over centuries as an ethnic community tried to present itself as either Caucasian or Middle Eastern peoples by usurping territories. It is interesting that the former Chief Prosecutor of the International Criminal Court, Louis Moreno Ocampo, whose name is known for corruption, has recently expanded his activities in the promotion of Armenians and crusading for Armenian separatism. He said that he would use the global reputation of the COP29 event to be held in Baku to make a claim against Azerbaijan regarding the release of criminals. Although Ocampo practices law, it turns out that he has no real understanding of international law. He grossly violated the integrity of the internationally recognized territories of Azerbaijan, calling Garabagh artsakh and demanding the release of leaders of separatist and terrorist groups from prison. Perhaps this demand could one day become a weapon against Ocampo himself or make him guilty of acquitting criminals before an international court - of course, if international law is fair. Since his arrival in Yerevan, Ocampo is still in the headlines of the Armenian media. He plays the role of the saviour of the separatist Armenians, but in front of Baku, his claims are like playing Russian roulette. Although the stakes are high for Ocampo, he promised Armenians that the separatist leaders imprisoned in Baku would be released until the COP29 event. Therefore, the retired Argentinian lawyer prefers to devote the rest of his life to the promotion of Armenianism rather than his own ambitions. Or he injects so much pathos into his speech under the weight of the bribe that he received, that the influence of his words could affect the Armenian lobby spread around the world. After all, the main thing is to be able to say the word, standing behind the world is the next thing, or it is possible not to stand at all. Has the Armenian leadership stood behind its promise so far let alone Ocampo can keep a word bigger than his courage? Therefore, the main thing for those who come to Yerevan is to discharge words. Because empty words can feed the hungry stomach of the separatist remnants obsessed with empty dreams. So, listen to him, your saviour - believe that Ocampo will save you, build an 'artsakh' for you, and moreover, release your hooligan separatist leaders in Baku prison. Believe him so that one day you can see in your dream... --- Follow us on Twitter @AzerNewsAz 14 September 2024 09:30 (UTC+04:00) Akbar Novruz Read more On past Friday, Prague, the capital of the Czech Republic, hosted an event titled "COP29: Promoting Solidarity and Unity in Addressing the Challenges of Climate Change through Enhancing Ambition and Enabling Action." The event took place on the sidelines of the 31st Economic and Environmental Forum of the Organization for Security and Cooperation in Europe (OSCE), Azernews reports. Organized by Azerbaijans Permanent Representation to the OSCE and moderated by Florian Raunig, Austrias Permanent Representative to the OSCE, the event featured remarks by Yalchin Rafiyev, Azerbaijans Deputy Foreign Minister and COP29 Lead Negotiator. Rafiyev emphasized the global challenges posed by climate change and highlighted the upcoming 29th Conference of the Parties (COP29) to the UN Framework Convention on Climate Change, set to be hosted by Azerbaijan. He also outlined 14 initiatives proposed by Azerbaijan and detailed the country's ongoing efforts in climate policy and energy transition. Azerbaijan aims to promote inclusivity and cooperation on climate action during its COP29 presidency. Bakyt Dzhusupov, OSCE Coordinator on Economic and Environmental Activities, also addressed the event, which forms part of the broader Economic and Environmental Foruman annual event designed to foster dialogue on economic and environmental issues linked to security within the OSCE framework. --- Follow us on Twitter @AzerNewsAz 14 September 2024 14:28 (UTC+04:00) It is commendable that the 29th session of the Conference of the Parties to the UN Framework Convention on Climate Change (COP29) has focused on providing targeted support for small island states, Azernews reports. This sentiment was shared during the "Climate Change and Diplomacy" panel at the Commonwealth of Nations headquarters in London, part of a training event for young Commonwealth diplomats. Azerbaijan's Ambassador to the UK, Elin Suleymanov, discussed Azerbaijans preparations for COP29, emphasizing the nation's key objectives and initiatives for the event. He highlighted Azerbaijans commitment to supporting small island states and developing nations, particularly through the Action Fund for Climate Finance. Other speakers, including Karen Mae Hill, High Commissioner of Antigua and Barbuda, Johnston Busingye, High Commissioner of Rwanda, Xiaohong Yang from the Asian Development Bank, and UN representatives, praised Azerbaijans role in assisting small island states as the host of COP29. They underscored the importance of raising public awareness on climate change and advancing international climate goals, while also sharing success stories and positive developments in climate action. --- Follow us on Twitter @AzerNewsAz 14 September 2024 18:51 (UTC+04:00) On September 14, Chairperson of the Organization of War, Labor and Armed Forces Veterans of Azerbaijan, World War II Veteran Fatma Sattarova was buried in the Second Alley of Shahids (Martyrs). Employees of the Defense Ministry, relatives of the deceased, family members, and public representatives took part in the funeral. Chief of the Department for Ideological Work and Moral-Psychological Support, Colonel Elshad Abilov expressed his condolences on behalf of the Defense Minister, Colonel General Zakir Hasanov. The participants of the ceremony were informed about the dedication of the late Fatma Sattarova, a recipient of the Istiqlal Order and a Presidential pensioner, to the Motherland, the people, and the nation, and about her voluntary departure to the front as a nurse in the first months of the World War ll. It was also emphasized that F.Sattarova reached Berlin in the ranks of the Soviet Army in the fight against fascism. --- Follow us on Twitter @AzerNewsAz 14 September 2024 12:12 (UTC+04:00) Akbar Novruz Read more US Secretary of State Antony J. Blinken spoke with Armenian Prime Minister Nikol Pashinyan to reaffirm the importance of a durable and dignified peace between Armenia and Azerbaijan, according to a statement by Matthew Miller, spokesperson for the US Department of State, Azernews reports. In the written statement, Miller noted that Secretary Blinken welcomed the recent progress between Armenia and Azerbaijan, particularly the agreement on border delimitation. During the conversation, Blinken and Pashinyan also discussed the expanding US-Armenia relationship, touching on cooperation in areas such as energy, trade, investment, and education. "Secretary Blinken welcomed recent progress between the parties, including an agreement on a border delimitation regulation. Secretary Blinken and Prime Minister Pashinyan discussed the expanding US-Armenia relationship, including cooperation on energy, trade and investment, and education," he added. It should be noted that, according to the information released by the Armenian government, Blinken and Pashinyan also discussed the bilateral agenda and the Armenia-Azerbaijan peace process. --- Follow us on Twitter @AzerNewsAz You are here: World Flash Chinese Defense Minister Dong Jun on Saturday called for continuous efforts to enhance defense and security cooperation, and inject more positive energy into regional peace and stability. Dong made the remarks while meeting separately with Fijian Minister for Home Affairs and Immigration Pio Tikoduadua, and Stergomena Lawrence Tax, Tanzania's minister of defense and national service. The two guests are in Beijing to attend the 11th Beijing Xiangshan Forum, which opened on Friday. 14 September 2024 10:31 (UTC+04:00) The European Commission is seeking to extend the freezing period of the Bank of Russia's (Central Bank) assets for up to five years, according to a report by Reuters, as cited by Azernews. This proposal is aimed at supporting a $50 billion loan that the G7 nations plan to provide to Ukraine. The asset freeze extension would play a crucial role in securing financial assistance for Ukraine amid ongoing geopolitical tensions. --- Follow us on Twitter @AzerNewsAz 14 September 2024 13:55 (UTC+04:00) President of Azerbaijan Ilham Aliyev expressed his heartfelt condolences to the family and relatives of Fatma Sattarova, the Chairman of the Organization of War, Labor, and Armed Forces Veterans and a participant in the Second World War. Fatma Sattarova, who was awarded the Order of Independence for her long-standing contributions to the public life of Azerbaijan, passed away recently. Azernews reports that President Aliyev highlighted Fatma Sattarovas devoted service to the Motherland, emphasizing her exemplary life as a model for the youth and future generations. "We are extremely saddened by the news of the death of Fatma Sattarova, chairman of the Organization of War, Labor, and Armed Forces Veterans of the Republic of Azerbaijan, a participant in the Second World War, who was awarded the Order of Independence for her long-term effective activity in the public life of our country. I share your pain in these difficult moments, I offer my deepest condolences to all members of your family on behalf of me and Mehriban Khanum. May her soul rest in peace." Ilham Aliyev,President of the Republic of Azerbaijan. 14 September 2024 17:55 (UTC+04:00) Akbar Novruz Read more The Armenian government has requested India's assistance in modernizing its Air Force to meet contemporary standards, Azernews reports. According to an article published by "The Print," Yerevan has formally appealed to New Delhi to upgrade its Su-30 military aircraft, including the integration of air-to-ground missiles. The report indicates that the 272 Su-30 MKI fighters currently in the Armenian Air Force require significant updates to align with modern operational requirements. Note that since the Armenian-Azerbaijani conflict, Armenia has become a big defence export destination for India and has, in the past, bought several systems, including artillery guns besides certain kinds of missiles, mortars, radars, small arms and sight systems. --- Follow us on Twitter @AzerNewsAz 14 September 2024 18:21 (UTC+04:00) by Dr Mehmood Ul Hassan Khan The most recently held 23rd Meeting of Ministers of the Shanghai Cooperation Organisation Member States responsible for Foreign Economic and Trade Activities in Islamabad yesterday vividly reflecting the golden principles of Shanghai Spirit comprising openness, inclusiveness, mutual trust, political cooperation and last but not least economic cooperation among the SCO member countries. Going forward, all members countries should jointly persuade develop common strategies to mitigate the socio-economic consequences of looming threats of US FED constant tight monetary policies, ongoing trade war, technology sanctions, disruptions of trade in international waters, deflation, economic stagnation and last but not least, non-state actors mainly climate change, terrorism, human & drugs migration, labour and economic vulnerabilities in the region and beyond. In this regard, the Chinese President Xis policies of openness, transparency, modernization, digitalization, artificial intelligence, qualitative industrialization and last but not least green transformation must be followed and implemented in order to make the SCO an economically vibrant, industrially diversified, technologically innovative, ecologically green and last but not least, financially integrated to set-up there on banking & financial system with their own currency and payment system to mitigate ongoing economic, financial sanctions and energy payments in which the role of China, Russia, Uzbekistan, Kazakhstan, Pakistan and Iran would be game changer in the days to come. The policy makers of SCO should also study the Ten Policies of Shavkat Mirziyoyev which may be a value addition in greater regional connectivity, socio-economic integration, banking & financial cooperation and last but not least, green transformation among the SCO countries. Moreover, Kassym-Jomart Tokayevs socio-economic transformative and green technologies translating policies should also be studied and followed for enhancing the greater role of the SCO. BRI, CPEC and Gwadar would be a new winning horse for easy and smooth promotion and development of regionalism, e-commerce, industrial productivity, economic integration, connectivity and trade boom breaking the geographic impediments of the SCO member countries of Central Asia into caravans of greater connectivity and integration in the days to come. It is good omen that the SCO member states have decided to establish a Database of Economic Preferences (DEPs) for the SCO region, which will act as a comprehensive resource for the member states to access information on economic incentives and preferences within the bloc, fostering a more cohesive business environment. While delivering a keynote speech in the opening session the Federal Minister for Commerce Jam Kamal Khan, Jam Kamal Khan, reiterated Pakistans commitment to the aims and objective of the SCO to promote peace and prosperity in the region. While acknowledging the global economic challenges facing the region, he emphasised the vital role of the SCO in addressing these issues through cooperation and constructive engagement. He conveyed Pakistans strong commitment and readiness to contribute to and collaborate with all Member States to achieve shared goals. Additionally, the inauguration of the Business and Investment Conference in Islamabad witnessed participation from senior ministers, senior government officials, foreign diplomats, representatives from SCO member states, and leaders from Pakistans business community, underscoring Pakistans commitment to enhancing trade and economic cooperation within the region. According to various official data, over the past 5 years, mutual trade between the SCO countries increased 1.5-fold from US$336 billion in 2019 to US$490 billion in 2023.The main trading partners in the region are China with 64.6 percent of all mutual trade and Russia with 26.2 percent. Kazakhstan's share is 3.3 percent. It is good omen that the SCO has successfully emerged as a driving force in shaping regional stability and global cooperation, with China playing a central role in fostering its development and goals. The SCO's evolution underscores a commitment to enhancing peace, security and mutual prosperity among its member states and beyond. China, as the second-largest economy in the world and a staunch advocate for multilateralism, has played a leading role in shaping the SCO's economic agenda and fostering befitting and win-win cooperation among member states. China's vision emphasizes mutual respect, inclusiveness and win-win cooperation for its further economic strengthening, geographic integration, industrial productivity moving from geopolitics to grand geo-economics through prioritizing collective prosperity over individual gain. In summary, the golden principles of Shanghai Spirit comprising openness, inclusiveness, mutual trust, political cooperation and last but not least economic cooperation among the SCO member countries should be the way forward. Persuasion of common strategies is a must to mitigate the socio-economic consequences of looming threats of climate change, terrorism and national capacity building hurdles in some of the member countries. It is suggested that the SCO states should jointly work to form a development, FDI, vaccine, SMEs and industrial SCO Bank catering the requirements of the community based socio-economic development. It is pertinent to mention that forming of the Green SCO Bank would be a giant step towards the green transformation in the family. Moreover, SCO Common Energy & Food Market and SCO Common Stock & Commodity Exchanges, SCO Digitized Bank, SCO Metal & Mining Bank, SCO Lithium Battery Bank and the last but not the least, SCO AI Bank would further expedite notions of regionalism and economic integration. Pooling of resources to build various ports, integrated railways systems and gearing of pending trans-regional mega projects of the connectivity especially, Pakistan-Afghanistan-Uzbekistan trilateral rail project, TAPI, promotion of cluster & container trading patterns between Pakistan and Central Asian countries and last but not the least Pakistans Wahkhan Corridor with Tajikistan would further strengthen the SCO connectivity, economic and trading potential in the days to come. Furthermore, SCO member states hold one-tenth of the world's oil reserves, a quarter of natural gas reserves and 40 percent of coal reserves. In 2022, SCO countries accounted for 42 percent of the world's cereals production, and 31 percent of meat production. It has a considerable share of global food exports, taking up nearly half of world rice exports and 22 percent of wheat exports. Thus formation of a SCO Agriculture Bank would be an innovative idea creating immense win-win cooperation. Deepening cooperation in areas such as cybersecurity, public health sustainable development, disaster management, space & science, AI, digitalization, and green technologies may be useful for the SCO. Last but not least, the SCO should not be a debating club of mere innovative ideas and media of high philosophies and policies but must be a regulating body for greater socio-economic integration, trade & commerce cooperation and operationalization and channelization of its RATS neutralizing all the emerging security threats within the SCO. Author is President of Pak-China Corridor of Knowledge, and the Executive Director of The Center of South Asia & International Studies (CSAIS) --- Follow us on Twitter @AzerNewsAz 14 September 2024 19:30 (UTC+04:00) Military cooperation between Turkiye and Bosnia and Herzegovina will be strengthened. Azernews reports that President of Turkiye Recep Tayyip Erdogan announced this at a press conference he held with Chairman of the Presidential Council of Bosnia and Herzegovina Denis Becirovic. Erdogan mentioned that the parties discussed this issue extensively during their meeting. He also stated that in the near future, Turkish citizens will be able to travel to Bosnia and Herzegovina using just an ID card. Additionally, Erdogan expressed confidence that the volume of bilateral trade will reach $1 billion by the end of the year. --- Follow us on Twitter @AzerNewsAz 14 September 2024 23:30 (UTC+04:00) The US State Department has approved the sale of dozens of F-35 fighter jets to its NATO ally Romania, a deal worth $7.2 billion, Azernews informs referring to AFP. The contract, which must still be approved by the US Congress, covers Bucharest's purchase of 32 F-35A aircraft and related equipment, produced by the US aerospace and defense giant Lockheed Martin. "This proposed sale will support the foreign policy goals and national security objectives of the United States by improving the security of a NATO Ally that is an important force for political and economic stability in Europe," a State Department statement said. The contract announcement comes as Ukrainian pilots began training this week at a special center in Romania on F-16s, US-made fighter jets that Washington has approved Kyiv to use to repel Russia's invasion. Romania occupies a strategic position at the gateway to Ukraine and the Black Sea, and aims to become an international hub for F-16 training. It inaugurated an F-16 training center at its Fetesti air base in November 2023, pledging to also train Ukrainians there. US Secretary of State Antony Blinken met his Romanian counterpart Luminita Odobescu on Thursday, thanking Bucharest for delivering a Patriot missile defense system to Ukraine. The State Department also announced the approval on Friday of a $4.1 billion sale to Japan of a KC-46A aerial refueling tanker. --- Follow us on Twitter @AzerNewsAz A former director of the Immigration and Customs Service (ICE) for Colorado has warned that Colorado and America are on the verge of a major gang war from the violent Venezuelan crime gang Tren de Aragua (TdA). This comes hard on the heels of a leaked report from the US Army revealing that TdA has become embedded across America. TdA has been based in its country of origin, Venezuela until recently, when it moved its headquarters just across the Rio Grande River from the United States, In the last few weeks, they have been engated in armed takeovers of apartment complexes in Colorado and Illinois and a hotel in Texas. John Fabbicatore, former ICE director, calls TdA "MS13 on steriods" compared to the existing very violent El Salvador crime gang. Thousands of TdA gangsters have poured across the Biden-Harris open borders, sometimes using the unaccompanied minor loophole, sometimes the Biden-Harris asylum mobile app, and sometimes the Biden-Harris parole pipeline.. Some existing American crime gangs including the Crips and the Bloods, operate near the new TdA turf in Colorado, and clashes between them are regarded as likely. A more direct chalenge was posed by Hell's Angels, which posted a video of one of its heavily tatooed members in front on one of the apartment complexes taken over by TdA telling them to get out and ominously warning "we invented gang violence". Meanwhile, citizens are caught in the middle of this gang activity. This is the America of Biden and Harris. https://www.dailymail.co.uk/news/us-border-crisis/article-13845311/Tren-Aragua-shooting-Colorado-apartments-gangs-future-plans-Aurora.html https://www.zerohedge.com/markets/former-ice-official-warns-venezuelan-prison-gang-preparing-big-gang-war- ASC leaders and physicians continuously vent their frustrations over stalled negotiations with payers, as facilities face declining reimbursement from CMS and private payers alike. "Payers tend to only want to talk to you if you're a part of or affiliated with a health system," Kristopher Kitz, CEO of Wyatt Surgery Center in Tucson, Ariz., told Becker's. "If you're not, payers typically will try to not talk to you or offer only really bad rates. Mr. Kitz is also CEO of Eye Associates of Tucson and Tucson Ambulatory Anesthesia, all of which are connected through ownership.. Previously, WSC was privately owned by a single physician. "Right now, we are renegotiating our payer contract because our rates are so low and we added service lines to the center," he said. "And the only reason why they will speak with us and even entertain materially better rates is because we have a health system affiliation." The challenges for ASCs' like WSC start when the payers realize the relatively low bargaining position of these facilities. Mr. Kitz's first line of defense has been to purchase market data, allowing him to see the rates that private payers offer to other health system-affiliated ASCs or hospitals. "Even with that, their argument is, 'we can't make a jump that big in one year.' Even when you make the argument that the surgeons who just bought into this place are bringing cases they currently do in the hospital to the ASC,so it's in their best interestthey being the payers' best interesteven then, they still push back," he said. "I'm not sure if they don't understand or if they just don't care." That being said, Mr. Kitz still advocates using market data as a tool that can give those negotiating rates for ASCs more transparency in their talks with payers. "You can't have one ASC in our region getting paid 50% less than another one, because then they're not competitive, and they can't reinvest, and then it just doesn't work," he said. He noted that the strain in these conversations often comes down to individual negotiators on the payer side, who are often disincentivized to award higher reimbursements. "I think it's more a function of that you're negotiating with an individual person whose annual targets, are based on a certain percent of increases in negotiating contracts," Mr. Kitz said. "So they are incentivized to not give increases, even though, overall, the health plan would save money." This amounts to a deeply frustrating situation for smaller or independent facilities looking to grow. While not possible for all ASCs, Mr. Kitz also recommends "leaning on" health systems or hospital affiliates if a center has them. Beyond that, the only other leverage that Mr. Kitz sees for ASCs in this situation is to shift procedures back to hospital settings in hopes that payers notice how much more expensive these procedures are in the hospital setting than the ASC. "But is that in the best interest of the patient or the community? No, not at all," he said. "So no one wants to do that, but it's frustrating because they have so much power over what they will and will not do, and it's not even rational. [W]hat we're proposing makes so much sense for everyone, from a patient-care perspective and from a financial perspective." Continuing down this road of stalled negotiations will ultimately lead to increased consolidation, Mr. Kitz said, as ASC and private practice owners and physicians hit their limit. Increased leverage with payers is a top reason that physicians have migrated to employed settings over the last several years. "If you can't get a sustainable rate in your surgery center, then you have no choice, right?" he said. "And that's why USPI and SCA [Health] and the other consolidators in the market are growing so quickly. It's because they come in and say, 'We have these amazing payer rates''if you sell us 51% of your ASC, then you'll get these too.' You'll lose control. And sure, a big for-profit corporation will be in charge, but the center will get higher rates. [I]f you're struggling, that can be really tempting. Cambridge, Mass.-based Beth Israel Lahey Health has postponed the closure of Exeter (N.H.) Hospital's advanced life support paramedic intercept program after intervention from the New Hampshire attorney general's office, ABC affiliate WMUR reported Sept. 13. Exeter Hospital had planned to shutter the program Sept. 20 due to financial challenges and changing care demands. The service entails dispatching specially trained hospital paramedics to help respond to community-based medical emergencies in collaboration with local emergency medical services. Hospital and state officials met Sept. 12 to discuss a plan for shuttering the service, which the hospital provides to nearby cities and towns under contract, according to the report. As the contracts include a clause requiring a 90-day notice of termination, Exeter Hospital will now maintain the service through at least Dec. 20. "After working with the attorney general's office, we have reached an agreement to extend the closure date of the Paramedic Intercept Program," a spokesperson for the hospital told WMUR. This program has served as a resource for many communities, and we will work with the impacted departments, state and local officials during the transition period." Beth Israel Lahey Health took over operations of Exeter Hospital in July 2023 through its affiliation with Exeter Health Resources, the hospital's parent company. EMS leaders say the closure poses challenges for local agencies that rely on the service amid a statewide paramedic shortage, prompting some to explore alternative care options, including developing their own paramedic programs. Baltimore-based Johns Hopkins Medicine has named Nadia Hansel, MD, physician-in-chief of The Johns Hopkins Hospital. She has also been appointed director of the Department of Medicine, making her the first woman to hold that position in the department's 131-year history. Dr. Hansel, a pulmonary and critical care physician, is also the William Osler Professor of Medicine, according to a Sept. 12 news release from the health system. She previously served as director of the division of pulmonary and critical care medicine at the hospital and as associate dean of research at Johns Hopkins Bayview Medical Center in Baltimore. Kentucky Organ Donor Affiliates is under investigation after allegations that it tried to pressure its personnel to retrieve organs from a hospital patient who was awake and later left the facility alive, The Wall Street Journal reported Sept. 11. The allegations were presented during a House subcommittee hearing on the U.S. organ-transplant system by Nyckoletta Martin, a former employee of the group. She said workers were ordered by a supervisor to find another surgeon who would take out the organs, but declined. She later quit her job. "What is clear to me from my time at KODA is that the [organ procurement organization] does not operate in patients' interests, and regularly engages in unethical activities for the sole purpose of trying to keep its lucrative government contract," Ms. Martin wrote in a letter to the committee. A KODA spokesperson told Becker's that the organization "strictly adheres" to all laws and national guidelines set by regulatory bodies. "Our commitment to ethical practices means that we follow rigorous protocols to ensure patient safety and integrity throughout the organ donation process," KODA said in a statement. "Organ donation only proceeds after a patient has been declared deceased by independent clinicians from the treating hospital, and we have clear procedures to follow if a patient's condition changes. We do not make determinations of death, nor do we compromise ethical standards for organ donation." The Kentucky Attorney General's Office is investigating the allegations with law enforcement. Ms. Martin was one of several who said procurement groups in various states have pushed surgeons to secure organs from living patients. The Association of Organ Procurement Organizations, which represents groups nationally, said they are recovering enough organs to prevent anyone from dying on the waitlist and it wasn't invited to offer testimony at the hearing. It criticized lawmakers for discussing "false, misleading and unsupported allegations. There are about 56 nonprofit organ procurement organizations across the country. They are paid by Medicare and transplant centers to collect organs from deceased donors and provide them to recipients. Accountable care organizations, or ACOs, are physician- and health system-led groups that ascertain and apply savings in healthcare delivery. These organizations often work in partnership with Medicare programs, while also providing care for private insurance patients. Each year, ACOs save patients and health systems alike millions of dollars. Ultimately, their goal is to provide excellent patient care at a lower cost. Many of the listed ACOs are among the first adopters of the Medicare Shared Savings Program. Note: This list is not exhaustive, nor is it an endorsement of included ACOs. ACOs cannot pay for inclusion on this list. ACOs are presented in alphabetical order. We extend a special thank you to Rhoda Weiss for her contributions to this list. Contact Anna Falvey at afalvey@beckershealthcare.com with questions or comments. AdvantagePoint Health Alliance (Brentwood, Tenn.). AdvantagePoint Health Alliance, a clinically integrated network led by providers in tandem with Lifepoint Health, drives value-based care initiatives. The organization now boasts eight networks across the nation, more than doubling since 2019. The ACO has transitioned from an arbitrage model to the utilization of data- and analytics-based targeted resources. In addition, the organization applies a dedicated RN model for Medicare annual wellness visits, offers pre- and post-encounter clinical documentation integrity support for ambulatory care, and has expanded its high-functioning post-acute networks. In the coming year, the ACO plans to deploy clinical documentation integrity resources across remaining networks, implement point-of-care support questionnaires to streamline provider workflow, begin offering post-encounter reviews for diagnostic accuracy, earn shared savings through care coordination, and much more. Advocate Health (Charlotte, N.C.). Advocate Health's ACOs earned a No. 1 spot among integrated delivery networks participating in the Medicare Shared Savings Program, collectively producing $128.2 million in total savings through the program in 2022. Since joining the program in 2012, the ACOs have saved taxpayers nearly a billion dollars and provided top-tier patient care. Advocate Health has six ACOs: Advocate Physician Partners ACO, Aurora ACO, Carolinas Physician Alliance, TC2, CHESS Innovation and CHESS Value. Arkansas Health Network (Little Rock). Arkansas Health Network is a physician-driven, clinically integrated network serving more than 138,000 patients. The ACO was established in 2013 as part of Chicago-based CommonSpirit Health. The ACO, committed to value-based care, is a major driver of quality, health and financial improvement for patients and providers. Since 2014, the ACO has saved Medicare over $58 million in medical spending, with $4.7 million in earned Medicare Shared Savings Program savings in 2021. In March 2023, the organization earned dual accreditation in clinical integration and employer based population health, making it the first clinically integrated network in the industry to do so. Atlantic Accountable Care Organization (Morristown, N.J.). As one of the first ACOs established from the inception of the Medicare Shared Savings Program, the Atlantic ACO has consistently saved Medicare money each year. It is composed of over 2,000 associated physicians across five participating hospitals. Looking to the future, the ACO aims to expand its behavioral health programs. Banner Health Network (Phoenix). Beneficiaries of Banner Health Network receive quality care and preventive medicine, resulting in less cost to Medicare. Serving over 400,000 members and beneficiaries, the ACO has saved millions of dollars since its inception. Banner Health Network consists of 5,000 affiliated physicians and advanced practice providers across 15 hospitals, eight health centers and multiple other medical facilities. The ACO aims to use technology to continue improving the patient and provider experience. Baptist Physician Partners (Jacksonville, Fla.). Since its inception in 2014, Baptist Physician Partners has generated just over $125 million in total savings via its value-based agreements. The organization first became an ACO through the Medicare Shared Savings Program in 2018. By 2021, it was ranked in the 4% for total savings as compared to all participating ACOs. Since then, the program has recruited 1,150 physician members and garnered 88,000 covered lives. The ACO has also enhanced and broadened its home-based care model, set in place a leadership structure for its palliative care initiative, created a population health transformation team, shifted care coordination to a regional model, launched a remote monitoring strategy for patients with diabetes and hypertension, moved Baptist Health system to Epic EHR and much more. Moving forward, the ACO aims to expand the nine enhanced home-based models, broaden the serious illness management and advocacy program, increase the scope of the skilled nursing facility at home model, and develop a standardized model aimed at enhancing patient experience across 50 primary care offices. BayCare Physician Partners (Clearwater, Fla.). BayCare Physician Partners is BayCare Health System's ACO. Over the past two years, the ACO has attained an overall quality score of 84.8%, earning it a spot in the top 33% of ACOs nationwide. The network also earned a savings rate of 6.21%, making it one of the top 25% of all ACOs. Overall, the ACO has brought about more than over $31 million in shared savings for Medicare. In the coming year, the ACO wants to implement a population health analytics platform, improve the accuracy and completeness of clinical documentation, and shift the care management process from reactive to proactive, among other goals. The ACO is led by Xavier Sevilla, MD, who also serves as chief medical officer of population health at BayCare Health System. Baylor Scott & White Quality Alliance (Dallas). Baylor Scott & White Quality Alliance is an ACO as well as a clinically integrated network affiliated with Baylor Scott & White Health. It serves more than 1 million members and is committed to delivering its patients the highest quality, cost-effective care possible. The Alliance's provider network is composed of more than 6,000 physicians, 50 hospitals, 95 post-acute care facilities and other healthcare stakeholders. Boston Accountable Care Organization. A part of Boston Medical Center Health System, Boston Accountable Care Organization manages coordinated care for 200,000 patients, Medicaid, Medicare and commercial payers. Throughout the past two years, the ACO has launched initiatives to expand virtual consult services, enhance primary care, and support those with nutritional and housing needs. In 2022 alone, the ACO was able to reach more than 2,100 patients via 1,300 nutritional support services and over 250 housing-specific support services. The ACO takes a data-driven approach to care, factoring in key social factors to improve overall health equity and outcomes. Between 2018 and 2023, BACO increased health-related social needs screenings by 80%. They increased screening for depression and follow up planning by 19% and saw a 38% increase in depression remission or treatment response amongst patients. In addition, the ACO partnered with Sprinter Health to develop at-home testing programs and implemented text-messaging programs to benefit patients. CVS Accountable Care (Woonsocket, R.I.). CVS Accountable Care includes nine ACOs across Medicare Shared Savings Program and ACO REACH programs. The organization has over 230 partners and more than 1 million attributed Medicare lives. The organization boasts over $585 million in total savings to Medicare. In addition, 100% of its ACOs met quality threshold to earn shared savings. Community Care of Brooklyn (N.Y.) IPA. Community Care of Brooklyn IPA connects clinical networks with managed care and community-based service providers in order to offer comprehensive health and social care. The network, founded in 2018, features almost 100 organizations serving more than 85,000 beneficiaries. Community Care of Brooklyn comprises seven hospitals, five federally qualified health centers, primary care physicians, behavioral health providers, and various other healthcare entities all seeking to advance health equity and reduce total costs. The ACO is now aiming to embed care management into participating practices, expand Medicaid recipient access to social care services, connect patients to existing care provision networks and infrastructure, improve clinical outcomes through increased rates of annual wellness visits and preventative screenings, and much more. Covenant ACO (Lubbock, Texas). Covenant ACO serves upwards of 13,000 Medicare beneficiaries, and features nearly 600 physicians and advanced practice providers. The ACO, established in 2014, saves money via coordinated, consistent care. In 2022, the ACO generated nearly $11 million in savings to the Medicare Shared Savings Program, making 2022 the third consecutive year that they outdid their previous year's financial performance. HMH ACO (Edison, N.J.). Hackensack Meridian Health's three ACOs, HackensackAlliance ACO, JFK Health ACO and Meridian Health ACO, combined in 2023 to form HMH ACO. The organization currently has over 1,300 providers providing care for almost 60,000 beneficiaries. The three ACOs, before combining into HMH ACO, had gleaned $247 million in combined total savings from 2012 to 2021. This year, the ACO is trying to reduce the per capita cost of healthcare via improved care outcomes, experience and access. The ACO executive for HMH ACO is William Oser, MD. Health Connect Partners (Renton, Wash.). Founded in 2014, Providences Health Connect Partners, a Medicare Shared Savings Program ACO, serves more than 119,000 beneficiaries in the Western U.S and is the seventh largest MSSP ACO in the country. In 2022, HCP generated more than $98 million in savings to the CMS, more than any other MSSP in the same year. Since its inception, HCP steadily improved performance, delivering increasing CMS savings in multiple years including 2017, and 2019 through 2022. This success is largely attributed to HCP leveraging the high quality and efficient work of physicians within the Providence family of organizations, maximizing outcomes for both patient care, and CMS and Medicare savings. Healthier Communities ACO (Suffern, N.Y.). Founded in 2020, Healthier Communities ACO aims to assist providers in meeting quality and efficiency requirements and making the most of the incentives. The ACO was created by Westchester Medical Center Health Network and its Bon Secours Medical Group to provide high quality, coordinated care and reduce costs to Medicare fee-for-service patients. The ACO is currently under a five-year renewable contract with CMS and is led by Michele Muldoon. Ilumed (Jupiter, Fla.). Ilumed is an ACO REACH organization dedicated to caring for 74,000-plus Medicare beneficiaries spanning 14 states. The organization, run by CEO Debra Finnel, has implemented a new data-tracking system for the member-outreach team in order to execute 72-hour hospital discharge follow-ups. These check-ins have been crucial in preventing readmissions and ultimately led to over $2.6 million in savings. Over the past two years, an AI platform partnership has been launched to help the clinical team analyze patients' claims data. According to a study looking at the impact of this partnership, Ilumed has saved about $3 million a year from prevented escalations and admissions. In 2022, CMS ranked Ilumed in the top ten for cost savings of all companies in the DCE/ACO REACH program. Looking to the future, the program wants to address food insecurity in underserved communities and increase partnerships with independent physicians. Innovation Care Partners (Scottsdale, Ariz.). Innovation Care Partners is both an ACO and a clinically integrated network, owned by HonorHealth. The organization, established in 2012, provides value-based care to over 175,000 patients via more than 2,000 physician members. The ACO benefits its patients by upping the quality of care, tailoring care to individual patients, eliminating unnecessary testing, utilizing care coordinators to assist patients in maneuvering the healthcare system, and more. Keystone ACO (Danville, Pa.). Keystone ACO works with physicians, hospitals and various other healthcare providers to offer improved, coordinated patient care. The network comprises nine hospitals in the area, as well as 18 physician practices. The ACO was established in 2013 and features over 4,500 doctors and providers. The organization serves 78,000 Medicare beneficiaries, offering better and more affordable care services to improve population health. The ACO coordinates with primary care doctors, nurse case managers, pharmacists and specialists to offer patients the best possible care. LTC ACO (Kennett Square, Pa.). LTC ACO, the first ACO to serve Medicare beneficiaries living in long-stay care facilities, prioritizes the needs of older individuals, those living with Alzheimers disease or dementia, depression, amputations, disabilities, renal failure, or chronic diseases and complications. The organization offers value-based care rooted in actionable data and insights that improve quality and affordability. Uniquely, the program's partner practices have no downside risk and do not need capital contribution to participate. Loudoun Medical Group (Leesburg, Va.). Loudoun Medical Group aspires to provide high quality, cost efficient care to its patients while growing as an independent group practice. Throughout the past two years, the organization has established advanced imaging services to provide affordable, accredited computed tomography services outside of the hospital setting. In addition, the organization has reduced costs for more than 422,000 patients. Loudoun Medical Group also partnered to launch The Williams Center for Wellness and Recovery to enhance mental health and reduce the burden of care on primary care providers. The organization also recently opened LMG Family Medicine, LMG Internal Medicine, LMG Interventional Radiology and Stone Springs MedSpa by LMG, among other services. Mass General Brigham ACO (Somerville, Mass.). Mass General Brigham ACO was established in April 2023. Since then, it has onboarded more than 140,000 members. An integrated plan was put in place during the transition, ensuring that resources were available to those at risk of losing Medicaid coverage. The ACO has enrolled 70% of ACO membership in a secure electronic portal to guarantee connectivity and effective communication. Using a coordinated care model, the ACO has focused not only on physical health but also mental health outreach. The organization looks to support an approved federal waiver to expand continuous eligibility for certain Medicaid populations, with a focus on health-related social needs and health equity efforts. Mayo Clinic Community ACO (Rochester, Minn.). Founded in July 2019, Mayo Clinic Community ACO includes Mayo Clinic's flagship campus and all Mayo Clinic Health System locations throughout Minnesota and Wisconsin. Mayo, already an early adopter of value-based care, was well-suited to participate in transformative ACO initiatives that are moving the industry towards pay for value and Medicare sustainability. The ACO is led by ACO executive Kristin Johnson. MemorialCare Medical Foundation (Fountain Valley, Calif.). With more than 2,000 employed and contracted physicians, MemorialCare Medical Foundation provides HMO, PPO and direct-to-employer services to approximately 56,000 beneficiaries in its contracting with several ACO arrangements. These include Aetna, Blue Shield, Primary Care First, Centivo and The Boeing Company. Its multi-year successful direct-to-employer relationship with Boeing stands as California's first significant direct-to-employer population health management model, demonstrating continued cost savings and exceeding clinical and patient satisfaction benchmarks. Mercy ACO (Chesterfield, Mo.). Mercy's Medicare Shared Savings Program ACO has saved CMS beneficiaries over $125 million over the span of the past two years. During the same timeframe, the ACO achieved top decile performance in quality measures, including management of complex conditions, access to primary, specialty and acute care, and patient satisfaction measures. In the 2022 calendar year, the ACO reached the highest level of quality outcomes as compared to the top 15 ACOs across the country, based on total attributed beneficiaries. The ACO is overseen by a multi-discipline Mercy ACO board comprising caregivers, a Medicare beneficiary and operational leaders. The program is committed to optimal quality, optimal cost performance and best-in-class patient experience. Mercy Health Select (Cincinnati). Boasting over 107,000 Medicare beneficiaries and 6,000 providers, Mercy Health Select has recently added 1,400 new providers and 30,000 new beneficiaries. With over $85.6 million in savings for CMS and earned shared savings of $62.9 million, the ACO was one of the top 10 ACOs for earned shared savings in both 2021 and 2022. It was one of just a few ACOs able to successfully submit electronic clinical quality measures for 2023 quality reporting. This year, the ACO is working to improve patient outcomes, experience and cost through the optimization of progressive programs like skilled nursing facilities network development, remote patient monitoring expansion, chronic condition pathway implementation, and annual wellness visit completion rate enhancement. New York Medical Partners ACO (New York City). New York Medical Partners ACO, managed by the Mount Sinai Health System, is designed to meet quality and cost goals for the management of about 50,000 Medicare beneficiaries in the Medicare Shared Savings Program. Through participation in the ACO, Mount Sinai Health System has integrated innovative programs, pooled resources, and developed new methods for streamlining care across a range of services, including chronic disease management, specialty care, complex procedures, and prevention and wellness programs. It has also achieved more than $28 million in savings according to data released in 2021. Northeast Medical Group ACO (Stratford, Conn.). Northeast Medical Group ACO is Yale New Haven Healths nonprofit multispecialty medical foundation. Established in 2010, the ACO serves more than 25,000 lives and focuses on providing compassionate, comprehensive primary, specialty, inpatient, outpatient, rehabilitative and preventative care. Since 2018, the ACO has scored among the highest in the northeast region for quality. The organization allows patients to access a provider network of almost 1,500 clinicians across five affiliated hospitals and over 130 community practices. In 2023, Northeast Medical Group managed more than 3.9 million patient encounters. Looking ahead, the ACO is investing in a unified ambulatory risk adjustment operational program, focusing on annual wellness visits and improving metric quality scores. OSF HealthCare ACO (Peoria, Ill.). Launched in 2012, OSF HealthCare ACO was one of the nation's first ACOs. It then transitioned to one of the original 18 Next Generation ACOs. Since 2017, OSF has participated in the Medicare Shared Savings Program. During 2020 and 2021, OSF saved CMS over $52 million. The OSF HealthCare accountable care set goals for 2023 that included initiating a region-specific, targeted outreach campaign for beneficiaries of the MSSP, continuation of care management for high-risk beneficiaries, and leveraging technology to assist with a scalable expansion to a systemwide, integrated approach to social drivers of health. Ochsner Accountable Care Network (New Orleans). Ochsner Accountable Care Network has achieved its seventh consecutive year of top-ranking results in both clinical performance and healthcare savings for the Medicare population in its care. In 2022, the ACO's participating physicians and providers lowered expected cost of care by more than $27 million for more than 52,000 Medicare beneficiaries. Recent ACO accomplishments include increasing annual wellness visits by 10%, increasing primary care services by 27.7% and reducing unplanned admission by 10% since 2021. Optimus Healthcare Partners (Summit, N.J.). Optimus Healthcare was one of the first ACOs established from the inception of the Medicare Shared Savings Program. The program takes a holistic approach that prioritizes the patient at every step of the healthcare system. It has saved more than $10 million by achieving high quality and cost savings targets in ACO contracts. Optimus also developed a cloud-based, analytic reporting platform that allowed for the aggregation of patient data sources, data master capabilities and the ability to better care for the patients it serves. The ACO is led by Scott Maron, MD. Orlando Health Network (Winter Park, Fla.). The Orlando Health Network was created in 2013 and has since generated $165 million in savings to patients, payers, employers and taxpayers. In 2023, the ACO implemented several initiatives to ensure that its almost 300,000 patients receive timely, efficient and effective medical care from over 5,500 providers. Their most impressive accomplishments include the development of customized care pathways for chronic care management, bundled payment programs, refinement of post-acute care networks, the establishment of the new Orlando Health support team for aftercare and resources outpatient centers, the expansion of direct-to-employer models, and the formation of a provider-led network performance committee. For the future, the ACO aims to reduce all-cause 30-day hospitals readmissions, improve its physician support services, increase efforts to reconcile medications and promote medication adherence, and much more. Palm Beach ACO (Palm Springs, Fla.). Palm Beach ACO strives to improve patient experience and population health while decreasing per capita healthcare costs. The organization features more than 275 PCPs and 175 specialist physician members. It also serves more than 79,000 Medicare beneficiaries. The ACO has been successfully treating patients for over six years. Physician Partners of Western Pennsylvania (Pittsburgh). Physician Partners of Western Pennsylvania became an ACO in 2017, sponsored by the Centers for Medicare and Medicaid Services. The organization is a Track 1 ACO, offering patients the right care at the right time in the most accurate manner possible. Physicians at the ACO hold 75% of voting board positions on the board of managers, lead board committees, drive decision making and more. The organization aims to engender clinical excellence, partnership, transparency and innovation amongst physicians and hospital systems. Privia Quality Network (Arlington, Va.). Privia Quality Network is a physician-led ACO aimed at making healthcare delivery more efficient via a multifaceted approach that touches upon quality, coordination and affordability. The ACO boasts over 1.2 million attributed lives, a 93% average quality score, $937 million-plus in total generated savings and 360,000 Medicare beneficiaries. Privia Health delivered more than $131.7 million in shared savings through the 2022 MSSP. The Queens Health System Medicare Shared Savings Program ACO (Honolulu). Queen's entered the Medicare Shared Savings Program with its ACO in 2017. Led by Whitney Limm, MD, the ACO is looking to enhance quality, coordinate care and lower costs. In 2021, the organization earned a 98% score for exceptional performance. The network covers nearly 16,000 Medicare beneficiaries and realized more than $5 million in cost reductions. The ACO also earned a savings bonus of more than $2 million, which was shared between the health system and its participating providers. Rainier Health Network, Franciscan Northwest Physicians Health Network (Tacoma, Wash.). Rainier Health Network is an ACO with the goal of delivering high-quality healthcare and driving down costs with an innovative approach to care. The network, first formed in January 2013, is transforming healthcare delivery via physician-led and patient-centric care. The network includes over 7,000 healthcare providers in the area. Southwestern Health Resources (Farmers Branch, Texas). Southwestern Health Resources is the clinically integrated network formed between Southwestern Medical Center and Texas Health Resources. In 2023, the ACO was one of three in the country to earn the "Leaders in Quality Excellence" award from the National Association of Accountable Care Organizations in recognition of its efforts to improve care quality, patient safety and population health. The ACO was selected in part thanks to its ability to accurately identify patients most likely to incur a preventable event and intervene using a comprehensive care management program. Thanks to this, only 8% of identified patients had an unplanned hospital admission, compared to 34% who did not engage in care management. Earlier this year, Southwestern Health Resources was named among the highest performing ACO Medicare REACH programs in the country. The ACO also realized just over $55.2 million in gross savings to the Medicare Trust Fund. This year, the ACO plans to reduce 30-day all-cause readmissions by 3% and reduce unplanned admissions for patients with multiple chronic conditions by 3%. Steward National Care Network (Westwood, Mass.). The Steward National Care Network, a subsidiary of Steward Health Care, was established in 2008. The organization, which is rooted in community-based care and value-adds, stretches across nine states. The network encourages collaboration to ensure the best possible patient care. In addition, they handle managed care contracts, medical management services, quality improvement programs, data analysis and information services. Temple Care (Philadelphia). Led by Steven Carson, BSN, RN, Temple Care is a participant in CMS's ACO REACH, an alternative payment model program. The program transitioned from being a direct contracting entity in 2022. Temple Care collects patients' demographic and social needs data so that it can implement the most effective care strategies to achieve the best health outcomes for beneficiaries. Some of Temple Care's primary goals are to advance the health equity plan, support programmatic outcomes, expand community outreach programs and enhance quality programming for the sub-acute network. University Hospitals Coordinated Care Organization (Cleveland). Within the past two years, University Hospitals Coordinated Care Organization set a goal to improve patient experience by increasing patient satisfaction scores. The organization implemented a power business intelligence dashboard by mapping survey data to provider data, allowing it to identify trends that could be shared with providers and practices. Thus, the organization was able to show improvement across all measures from baseline data by the end of their first quarter. University Hospitals Coordinated Care Organization is continuing to focus on lowering hospitalizations, decreasing emergency department usage, and shortening lengths of stay. From 2019 to 2023, the ACO improved its outcome data for its attributed Medicare population for diabetes uncontrolled and hypertension controlled metrics. For the coming year, the ACO wants to optimize its provider network and clinical models of care to increase performance on all of its payer contracts. USMM Accountable Care Partners (Troy, Mich.). USMM Accountable Care Partners provides a wide array of services for over 30,000 patients across 11 states. The network comprises home-based primary care providers that bring top-tier care to the elderly across the nation, with an average patient age of 79 years. USMM earned a $27.9 million payment as part of the Medicare Shared Savings Program for the 2021 reporting period. Vytalize Health (Hoboken, N.J.). Vytalize Health was named a top performer in the 2022 REACH program. Vytalize partner providers achieved an average savings of $1,343 per beneficiary. Over the past two years, Vytalize Health has grown significantly, topping the Inc. 5000 list of fastest-growing companies in America for 2024. To date, the organization has raised more than $200 million in funding and now effectively manages $4 billion in healthcare services. Most recently, Vytalize Health announced it added key leaders to support continued excellence in value-based care, including a new CIO, CFO and senior vice president of clinical performance. Following a period of rapid growth, the ACO is refining operations with a sharp focus on efficiency. WellSense Boston Childrens ACO. WellSense Boston Childrens ACO is a partnership between WellSense Health Plan and Boston Childrens Health Accountable Care Organization participating in the MassHealth ACO program. WellSense Boston Childrens ACO provides high-quality healthcare for approximately 130,000 pediatric and young adult Medicaid members who are receiving primary care at Boston Childrens Hospital or at the pediatric physicians organization at Childrens. The participation of Boston Childrens Hospital and its affiliated specialists and primary care physicians, both in the hospital and in the community, in the MassHealth ACO Program is an important part of the partnerships shared commitment to providing the best care possible to all the children in the Commonwealth. WellSense Boston Childrens ACO focuses on the cost and quality of all medical and behavioral health services for the members, and includes efforts such as an integrated behavioral health program in primary care, care coordination for children with asthma and medical complexity, and support for children and families with health-related social needs. WellSpan Health's Medicare ACO (York, Pa.). WellSpan Health's Medicare ACO is dedicated to shifting towards value-based care, focusing on payment for outcomes over volume. Serving 67,205 members, the ACO ranks in the top 20% for quality and top 10% for savings. In addition, the WellSpan/Capital Blue Cross Medicare Advantage collaboration has expanded to include 9,700 members and four co-branded health plan products. The ACO also saw meaningful reductions in admissions for patients with various multiple chronic conditions. In 2022, the ACO's performance saved patients and the Medicare program $21.9 million. The program is one of 16 ACOS in the history of the MSSP program to distribute 100% of earned shared savings to ACO participants in all performance years, cumulatively giving more than $16 million to ACO participants thus far. The ACO has plans to double this in the 2023 and 2024 performance years. Tampa, Fla.-based Moffitt Cancer Center and drugmaker AstraZeneca are collaborating to accelerate the development of CAR-T cell and T cell receptor therapies. "We are excited to collaborate with AstraZeneca to push the boundaries of what's possible in cancer treatment," Patrick Hwu, MD, Moffitt's president and CEO, said in a Sept. 13 news release. "By combining our clinical expertise with AstraZeneca's innovative pipeline of investigational cell therapies, global footprint and leadership in oncology, we aim to bring potential new cell therapies to patients faster and more efficiently." The collaboration will focus on advancing clinical studies that investigate cell therapies in solid tumors. Since 2017, the FDA has approved six CAR T-cell therapies, all of which are for patients with blood cancers. University of Illinois Chicago's pharmacy school was gifted a $36 million endowment gift from the estate of late Chicago pharmacy owners Herbert and Carol Retzky, the Chicago Tribune reported Sept. 13. The pharmacy school, which will be renamed the Herbert M. and Carol H. Retskey College of Pharmacy, is the first college at the university to be named after a donor. The donation is the largest ever received by the pharmacy college. The income generated by the endowment will go toward scholarships for students and career development programs. Herbert Retsky died in 2017 and his wife, Carol Retsky, died in 2019, with both having previously donated $8 million to the college, the report said. Editor's note: This article was updated Sept. 16 at 1:20 p.m. to reflect the amount of the endowment gift. Remote employment is increasingly offered at health systems as a way to support telehealth visits, in-person caregivers and administrative work. Becker's has reported on three such systems this year, including Nashville, Tenn.-based HCA Healthcare, which has 40 virtual nurses working at 15 of its hospitals. "Brick and mortar cost a lot of money," HCA Chief Nursing Informatics Officer Sherri Hess, BSN, RN, told Becker's in April. "I think the future is working at home." This has allowed the system to hire nurses licensed in multiple states, which is helpful given HCA's coast-to-coast presence. Omaha, Neb.-based CHI Health and Chicago-based CommonSpirit Health have implemented their own virtual nursing program. It pairs virtual nurses and bedside nurses so the remote workers can provide support in the form of reviewing charts, coordinating with families and processing discharges. This allows bedside nurses more time to provide hands-on care, Tim Plante, MSN, RN, chief nursing officer at CommonSpirit Health Central Region, previously told Becker's. Cleveland Clinic also offers remote and hybrid roles, including in systems and financial analysis, human resources, scheduling, authorization and patient financial advocacy, a spokesperson previously told Becker's. The remote-work strategy was rolled out in June 2021, and as of December, more than 11,000 employees spent at least part of their work week remotely. But executive chair insists shipyard has credible future A group of Harland & Wolff shareholders fear the company could go into administration next week. It comes amid reports the east Belfast shipyard, best known for building the Titanic, is running out of cash. One union representing workers sent a memo to its members suggesting there is "only funding for the business until the end of this month. It has called for the government to step in. The memo was first reported by the BBC. Meanwhile, the GMB union stressed the seriousness of the firm's financial position in a letter sent to Business Secretary Jonathan Reynolds and Defence Secretary John Healey on Friday. It described the situation as "critical" and said time was "fast running out for the UK government to find a solution. The Harland & Wolff shareholder collective fears the shipyard may enter administration next week. The company is part of a consortium that has been contracted by the government to build new Fleet Solid Support (FSS) Ships for the Royal Navy. Harland & Wolff has said that delivering the project remains a priority and that it has built significant scale and capability in its yard in recent years to fulfil this and another programme. However, the shareholder collective fears the three FSS vessels will be fully built in Spain and the company will be sold off in a pre-pack administration deal. A spokesperson said it will have huge repercussions on many employees as well as shareholders. However, Harland & Wolffs executive chairman, who is working to find buyers for the companys four UK yards, told the BBC on Saturday the shipyard was still capable of delivering the order. Russell Downs said: "The yards together or separately have a credible future. "We have strong leadership in all of our yards. We have a strong business case around the work they are currently doing and the work they expect to do in the future. "They have a funding need in the near term but into the future they will be generating cash." It comes amid troubled times for the shipyard. Last month it emerged Harland & Wolff had suspended work on its 2023 accounts. Trading in the companys shares on the AIM (Alternative Investment Market) was suspended in July. It has been experiencing financial problems in recent months, which deteriorated when an application for a government guarantee for a 200m loan was definitively turned down. The Government said there was a very substantial risk that taxpayer money would be lost on such a guarantee. On Wednesday another senior boss stepped down from the board of Harland & Wolff. The company said Arun Raman, chief financial officer, had tendered his resignation and left the board with immediate effect. His departure came nearly a month after the plc announced that non-executive directors Malcolm Groat, Sir Jonathon Band and Katya Zotova had resigned from the board with immediate effect. Oasis fans who missed out in the original sale for the bands reunion shows are celebrating after securing coveted tickets in Saturdays invitation-only ballot. The Britpop band, which reformed with Liam and Noel Gallagher for a series of dates next year, added two shows at Londons Wembley Stadium in September 2025 to their original 17-date line-up, with people unlucky in the first sale given a second opportunity to buy tickets. Only eligible fans who were sent a ballot code were able to access the sale, with tickets sold on a first-come, first-served basis. The original sale on August 31 was mired in controversy after people battled website issues, were mislabelled as bots or were forced to wait in an online queue for hours. Many dropped out of the queues after prices more than doubled in Ticketmasters dynamic pricing, which has since prompted the Government and the UKs competition watchdog to pledge they will investigate. Colin Broadbent, 43, a fleet operations co-ordinator from Wolverhampton, was gutted to have missed out on pre-sale tickets for the original ballot, and said he tried for nine hours without success on August 31. However, he was successful in the latest ballot and on Saturday, after anxiously waiting, he managed to purchase two tickets for Wembley Stadium on September 27 2025 for him and his wife, Kate Broadbent, 46, for around 150. This time the process was much, much smoother, he added. As an Oasis fan, I thought the day would never happen when they would get back together now the stress will be hotel rooms when they are available to book in September 2025. Colin and Kate Broadbent were successful in getting tickets and spent around 150 (Colin Broadbent) Sam, 39, from Staffordshire, who works in marketing, told PA he spent around seven hours waiting in the queue for the original sale, and dropped out of buying tickets for Manchesters Heaton Park due to the inflated prices. He said: I saw sense and decided against it. I had a feeling theyd add more dates, so I never got too disheartened. Sam felt incredibly lucky to receive a code for these additional Wembley shows, and bought two seated tickets for September 25 2025 for 115.00 each on Saturday morning. He added: I do feel that if we hadnt (got tickets), Id have begun to feel like I was missing out. The band are in a precarious position. There is clearly demand for a seemingly endless number of concerts, but realistically theres only so much of this you can do in quick succession, which is true of any band. However, this is amplified and exacerbated for Oasis where there is potential for something explosive to happen at any moment. I know I dont want to see a version of Oasis that isnt giving 100%, so we really have to accept that supply is limited. Sam also said that aside from the controversy regarding ticket prices and the way that side of it was handled, the reunion feels like its sparked a bit of excitement as Oasis was such a constant when he was growing up. Other fans were not quite so lucky, however. Steve Barnes, who spent 10 hours trying to secure two tickets in the first sale, said he felt betrayed after not getting a ballot code for Saturdays sale. I feel angry and disappointed, the 36-year-old airport manager from Southampton told the PA news agency. (I) feel that my time has been wasted several times throughout this whole process. We abided by all the rules set by Ticketmaster, we all attempted for the same show, and only wanted two tickets. I have been waiting for the reunion since the split in Paris as the years went by and they found fame as single artists, I still kept the faith that the rift would heal and they would reform, every rumour and glimmer gave me further hope. It means the world to me, its the top of my bucket list to see them reunited on stage, but this whole thing has put me off, not just Oasis but going to see anything live. The hassle and headache just isnt worth it any more. Earlier in the week, Oasis ruled out returning to Knebworth Park in the future, along with any plans for more dates in the UK. Take five with model Anne Flanagan Anne Flanagan, the Belfast model who found viral fame after being discovered by the Model Strangers Instagram account, tells us about cooking for family, travelling to Italy, and her top culture picks. Favourite food Any food is best when its shared. I love cooking and Annies Kitchen is open every Sunday for my family. A favourite is spatchcocked roast chicken done in a basil or oregano marinade. Its a tasty twist on a traditional roast and the herbs give it a Mediterranean edge. If Im going out to eat, I love going to Coco Restaurant in Belfast. The chef does incredible things with locally sourced produce and the service is always terrific. Favourite city My favourite city is Rome. On my first visit when I was 20, I stayed with someone who lived there so I was lucky to experience it, not as a tourist but through the eyes of a local. Ive been back many times since and I just love that it oozes so much history, culture and wonderful food. No visit is complete without walking up the Aventine Hill, visiting the Basilica Santa Sabina and taking in one of the best views of the city. Paul Mescal and Frankie Corio in Aftersun Favourite film I love getting the opportunity to visit the Queens Film Theatre (QFT) , and I have seen some great films and documentaries there over the years. Some of my favourites over the last while include Aftersun (above) and Past Lives. I also love those classic, nostalgic movies that have been enjoyed by all generations in my family, including Its A Wonderful Life and Meet Me in St Louis. Read more Belfast mum lands career as a model at 70 after Instagram video goes viral Favourite song I love listening to all types of music, and could choose anything from Neil Diamond, Simon and Garfunkel to Mary Black. But Im going to choose something classical. There are many Ave Marias and my favourite is by Caccini. I find it hauntingly beautiful and expressive; I love the words. If I get the opportunity I enjoy going to concerts and was thrilled to see Ludovico Einaudi in concert in Dublin last year. Favourite book I enjoy reading novels as a means of relaxation and escapism. I have just finished Joseph OConnors My Fathers House, which had an added appeal of being set in Rome. I love anything by the Irish writer Niall Williams, and I was thrilled to have the opportunity to be an extra in the recent film production of Four Letters of Love. Anne Flanagan will be seen gracing the stage at the iconic Grand Opera House for four nights across September 14-21. Cast in a non-singing role in Northern Ireland Operas magnificent production of Eugene Onegin, this special appearance will mark Annes stage debut. niopera.com/performances/eugene-onegin/ US pair, who have been stranded in Belfast since May, are expected to walk for five days Two friends from the US who met on a round-the-world cruise ship which has been docked in Belfast for the past three months are passing the time by walking from Belfast to Londonderry. Kit Cassingham and Dr Richard Namikas started off on the 70-mile trek which is expected to take them five days yesterday. Kit, who is originally from Colorado, and Richard, from Florida, have been sharing an apartment in Belfast with their spouses since May when the ship was beset with delays. Watch: Passengers from stranded Odyssey ship embark on 70 mile walk from Belfast to Londonderry Villa Vie Residences Odyssey arrived at Queens Island in Belfast to be outfitted before it was scheduled to leave on May 30 for the first leg of a three-year cruise. But the ship has still not left because of problems with its rudders and gearbox. Kit, who is no stranger to long distance walking, only decided on Wednesday that she would trek to Derry as a way to show how the cruise passengers are not stranded, as most people expect. She has previously walked the Camino de Santiago in Spain twice (once from France which was 500 miles and once from Portugal which was 250 miles), and recently walked from Rome to Assisi by herself. Kit hiking the Camino The whole idea of the Odyssey trip is this grand adventure for us, and so I simply decided to have another one while we are waiting, she said. This is probably the least Ive ever planned for a long-distance walk but sure its all about the adventure. We are hoping it will take us about five days, but we will just walk each day and then find somewhere to stay along the way. So far people have been lovely and stopping to chat to us to hear all about our story its a great conversation starter. Kit said people are intrigued to find out more about the ship people but wants to change perceptions that they are stranded. Kit and Richard set off on their five-day walk from the cruise ship. credit: Randy Cassingham via ResidentialCruising.com Thats one of the things about all the stranded publicity; the people of Belfast are well aware of us now all we need to say is were from the ship and they know who we are, she said. We have found on our walk more people stop to chat, rather than in the city, so its been great, and everyone has been so friendly. Sitting still all these days has been hard on us, but we signed up for an adventure to see the world so taking this walk is where we can get this adventure. We want to show people that we arent stuck, we can go anywhere we want. And for Rick and I thats Derry for a few days and then hopefully we can get back on the ship. Residents of the ship are not allowed on board but are living in apartments across Belfast, so Kit had to ask a member of staff for her hat and walking coat to pack appropriately for their hike. The staff were very nice and got all the things I needed because we didnt pack everything, when we left the ship back in May, we thought it would only be a couple of days, not a few months. Randy and Kit during their trip to Alaska Kit is set to celebrate her 70th birthday next month, and hopes she will be enjoying it in the Caribbean with her husband Randy. Last year the couple sold their home and vowed to live the rest of their lives at sea. Its also Randy and Is wedding anniversary next week which I hope to be sailing away from Belfast by, she said. We have seen a bit of Northern Ireland during our time here; the ship has put on a few tours for us where we went to Hillsborough Castle one day and then Randy and I have done a walking tour of the Troubles, but this hike will be a way for me to finally get to see some of the countryside. Asked what she likes most about long-distance walks, Kit said she enjoys the sense of adventure. I like the connection with nature a lot and I like the adventure of what will I experience; Im just like a sponge soaking up everything and I get the time to contemplate life and everything going on around me, she said. Watch: Stranded Odyssey cruise ship takes to the waters after three-month delay in Belfast Randy and Kit sold their home in the US and most of their possessions and even rehomed their cat so they could live permanently on board the Villa Vie Residences Odyssey. We had been on a few cruises before to the Caribbean and even to Alaska and just loved the lifestyle; ship people are adventurers and the best thing about it is that you can travel the world and come home every night, you never have to unpack, she explained. So, Im hoping within the next month things will start moving and we will be on our way. Community excavation at site just below historic fortifications unearth some interesting finds Ruairi O Baoill with coin from 1816 found during the archaeological dig (Picture Martin McKeown) The first archaeological dig in Derry city centre for more than a decade has unearthed finds from the 17th century. The week-long excavation funded by the National Lottery Heritage Fund was organised by the Friends of the Derry Walls and led by a team from Queens Universitys Centre for Community Archaeology. Excavation director Ruairi OBaoill explained the dig took place at Nailors Row, a street that was adjacent to the walls right up to the 1970s. Weve been working together with the Friends of the Derry Walls as part of this community excavation to give people in the city a chance to look at their own archaeology, he said. Were trying to find out the history of the city for the first time for many people, especially the younger school groups that were coming in the mornings, and to get them involved in archaeological excavations. A dig at nearby Bishop Street car park in 2013 to commemorate 400 years of the city being incorporated uncovered material dating back to prehistoric, medieval and plantation times. Mr OBaoill added: We know that people have been living in Derry for thousands of years and this area close to the double bastion is close to an area thats shown on a map of the 1620s that shows a ditch that ran round the walled town. Some of the stone work uncovered during the excavation (Picture Martin McKeown) Our investigation was partly to see if we could encounter part of that defensive ditch. That ditch would have been part of the defensive feature of the plantation town. The walls were built in 1613 to 1619, but not only was the defence made up of the walls, it was this big, wide, deep ditch. We know from the end of the 1700s there were people living in terraced housing known as Nailors Row, shown on the original maps of the 1780s. The last houses were demolished in the 1970s. This is immediately linking back to people living in this city, so we were looking to see if there was any evidence of the more recent people living here. Fragments of late 17th century Staffordshire pottery discovered during the dig (Picture Martin McKeown) He acknowledged the dedication of Mark Lusby, a founding member of the Friends of the Derry Walls, in helping bring the project about, as well as the work of archaeologist Brian Lacey in 1977. Trenches dug during the excavation contained items from the last 200 years at Nailors Row, including a coin dating to 1816. Most of the archaeology we encountered has been to do with the people who lived in the city from the end of the 18th century up to modern times, Mr OBaoill said So were getting things like pottery, glass, slate, clay tobacco pipes, and more personal things like holy medals, marbles... things like that. Theres evidence that some of the house structures remain the walls, drains, cobbled surfaces its quite nice. And also were getting tantalising glimpses of the archaeology from earlier periods, again clay tobacco pipes and fragments of pottery from England that date back to the 17th century. Thats pottery from Staffordshire, pottery from Devon, pottery that would be very current in a plantation town 400 years ago. View from the walls of the archaeologists at work (Picture Martin McKeown) A bullet casing was also found that may be part of the contemporary history of the city. Older people who lived on Nailors Row have visited the site, which Mr OBaoill described as living history, and he was able to explain the house they lived in may not be visible above ground but its still here there are hundreds if not thousands of years of archaeology below our feet. Its been very exciting and rewarding, he added. Weve had a hugely positive reaction from people in the city because its not very often they get a chance to either engage or look at old Derry. We were not always as sensitive to the dangers posed to children by paedophiles as we are now The funeral of a teenager killed in a Co Londonderry crash will take place on Monday. Noah Karran (18) died after the single-vehicle accident on the Learmount Road on Thursday. A family notice described him as a precious son, loving brother, beautiful grandson and loving boyfriend. Requiem mass will take place at Our Lady of Lourdes Church, Steelstown, at noon on Monday, with interment afterwards in the City Cemetery. Tributes have been paid to Mr Karran, including by his former school, St Patrick's & St Brigid's College in Claudy. It said: Our college community has been devastated to learn of the tragic death of former pupil Noah Karran, who was only 18 years old we will keep Noahs family and friends in our thoughts and prayers. Another friend described him as the most kind-hearted person Ive ever known. Foyle MLA Ciara Ferguson said the community was in shock. My immediate thoughts are with Noahs family and friends as they come to terms with this tremendous loss, she said. It is absolutely devastating that another young person from our city has died on our roads. Last month cousins Kian Dawson-Kirk (16) and Eoghan Dawson (20), also from Derry, died in an accident at Quigleys Point, Co Donegal. Some 71 people lost their lives on local roads in 2023 the highest number of deaths for eight years. This years death toll is currently 41. Earlier this week Infrastructure Minister John ODowd launched a new road safety strategy. The Road Safety Strategy for Northern Ireland to 2030 focuses on safe roads, safe vehicles and safe people. Mr ODowd said the strategy is aimed at ensuring zero road deaths by 2050, or a significant reduction in deaths by 2050. The victim has been named as 43-year old Rachel Simpson from east Belfast Forensic teams at the scene of the murder in east Belfast overnight. Photo: Kevin Scott Police at the scene of the murder in east Belfast overnight. Photo: Kevin Scott Police at the scene of the murder in east Belfast overnight. Photo: Kevin Scott Police at the scene on Saturday morning. Photo: Pacemaker Police at the scene in the Castlereagh Road area of east Belfast. Image: Pacemaker The scene of the incident in east Belfast today. A 21-year old man has been charged with murder following the discovery of a womans body in east Belfast. Earlier today, police said upon responding to an incident at a home in the Castlereagh Road area a womans body was discovered in the garage. The woman has been named as 43-year-old Rachel Simpson, from east Belfast. A 21-year-old man was then arrested on suspicion of murder and has now been charged. In a statement, a police spokesperson said: Detectives investigating the murder of 43-year-old Rachel Simpson in east Belfast on Friday night, 13th September, have charged a man to court. The man, aged 21, has been charged with murder and is expected to appear before Belfast Magistrates Court on Monday, 16th September. As is usual procedure, all charges will be reviewed by the PPS. Police at the scene of the murder in east Belfast overnight. Photo: Kevin Scott Police forensic teams in white suits were pictured at the scene from Friday evening to Saturday afternoon. Police at the scene of the murder in east Belfast overnight. Photo: Kevin Scott The red-brick semi-detached property has been sealed off by police tape. One local said: There is a lot of shock in the community today. East Belfast UUP MLA Andy Allen said he was deeply saddened the learn of the murder. "I am deeply saddened to learn of the murder of a woman in East Belfast, he said. My thoughts are with her family, friends and neighbours as they process this heartbreaking news. Alliance East Belfast MLA, Peter McReynolds also expressed his sympathies and said: I am deeply saddened to hear of the heartbreaking news of the death of Ms Simpson. My sympathies are with her family and loved ones. The whole community is completely shocked upon hearing of devastating news. This is yet another tragic loss of a female life in the East Belfast area. I urge anyone with relevant information that could help the police in their investigations to get in touch with them immediately. Emma Little-Pengelly said two of four Northern Ireland city and growth deals would be unaffected by a UK Government pause of funding (Gareth Chaney/PA) Two city and growth deals in Northern Ireland will not be affected by a UK Government pause on funding, Stormont leaders have said. It had been thought that all four deals in Northern Ireland would be impacted by the funding freeze ahead of the Budget at the end of October. However, deputy First Minister Emma Little-Pengelly has said that the deals for the Belfast regions and Londonderry City and Strabane will be unaffected. This means that the Derry deal is now expected to be signed next week as originally intended. Clarification is being sought by ministers on the city and growth deals for Causeway Coast and Glens and Mid South West. Together the four deals, worth a total of 1.7 billion, cover the whole of Northern Ireland. The deals are bespoke funding arrangements between the Treasury, the Stormont Executive and local partners. After ministers had been told by the NIO on Friday that the funding for the deals was being paused, doubt had been cast over the future of a number of planned regeneration and digital projects. Providing an update on social media on Saturday night, Ms Little-Pengelly said: The Belfast city deal is unaffected by the Treasury pause. This includes all the projects including Bangor, Lagan Valley and beyond. The North West City deal is also unaffected and will proceed. I am seeking urgent clarity and pushing case on other two City Deals. Northern Ireland First Minister Michelle ONeill said a city and growth deal in Derry would go ahead (Gareth Chaney/PA) First Minister Michelle ONeill posted on X that the Derry and Strabane deal would go ahead. She said: I have spoken with the British Secretary of State and urged the same approach should be taken with the other city & growth deals, and projects like Casement Park. These will be major economic boosters for our local economy and should go ahead. Finance Minister Caoimhe Archibald said she was continuing to press for funding for all the deals. She said: These are game-changers for regions across the north, creating jobs and boosting local economies. Foyle MP and SDLP leader Colum Eastwood said: I am glad that we have made progress over the last 24 hours and have received confirmation that the Derry City deal will continue as planned. This is a good start and we have more work to do. Derry and the north west, in particular, needs this level of capital investment to kickstart our ambitions for greater regional balance and progress higher education provision. It should never have been placed in doubt. A Government spokesperson said: We understand the importance of the Derry City and Strabane deal, which is at a very advanced stage. The UK Government is committed to continuing to work closely with the Northern Ireland Executive on this deal, to enable it to deliver growth and opportunity. The UK Government has confirmed the City Deal for Derry and Strabane will proceed, just one day after NI Secretary said funding would be paused ahead of the Spending Review. We understand the importance of the Derry City and Strabane Deal, which is at a very advanced stage, a spokesperson told the Belfast Telegraph. "The UK government is committed to continuing to work closely with the Northern Ireland Executive on this Deal, to enable it to deliver growth and opportunity. Writing on social media, First Minister Michelle ONeill welcomed the news around Derry and Strabane. She said: The Derry and Strabane City Deal will go ahead. "I have spoken with the British Secretary of State and urged the same approach should be taken with the other city & growth deals, and projects like Casement Park. These will be major economic boosters for our local economy and should go ahead. In a separate post, Finance Minister Caoimhe Archibald said she had received confirmation the deal for the area would still proceed. Confirmation tonight that Derry & Strabane City & Growth Deal WILL go ahead & deal signing hopefully take place as planned this week, she posted on X, formerly Twitter. I am continuing to press for the other deals, these are game-changers for regions across the north, creating jobs & boosting local economies. In a post on X, formerly Twitter, deputy First Minister Emma Little-Pengelly also said the Belfast Region City Deal is unaffected by the pause while welcoming the confirmation about the Derry and Strabane City Deal. The UK Government has been contacted for comment around the Belfast City Deal. On Friday afternoon, Stormonts leaders hit out after the Government confirmed it would be pausing funding on City Deals in Northern Ireland. The deals relate to a package of extra funding worth over 1bn and decision-making powers negotiated between central Government and local councils. There are currently four in Northern Ireland. These are the Belfast Region City Deal, the Derry City & Strabane District Council City Deal, the Causeway Coast & Glens Growth Deal and the Mid South West Growth Deal. City and Growth Deals are aimed at helping to harness additional investment, create new and higher-paid jobs, attract and retain talent and speed up inclusive economic growth at a local level with projects developed within each separate deal. Particularly criticism was levelled at the pausing of the Derry and Strabane City Deal as the council was set to hold an event to mark it next week. Earlier today, a spokesperson for the UK Government told the Belfast Telegraph: We are facing the challenge of a 22 billion black hole in the public finances that we have inherited. The UK Government continues to work closely with Deal partners and the Northern Ireland Executive on the City and Growth Deals programme. SDLP leader Colum Eastwood also welcomed the news funding for the area would not be paused. Since the announcement that funding for our City Deals was to be paused, I have engaged with senior British Government Ministers in the Treasury and the Northern Ireland Office to make the case for continued investment in the four regions, he said. "I am glad that we have made progress over the last 24 hours and have received confirmation that the Derry City Deal will continue as planned. This is a good start and we have more work to do. Derry and the North West, in particular, needs this level of capital investment to kickstart our ambitions for greater regional balance and progress higher education provision. It should never have been placed in doubt. Sinn Feins Sandra Duffy also said confirmation that the City and Growth Deal for Derry and Strabane will go ahead as planned will give clarity to those working to deliver vital regeneration projects. Its now confirmed that the City and Growth Deal for Derry and Strabane will go ahead as planned, she said. This decision will give clarity to all those who have been working hard on delivering these important projects. This is a historic investment in this region and will be a game-changer for the regeneration of communities, creating good jobs and helping to strengthen the north wests economy. The British Government must adopt a similar approach to all City and Growth Deals for communities across the north and immediately reverse the unacceptable decision to pause this funding. Prime Minister Sir Keir Starmer and Foreign Secretary David Lammy, speak to the media outside the White House (Stefan Rousseau/PA) Sir Keir Starmer has said the UK and US have come to a strong position in their quest for a resolution to the conflict in Ukraine following his meeting with President Joe Biden. The Prime Minister described his discussions with Mr Biden as long and productive, but would not be drawn on what the pair had decided regarding Ukraines potential use of Western weaponry against Russian targets. At the beginning of their meeting in the Blue Room at the White House in Washington DC on Friday, Mr Biden said I dont think much about Vladimir Putin when asked about the Russian Presidents threat of war with Nato. Questioned on what they had decided in relation to Ukraines potential use of long-range missiles, Sir Keir told reporters: We had a wide-ranging discussion about strategy in Ukraine, of course, in the Middle East and other parts of the world. This wasnt a meeting about a particular capability. That wasnt why we got our heads down today. It was to allow ourselves the space, which we took, the time, which we took, to have a strategic discussion so that tactical decisions could be seen within the wider strategy. It was a really good invitation from the President, weve had a very productive (meeting) and weve come to a strong position. Im very pleased that weve had these discussions. Sir Keir Starmer and Joe Biden met in the Blue Room at the White House in Washington DC on Friday (Stefan Rousseau/PA) At the beginning of their talks, Sir Keir said the next weeks and months will be crucial in Ukraine and said it was important the two countries continued to support the eastern European nation in its fight against Russia. The meeting comes as Ukrainian President Volodymyr Zelensky expressed his frustration at the continued restrictions on the use of Western weaponry against Russian targets. In a lengthy statement posted on X, Mr Zelensky said after meeting with Foreign Secretary David Lammy and his US counterpart Antony Blinken earlier this week, there should be no unanswered questions about why Ukraine needs sufficient long-range capabilities. At the White House on Friday, Sir Keir told the US President: Historically, weve shown the strength of our relationship. We are strategically aligned and we have a common cause on these global issues. The PM denied that the need to weaken Mr Putin within months was because of the possibility of a victory for Donald Trump in Novembers presidential election. Questioned on whether Mr Trumps potential victory was a factor, he told reporters: No, I think if you look at both the Ukrainian situation and the Middle East, it is obvious that in the coming weeks and months there are really important potential developments, whatever timetables are going on in other countries. And thats why I wanted to have this opportunity to talk directly to the President about those decisions in the next few months, but to make sure that those decisions were, as it were, pitched in the wider strategic thinking that we share in relation to Ukraine, the Middle East and the Indo-Pacific. Donald Trump is vying to become US president again in Novembers election (John Locher/AP) Sir Keir said the quickest way to resolve the conflict in Ukraine lies through what Putin actually does. He said: Theres only one reason were having these discussions, and that is Putin has illegally invaded Ukraine, and the quickest way to resolve this obviously lies through what Putin actually does, because its for him to end this. Mr Lammy and Mr Blinken were pressed on the use of Western weaponry during their visit to Ukraine earlier this week, but said they had to report back to their bosses, the Prime Minister and US President. Mr Putin said allowing long-range strikes would mean that Nato countries, the United States, and European countries are at war with Russia if this is so, then, bearing in mind the change in the very essence of this conflict, we will make appropriate decisions based on the threats that will be created for us. Joe Biden, second left, said I dont think much about Vladimir Putin when asked about the Russian presidents threat of war (Manuel Balce Ceneta/AP) Asked what he thought of Mr Putins threat of war, Mr Biden said: I dont think much about Vladimir Putin. Mr Biden thanked Sir Keir for his leadership during the Ukraine conflict, adding: Putin will not prevail in this war. He said: The United States is committed to standing with you to help Ukraine as it defends against Russias onslaught of aggression. Its clear that Putin will not prevail in this war. The people of Ukraine will prevail. The US President said the two leaders would talk about Ukraine, the need for a hostage and ceasefire deal in the Middle East, and about the Indo-Pacific region. He told the PM: Ive often said theres no issue of global consequence where the United States and UK cant work together and havent worked yet. Before the meeting began, a British reporter was told to be quiet while I speak by the US President as Mr Biden was asked about Mr Putins threat of war. Concern about escalation has been one of the reasons why permission has not yet been given to Kyiv for the unrestricted use of Western weapons. Iran has been hit with sanctions by the UK and US after the two countries formally accused Tehran of supplying ballistic missiles to Russia. Antony Blinken, left, and David Lammy announced further financial support for Ukraine (Leon Neal/PA) Mr Lammy and Mr Blinken announced further financial support for Ukraine, including a 600 million package from the UK and 717 million dollars (550 million) from the US to meet immediate humanitarian, energy and stabilisation needs. The UK package includes a reaffirmation of Rishi Sunaks pledge of 242 million, as well as 484 million dollars (371 million) worth of loan guarantees for World Bank lending before the end of the year, while the US package includes 325 million dollars (250 million) to support Ukraines energy needs. The PM and Mr Bidens first White House bilateral took place as the Sir Keir attended the Nato summit just days after Labour won the election. A Turkish-American activist who was killed by Israeli fire in the West Bank was laid to rest on Saturday in her hometown in Turkey with thousands lining the streets and anti-Israeli feelings in the country rising from a conflict that threatens to spread across the region. Aysenur Ezgi Eygi, a 26-year-old woman from Seattle, was shot dead on September 6 by an Israeli soldier during a demonstration against Israeli West Bank settlements, according to an Israeli protester who witnessed the shooting. Thousands of people lined the streets in the Turkish coastal town of Didim on the Aegean Sea, as Ms Eygi was buried in a coffin draped in a Turkish flag, which was taken from her family home. A portrait of her wearing her graduation gown was propped against the coffin as people paid their respects. Turkish authorities carry the coffin of Aysenur Ezgi Eygi during a ceremony at Istanbul airport (IHA via AP) Her body was earlier brought from a hospital to her family home and Didims Central Mosque. Turkey has condemned the killing and announced it will conduct its own investigation into her death. We are not going to leave our daughters blood on the ground and we demand responsibility and accountability for this murder, Numan Kurtulmus, the speaker of Turkeys parliament told mourners at the funeral. On Friday, an autopsy had been carried out at Izmir Forensic Medicine Institute. Kurtulmus said the examination showed Ms Eygi was hit by a round that struck her in the back of the head below her left ear. The Israeli military said on Tuesday that Ms Eygi was likely shot indirectly and unintentionally by Israeli forces. Her death was condemned by US secretary of state Antony Blinken as the United States, Egypt and Qatar push for a cease-fire in the 11-month-long Israel-Hamas war and the release of the remaining hostages held by Hamas. Rescuers carry a woman in Pechea, Romania, after torrential rainstorms left scores of people stranded (Romanian Emergency Services ISU Galati via AP/PA) By Stephen McGrath and Andreea Alexandru, Associated Press By Stephen McGrath and Andreea Alexandru, Associated Press Four people have died in eastern Romania after torrential rainstorms left scores of people stranded in flooded areas, emergency authorities have said. Rescue services scrambled to save 95 people in the hard-hit eastern counties of Galati and Vaslui. The bodies of three elderly women and two men were found in the localities of Pechea, Draguseni, Costache Negri, and Corod, the Department for Emergency Situations said. Authorities added that it was determined that one of the people had been dead for two days and did not die due to the effects of the weather, but from other causes. Emergency authorities released video footage which showed a team of rescuers evacuating an elderly man on a small lifeboat before carrying him to safety. A rescuer carries a woman in Pechea, Romania (Romanian Emergency Services ISU Galati via AP/PA) A Black Hawk helicopter was deployed to Galati to help with the search-and-rescue missions. The storms battered 19 localities in eight counties in Romania, with strong winds downing dozens of trees that damaged cars and blocked roads and traffic. Authorities sent text message alerts to residents to warn them of adverse weather as emergency services rushed to remove floodwaters from homes. Some roads have also been closed. Prime minister Marcel Ciolacu cancelled planned engagements on Saturday to travel to Galati county to assess the fallout. The Prime Minister will discuss with the local authorities the urgent intervention and support measures for the population and communities severely affected by the floods, his office said. The stormy weather comes as several central European nations anticipate severe flooding forecast to hit the Czech Republic, Poland, Austria, Germany, Slovakia and Hungary over the weekend. In the Czech Republic, river waters reached dangerous levels in dozens of areas across the country on Saturday morning, flooding houses and roads in several towns and villages. Heavy rain and high winds left more than 63,000 households without power, the Czech power company CEZ said. Rescuers assist a local person on a flooded street in Pechea, Romania (Romanian Emergency Services ISU Galati via AP/PA) A hospital in the second-largest city of Brno was evacuated as well as dozens of citizens everywhere. A dozen rail lines were closed after being affected by fallen trees or flood waters. Heavy rain also hit Moldova on Saturday, where emergency workers pumped floodwater from dozens of peoples homes in several localities, authorities said. Meteorologists say a low-pressure system from northern Italy was predicted to dump much rainfall in most parts of the Czech Republic, including the capital and border regions with Austria and Germany in the south, and Poland in the north. We have to be ready for worst-case scenarios, Czech prime minister Petr Fiala said after the governments central crisis committee met. A tough weekend is ahead of us. In Poland, prime minister Donald Tusk also travelled on Friday to the south-western city of Wroclaw where floods are forecast. Authorities appealed to residents to stock up on food and to prepare for power outages by charging power banks. The weather change arrived following a hot start to September in the region, including in Romania. Scientists have documented Earths hottest summer, breaking a record set just one year ago. A hotter atmosphere, driven by human-caused climate change, can lead to more intense rainfall. A Belfast man accused of deliberately running over his six-month-old puppy bizarrely seems to have exaggerated his actions, a judge has said. Releasing Sean Norris on his own bail of 500 with a range of conditions, including not being allowed near any animal, District Judge Nigel Broderick commented that usually defendants who appear in front of me tend to minimise their actions during police interviews. OLD BENNINGTON The historic Old First Church on Monument Avenue in Old Bennington, the oldest church in all of Vermont, is in the midst of a once-in-a-hundred-year renovation, including painting, lead removal, interior and structural upgrades, handicapped access, a new slate roof, and the complete removal of the historic lantern for restoration sometime in the next two weeks. Skilled craftsmen specializing in historic structures could be seen Thursday high above the bell tower in a specialized boom platform, chipping away at a dome that initially housed the base of a decorative weathervane sitting on top of the lantern, an area sitting above the bell tower. The dome will be removed so workers can then remove the entire lantern section by crane. The structure will then be renovated in a craftsmans workshop using as much of the original timbers and hardware as possible. Jan Lewandowski, Vermonts go-to expert for historic steeple restoration, and a team of specialized craftsman will oversee the lanterns restoration. The 500-pound weathervane, a replacement for the original one taken down in 1984, was removed from above the dome two years ago after elders noted unsafe movement from severe instability of the wooden base, will be reinstalled after all repairs are completed. The historic original weathervane sits on display at the Bennington Museum. According to Wayne Kachmar, Chair of the Trustees of the Old First Church, the plan will be performed in three phases and funded mainly through community and member donations. The first phase, estimated to cost around $550,000, began in the spring with re-roofing the historic slate roof. A state historic preservation grant of $20,000 was acquired as well. Phase one also includes lead paint remediation, painting the front of the church, stabilizing the exterior columns holding the upper areas, and removing the lantern section for restoration. So far, the church has raised over $500,000 from the community and its members. They plan on further fundraising and applying for a historic federal restoration grant soon. It has been an outstanding outpouring of support for the initial phases because this work really could not wait, Kachmar said. Phase two will include handicapped access, restoration of the lantern onto the building, a handicap-accessible bathroom, work on the marble steps, and foundation work, which are complicated by the fact that colonial-era graves still exist under the building. Phase three will see the finishing of the exterior painting, historic window repair, inside painting, and wiring and fire upgrades. Kachmar is hoping to complete the first phase over the next six to eight weeks, depending on the weather. We would like to be able to do it before winter, Kachmar said. The church building, standing at the corner of Route 9 and Monument Avenue, was built between 1804 to 1806 on top of land that was originally a cemetery. Before the church building construction began, congregants gathered on the small green in front of the church in a Meeting House starting in 1762. The Old First Church is the oldest church in Vermont. It is on the United States National Historic Registry as well as the Vermont State Historic Registry. This church was designated in 1937 as Vermont's colonial shrine by the legislature, Kachmar said. It has a great deal of history. Robert Frost was in this church. He's buried in the cemetery right out here. The state's first four or five governors are also buried here. This was one of the very earliest churches of this type of design, done by Lavius Fillmore, and to a great extent, it is the quintessential New England church when you drive into town. This church is why the road is here. You could see this from a far distance. It's probably the most photographed church in Vermont. Famous Revolutionary War figures, including Ethan Allen, and many of the Green Mountain Boys, were also early members of the Church. Poet Robert Frost is buried at the adjoining church cemetery. Frosts grave is one of the most visited historic sites in Vermont. The Old First Church is still a functioning church. They have been meeting as a congregation since 1762. Then, starting in 1804, the congregants gathered inside its hallowed walls. The church hopes to keep going far into the future. The repairs we're doing now are not to satisfy us but to satisfy those sitting in these seats 50 or 100 years from now, Kachmar said. We are preserving one of the biggest pieces of history in Bennington. When asked what the significance is of the church to the greater Bennington community, Kachmar told us to just look at the history of what happened here. You need to recognize that people came to settle Bennington because they wanted religious freedom. This church allowed them to practice. It's a covenant-based church. It's an independent Congregational Church and has been since that time. There's a great deal of history that's related to the fact that this church was the standing stone for faith in this community, and it's one of the most recognizable buildings in Southern Vermont. This is your church. Its is the town's church. Many people have come here and said, I've never been in here, but this is my church, because their parents had been married here, and maybe they were married or baptized here. I think that's a wonderful way to look at it. Its everyones church. Repairs on all three phases are estimated to be completed sometime in 2028. For more information or to make a donation please visit: www.oldfirstchurchbenn.org If you'd like to leave a comment (or a tip or a question) about this story with the editors, please email us We also welcome letters to the editor for publication; you can do that by filling out our letters form and submitting it to the newsroom. Everyone knows not to discuss a persons religion or politics. When I lived in the nations capital in the 1970s, avoiding politics was hard because it was so central. More so now. I pride myself on my ability to converse, consume and imbibe with many species of political animal elephant, donkey, ostrich with head in sand. It is essential as a journalist. No one has a lock on best policy. Heaven help any party that would have me as a member. Religion is another cup of tea. That third rail has always been a shocker, evolving from the Scopes monkey trial in Dayton, Tenn., in 1925 to the 2022 knife attack in Chautauqua, N.Y., carrying out a fatwa on author Salman Rushdie. The obliteration of the World Trade Center thrust Islamism fully into the spotlight, with wars and destruction in its wake. With then-progress regarding womens and LGBTQ rights before the Supreme Court shuffled its chairs rightward Sharia law overseas presaged American echoes. Israel's identity crisis and the stumbling blocks to peace While Oct. 7, Israels 9/11, triggered the wholesale war on Gaza, Israels identity politics were baked into the nation from its inception in 1948. Christianity, Judaism and Islam all manifest variations on a permeable or entirely absent barrier between church and state. This duality is once again at the heart of conflict worldwide struggle, some would say, between centuries as well as cultures and dogmas. In America, the election has thrust Christian nationalist views on womens rights front and center. I wrote recently of the question, since Israels founding, of aspects of secular versus religious state. Israel is not alone in this existential hot zone; indeed, it is surrounded by hostile states with varying degrees of Sharia law, and one, Iran, close to nuclear. Some religions preach tolerance, others not so much, but ultimately there is not a lot of brotherly love to be seen out there. Flying at high altitude, a visitor to Earths orbit every millennium would be hard pressed to see a qualitative theological difference between today, the Dark Ages and the time of Christ. Time is not a straight line. Sharia law is divine guidance Muslims follow in order to live a righteous life. About half of Muslim countries have Sharia laws some not in their constitution but in family laws. It isnt one-size-fits-all. Professor Jan Michiel Otto of Leiden University in the Netherlands has done seminal work on the differences, identifying three variants of statist Sharia laws: those which apply to all citizens; others that do not make up the totality of the legal system; and some which are practiced by Muslims only. Weighing geopolitics, regional and international strife, it is worth sorting them out. In todays world, nothing stays domestic long. Nations under Sharia law typically include burqa or niqab veils for women, as well as restrictions on education and prohibitions regarding sexual preference which are incompatible with the Universal Declaration of Human Rights by the United Nations. These nations include Afghanistan, Brunei, Egypt, Iran, Iraq, Malaysia, Maldives, Mauritania, Morocco, Nigeria, Pakistan, Qatar, Saudi Arabia, Somalia, Sudan, United Arab Emirates and Indonesias Aceh Province. Eleven of these 16 states do not recognize Israel. States with a mixed bag of Sharia and secular law include Algeria, Bahrain, Bangladesh, Comoros, Djibouti, Gambia, Jordan, Kuwait, Lebanon, Libya, Mali, Oman, Syria and Palestine the last of which has had its territories occupied by Israel since the Six-Day War in 1967. Countries in which Muslim citizenry subscribe to Sharia law include Ethiopia, Ghana, India, Israel, Kenya, Myanmar, Philippines, Singapore, Sri Lanka, Tanzania, Thailand, Uganda and the United Kingdom. Evidenced by including the U.K. in this list, Sharia law may coexist or conflict with national laws. The United States might not be as severe or obvious in its church-and-state identity wars, but the abortion issue alone demonstrates the manifestation of religious belief in secular life. In God We Trust has been the official motto of the U.S. since Congress adopted it in 1956. It replaced the Latin E pluribus unum out of many, one the deist motto that first appeared in 1748 in the Pennsylvania Gazette, citing the colors carried by Benjamin Franklins Pennsylvania militia. Among subsequent appearances, the motto turned up again in 1862 in the bunting of the 37th Arkansas Infantry Regiment of the Confederacy. Two years later, it was stamped on a 2-cent piece, solidifying its ascent. According to Pew Research Center, 28 percent of Americans have no organized religious belief. In the United Kingdom, a 2020 Report on International Religious Freedom shows 52 percent of its citizens espouse no religion, despite that Christianity is the U.K.s official religion. In America, freedom of religion and freedom from religion are not synonymous. In the Mideast, it will take diplomacy and willpower to move from terrorism and war to toleration of others. An Israel accepted by its neighbors might view Palestine differently. Can religious law find a way toward inclusion, and can religious and secular states coexist? There are lives in the balance all over this world. In Great Barrington, the bad news keeps rolling in over the bridges. In May, the state Department of Transportation deemed the Brookside Bridge unsafe and ordered it closed. In June, the DOT told the town it would take four years to fix the shuttered span thats rankling nearby residents. In July, Eagle public records requests revealed that Brookside Bridges significant structural issues were known to state and town officials half a decade before its closure when an engineer wrote a letter to the DOT stressing the need for repairs as soon as possible after a 2019 inspection. In August, the states estimate for the cost of fixing the bridge more than tripled from $3.5 million to $11.6 million. While the state will cover that, another new number was even more concerning: The project timeline doubled from four years to eight. And this month, more bad news by way of another structurally unsound town bridge: Repair plans for the Division Street bridge reduced to a one-lane span as a temporary solution since 2022 has mutated into a full replacement plan, nearly quadrupling the initial estimated cost with no slated completion date in sight. We know infrastructure maintenance is disproportionately difficult for rural communities like ours in the Berkshires, and weve consistently advocated for more serious assistance and attention from the powers that be in Boston and D.C. Yet this is not an excuse for municipal governments especially those on better financial footing than many of their regional peers to ignore the basic responsibility of maintaining critical community infrastructure. Another recent headline gets to the heart of the matter: Why did the cost of the Brookside Bridge project jump to $11.6 million? The span was too neglected to save. To be sure, Great Barrington is not the only Berkshire town to let preventive maintenance slide only to pay more down the road or in this case, over the bridge. Yet its particularly puzzling and disappointing in a town where the tax base is more robust than many other communities and yet residents face extraordinary decay of the structures that connect their community. Housing values are soaring in Great Barrington. Here's how that will affect residential property taxes The tax rate has continued to steadily fall since fiscal 2020 when the value of property began to sharply rise. Yes, it is momentarily inconvenient to budget for bracing bridges before they become unsafe and unusable rather than kicking the can down the road. Yes, it is politically expedient to lower tax rates in a relatively rich town rather than deal with the stark reality of crumbling critical infrastructure when it is wise to do so. But let it be known, in Great Barrington and beyond, that this lax attitude toward preventive maintenance comes with a steep price. Not all of it is measured in dollars and a handful of neighborhoods unfairly bear the burden of these intangible but impactful costs. The major inconvenience is one thing just ask those living near the Brookside Bridge who now face adding 19 minutes to every trip to town or school and the same on return. Then theres the impact to public safety, as ambulances and fire trucks need more time to respond to certain neighborhoods in situations where seconds count. Meanwhile, anyone trying to sell a home near a critical connector bridge that wont be fixed for eight years can unfortunately expect that condition to be reflected in the sale price. Of course, the cost is measured in dollars, too millions of them, in the case of each of these ailing Great Barrington bridges. As with all infrastructure, the longer preventive maintenance and needed repairs are put off, the bigger the bill will be to fix years of disrepair. The state is picking up the tab for both the Brookside and Division Street bridge projects, which might be fortuitous for Great Barringtons town budget but does nothing to allay all the above annoyance and anxiety for the years it will take the DOT to wrap these projects. And that state revenue, like local revenue, comes from Massachusetts taxpayers, including Great Barrington residents and property owners. The state bailing out the town on these projects just means the bill will be footed by taxpayers here and throughout the commonwealth, including those who have no meaningful connection or responsibility to bridges that have deteriorated on Great Barrington officials watch. Our Berkshire communities (rightly) resent getting the short end of the stick on state policy and spending priorities, but we should be able to turn that critique on ourselves when appropriate such as when one towns lax preventive maintenance paradigm becomes a burden for all Massachusetts taxpayers. To be sure, we have some hard questions for state leaders. Why the stark price hikes for these repair projects on relatively small bridges? Why the excruciating delays, which inevitably increase the already eye-watering costs? And if there were a broken bridge barely 150 feet long that complicated the House speakers commute to Beacon Hill or increased the emergency response time to the governors house, would the project really take eight years like this one slated for a small town in Western Massachusetts? These real concerns, however, do not detract from the great responsibility that Great Barrington and every municipality has to maintain critical community infrastructure. And so we have similarly sharp questions for Great Barrington officials amid this mess of busted bridges. Why were these weary spans allowed to wither under your watch to the point where the necessary repair projects are measured in eight-figure price tags and years-long timelines? And what will you do to revamp the municipal decision-making to ensure your constituents wont endure this sorry situation again in the future? BOSTON Six months later and $180 million lighter, lawmakers on Thursday returned to Gov. Maura Healey a spending bill that ratifies 38 collective bargaining agreements for state employees and approves millions in last-chance spending of federal pandemic dollars. Healey filed the supplemental budget March 18, saying the $534.7 million bill "targeted resources at our most time-sensitive deficiencies, using available federal reimbursements and other resources to minimize the net cost to the state." The compromise (H.5050) that House and Senate negotiators agreed on after several months and sent to Healey on Thursday was significantly slimmed down, with a bottom line of $362 million. The largest spending item that didn't make the cut: $175.5 million for supplemental payments to safety net hospitals through the Medical Assistance Trust Fund which would be wholly offset by federal reimbursements. Asked which health care centers would have benefited from those supplemental payments, a spokesperson for the Executive Office of Health and Human Services said the trust fund supports Medicaid payments to UMass Medical Center, Boston Medical Center and Cambridge Health Alliance. Those payments $505 million in total are eligible for federal matching funds. However, they missed out on that reimbursement for fiscal year 2024, meaning it will have to be applied in fiscal year 2025, HHS said. Sean Fitzgerald, a spokesperson for the Senate Committee on Ways and Means, said leaving out the $175.5 million is "more of an accounting and timing measure than anything" that "we thought more appropriate for a future supp." He said the committee plans to revisit it in the future, and is continuing conversations with the administration. In addition to the supplemental payments through the Medical Assistance Trust Fund, lawmakers also scrapped funding for struggling hospitals and community health centers in their compromise deal. The Senate's supplemental budget would have steered $45 million toward "fiscally strained" hospitals and $25 million toward "fiscally strained" community health centers. The House version did not include that health care funding, though both branches sought to invest in struggling hospitals through their stalled hospital oversight packages. While the compromise abandoned steering extra dollars towards struggling community health centers and hospitals, the state recently committed at least $417 million to help keep Steward Health Care hospitals open as they are sold and transition to new owners, in what the Healey administration and legislative leaders have repeatedly said is not a bail out of the for-profit bankrupt hospital system. The supplemental budget deal does, however, provide more than $61.1 million for the health and human services workforce. In her filing letter to the Legislature, Healey wrote that this funding for a so-called Critical Health and Human Services Workforce Reserve would "cover projected deficiencies in Fiscal Year 2024 related to our continued need for direct care staffing to respond to health needs in our nursing homes, group care settings, state hospitals, and Soldiers' Homes, as well as other patient health an safety supports." The budget also includes $228 million for programs designed for those who prefer to get long-term care services in their home or community, rather than in an institutional setting, and $20 million to support survivors of violent crimes as dollars from Washington have dried up in recent years both of which will be paid for fully by federal American Rescue Plan Act dollars. "This bill ensures that we are fully leveraging federal ARPA revenues by granting the administration additional flexibility to ensure that ARPA funds are spent before the looming federal deadlines. In doing so, we'll ensure Massachusetts does not return any ARPA funds to the federal government, maximizing our investments in the commonwealth," Sen. Michael Rodrigues, chair of Senate Ways and Means, said during Thursday's session. The state has less than $100 million left to obligate of the over $5 billion it received from the federal government in ARPA dollars during the COVID-19 pandemic. Most of that money is reversions, Rodrigues said, or appropriations the Legislature made that satisfied appropriations ARPA dollars had been earmarked for. With deadlines looming to use those dollars or return them to D.C. the main thrust of the supplemental budget was to use up that money while it's still in the Bay State, and to resolve 38 collective bargaining agreements for state employees, Fitzgerald said. It's the second year in a row scores of public employees had to wait months for the Legislature to approve the funding for agreements they had already negotiated. Many have an effective date of July 1 but some date back to 2023, including the contract for Massachusetts community college faculty and professional staff. The Massachusetts Community College Council, which represents employees on all 15 community college campuses, knocked the House and Senate earlier this month for not acting "in a timely manner." The council's unfunded contract would be retroactive to July 2023. "Paying negotiated wage hikes in a timely manner will be crucial to recruiting and retaining the professional staff and faculty we need to meet the growing demand for public higher education," said MCCC President Claudine Barnes, a Cape Cod Community College professor. The 38 collective bargaining agreements tied up in the supplemental budget encompass all currently before the Legislature, Fitzgerald said. "It did take us a while to finish the deliberations," Rodrigues said of the bill Thursday. "We had a little bit of rough waters going there for a few months, but we've stabled the ship." Other spending in the supplemental budget includes $5 million for the Healthy Incentives Program, $5.6 million for tax abatements for veterans, $29.7 million for an early education and care income-eligible child care program, and $2.1 million for the Women, Infants and Children Nutrition services program all level-funded compared to Healey's original recommendation in March. The House had included in its version of the bill a number of tourism initiatives having to do with the 250th anniversary of the American Revolution. The anniversaries kicked off last year with the sesquicentennial of the Boston Tea Party, and next spring Lexington and Concord plan to welcome tourists for the anniversary of the start of the American Revolution. The House hoped to steer $26.5 million towards statewide programming for historical anniversaries coming up over the next several years, but according to an aide for House Ways and Means Chair Aaron Michlewitz, that funding did not make it into the final version of the bill. There is, however, some patriotic spending, with a $100,000 earmark for the Massachusetts Bay Council of the Navy League of the U.S. for activities related to the commissioning of the USS Nantucket. BillOReilly.com is not available in this country. We apologize for any inconvenience.Legally, men in Ghana cannot be raped - Dr. Esther Yesutor claims
GTV Ghana (@GTV_Ghana) September 12, 2024
According to her, the current law in Ghana does not recognize men as potential rape victims, and she is calling for a revision to provide legal recourse for abused men.
#GTVBreakfast #Health pic.twitter.com/ozjQgLQv47